default search action
Sheldon X.-D. Tan
Person information
- affiliation: University of California, Riverside, Department of Electrical and Computer Engineering, CA, US
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j108]Sachin Sachdeva, Jincong Lu, Hussam Amrouch, Sheldon X.-D. Tan:
Exploring BTI aging effects on spatial power density and temperature profiles of VLSI chips. Integr. 97: 102202 (2024) - [j107]Yibo Liu, Shuyuan Yu, Maliha Tasnim, Sheldon X.-D. Tan:
Fast and Scaled Counting-Based Stochastic Computing Divider Design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 43(8): 2277-2287 (2024) - [c185]Yibo Liu, Sheldon X.-D. Tan:
GridVAE: Fast Power Grid EM-Aware IR Drop Prediction and Fixing Accelerated by Variational AutoEncoder. ISQED 2024: 1-6 - [c184]Maliha Tasnim, Chinmay Raje, Sheldon X.-D. Tan:
Multi-ALM: Run-time Multi-Level Reconfigurable Approximate Logarithmic Multiplier. ISQED 2024: 1-6 - [c183]Subed Lamichhane, Mohammadamir Kavousi, Sheldon X.-D. Tan:
EMspice 2.0: Multiphysics Electromigration Analysis Tool for Beyond Moore ICs. ISVLSI 2024: 571-576 - [c182]Jincong Lu, Sheldon X.-D. Tan:
Thermal Map Dataset for Commercial Multi/Many Core CPU/GPU/TPU. MLCAD 2024: 25:1-25:7 - [i6]Maliha Tasnim, Sachin Sachdeva, Yibo Liu, Sheldon X.-D. Tan:
Hybrid Temporal Computing for Lower Power Hardware Accelerators. CoRR abs/2407.08975 (2024) - 2023
- [j106]Jinwei Zhang, Sheriff Sadiqbatcha, Liang Chen, Cuong Thi, Sachin Sachdeva, Hussam Amrouch, Sheldon X.-D. Tan:
Hot-spot aware thermoelectric array based cooling for multicore processors. Integr. 89: 73-82 (2023) - [j105]Maliha Tasnim, Chinmay Raje, Shuyuan Yu, Elaheh Sadredini, Sheldon X.-D. Tan:
MAGIC-DHT: Fast in-memory computing for Discrete Hadamard Transform. Integr. 93: 102060 (2023) - [j104]Han Zhou, Yibo Liu, Wentian Jin, Sheldon X.-D. Tan:
GridNetOpt: Fast Full-Chip EM-Aware Power Grid Optimization Accelerated by Deep Neural Networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(5): 1662-1675 (2023) - [j103]Jinwei Zhang, Sheriff Sadiqbatcha, Sheldon X.-D. Tan:
Hot-Trim: Thermal and Reliability Management for Commercial Multicore Processors Considering Workload Dependent Hot Spots. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(7): 2290-2302 (2023) - [j102]Liang Chen, Wentian Jin, Jinwei Zhang, Sheldon X.-D. Tan:
Thermoelectric Cooler Modeling and Optimization via Surrogate Modeling Using Implicit Physics-Constrained Neural Networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(11): 4090-4101 (2023) - [j101]Liang Chen, Wentian Jin, Mohammadamir Kavousi, Subed Lamichhane, Sheldon X.-D. Tan:
Linear Time Electromigration Analysis Based on Physics-Informed Sparse Regression. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 42(11): 4126-4138 (2023) - [c181]Jincong Lu, Jinwei Zhang, Wentian Jin, Sachin Sachdeva, Sheldon X.-D. Tan:
Learning Based Spatial Power Characterization and Full-Chip Power Estimation for Commercial TPUs. ASP-DAC 2023: 98-103 - [c180]Shuyuan Yu, Sheldon X.-D. Tan:
PAALM: Power Density Aware Approximate Logarithmic Multiplier Design. ASP-DAC 2023: 128-133 - [c179]Liang Chen, Jincong Lu, Wentian Jin, Sheldon X.-D. Tan:
Fast Full-Chip Parametric Thermal Analysis Based on Enhanced Physics Enforced Neural Networks. ICCAD 2023: 1-8 - [c178]Subed Lamichhane, Wentian Jin, Liang Chen, Mohammadamir Kavousi, Sheldon X.-D. Tan:
PostPINN-EM: Fast Post-Voiding Electromigration Analysis Using Two-Stage Physics-Informed Neural Networks. ICCAD 2023: 1-9 - [c177]Jincong Lu, Jinwei Zhang, Sheldon X.-D. Tan:
Real-time Thermal Map Estimation for AMD Multi-Core CPUs Using Transformer. ICCAD 2023: 1-7 - [c176]Sachin Sachdeva, Jinwei Zhang, Hussam Amrouch, Sheldon X.-D. Tan:
Long-Term Aging Impacts on Spatial On-Chip Power Density and Temperature. SMACD 2023: 1-4 - 2022
- [j100]Sheriff Sadiqbatcha, Jinwei Zhang, Hussam Amrouch, Sheldon X.-D. Tan:
Real-Time Full-Chip Thermal Tracking: A Post-Silicon, Machine Learning Perspective. IEEE Trans. Computers 71(6): 1411-1424 (2022) - [j99]Jinwei Zhang, Sheriff Sadiqbatcha, Michael O'Dea, Hussam Amrouch, Sheldon X.-D. Tan:
Full-Chip Power Density and Thermal Map Characterization for Commercial Microprocessors Under Heat Sink Cooling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(5): 1453-1466 (2022) - [j98]Liang Chen, Sheriff Sadiqbatcha, Hussam Amrouch, Sheldon X.-D. Tan:
Electrothermal Simulation and Optimal Design of Thermoelectric Cooler Using Analytical Approach. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(9): 3066-3077 (2022) - [c175]Shuyuan Yu, Maliha Tasnim, Sheldon X.-D. Tan:
HEALM: Hardware-Efficient Approximate Logarithmic Multiplier with Reduced Error. ASP-DAC 2022: 37-42 - [c174]Mohammadamir Kavousi, Liang Chen, Sheldon X.-D. Tan:
Fast Electromigration Stress Analysis Considering Spatial Joule Heating Effects. ASP-DAC 2022: 208-213 - [c173]Liang Chen, Wentian Jin, Sheldon X.-D. Tan:
Fast Thermal Analysis for Chiplet Design based on Graph Convolution Networks. ASP-DAC 2022: 485-492 - [c172]Shuyuan Yu, Sheldon X.-D. Tan:
Scaled-CBSC: scaled counting-based stochastic computing multiplication for improved accuracy. DAC 2022: 1003-1008 - [c171]Wentian Jin, Liang Chen, Subed Lamichhane, Mohammadamir Kavousi, Sheldon X.-D. Tan:
HierPINN-EM: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnects Using Hierarchical Physics-Informed Neural Network. ICCAD 2022: 28:1-28:9 - [c170]Sheldon X.-D. Tan:
EDAML 2022 Invited Speaker 9: Thermal and Power Monitoring and Estimation for Commercial Multicore Processors - A Machine Learning Perspective. IPDPS Workshops 2022: 1190 - [c169]Marcus Chow, Ali Jahanshahi, Ana Cardenas Beltran, Sheldon X.-D. Tan, Daniel Wong:
GPUCalorie: Floorplan Estimation for GPU Thermal Evaluation. ISPASS 2022: 239-241 - 2021
- [j97]Sheldon X.-D. Tan, Toshihiro Hattori:
The 2021 Asia and South Pacific Design Automation Conference (ASPDAC). IEEE Des. Test 38(3): 121-122 (2021) - [j96]Han Zhou, Liang Chen, Sheldon X.-D. Tan:
Robust power grid network design considering EM aging effects for multi-segment wires. Integr. 77: 38-47 (2021) - [j95]Liang Chen, Sheldon X.-D. Tan, Zeyu Sun, Shaoyi Peng, Min Tang, Junfa Mao:
A Fast Semi-Analytic Approach for Combined Electromigration and Thermomigration Analysis for General Multisegment Interconnects. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(2): 350-363 (2021) - [j94]Xiaoyi Wang, Shaobin Ma, Sheldon X.-D. Tan, Chase Cook, Liang Chen, Jianlei Yang, Wenjian Yu:
Fast Physics-Based Electromigration Analysis for Full-Chip Networks by Efficient Eigenfunction-Based Solution. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(3): 507-520 (2021) - [j93]Sheriff Sadiqbatcha, Jinwei Zhang, Hengyang Zhao, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Post-Silicon Heat-Source Identification and Machine-Learning-Based Thermal Modeling Using Infrared Thermal Imaging. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 40(4): 694-707 (2021) - [c168]Shuyuan Yu, Yibo Liu, Sheldon X.-D. Tan:
COSAIM: Counter-based Stochastic-behaving Approximate Integer Multiplier for Deep Neural Networks. DAC 2021: 499-504 - [c167]Wentian Jin, Liang Chen, Sheriff Sadiqbatcha, Shaoyi Peng, Sheldon X.-D. Tan:
EMGraph: Fast Learning-Based Electromigration Analysis for Multi-Segment Interconnect Using Graph Convolution Networks. DAC 2021: 919-924 - [c166]Wentian Jin, Shaoyi Peng, Sheldon X.-D. Tan:
Data-Driven Electrostatics Analysis based on Physics-Constrained Deep learning. DATE 2021: 1382-1387 - [c165]Yibo Liu, Shuyuan Yu, Shaoyi Peng, Sheldon X.-D. Tan:
Runtime Long-Term Reliability Management Using Stochastic Computing in Deep Neural Networks. ISQED 2021: 553-558 - [c164]Subed Lamichhane, Shaoyi Peng, Wentian Jin, Sheldon X.-D. Tan:
Fast Electrostatic Analysis For VLSI Aging based on Generative Learning. MLCAD 2021: 1-6 - [c163]Shuyuan Yu, Yibo Liu, Sheldon X.-D. Tan:
Approximate Divider Design Based on Counting-Based Stochastic Computing Division. MLCAD 2021: 1-6 - [c162]Hussam Amrouch, Animesh Basak Chowdhury, Wentian Jin, Ramesh Karri, Farshad Khorrami, Prashanth Krishnamurthy, Ilia Polian, Victor M. van Santen, Benjamin Tan, Sheldon X.-D. Tan:
Special Session: Machine Learning for Semiconductor Test and Reliability. VTS 2021: 1-11 - 2020
- [j92]Shaoyi Peng, Sheldon X.-D. Tan:
GLU3.0: Fast GPU-based Parallel Sparse LU Factorization for Circuit Simulation. IEEE Des. Test 37(3): 78-90 (2020) - [j91]Shaoyi Peng, Ertugrul Demircan, Mehul D. Shroff, Sheldon X.-D. Tan:
Full-chip wire-oriented back-end-of-line TDDB hotspot detection and lifetime analysis. Integr. 70: 90-98 (2020) - [j90]Sheldon X.-D. Tan, Zeyu Sun, Sheriff Sadiqbatcha:
Interconnect Electromigration Modeling and Analysis for Nanometer ICs: From Physics to Full-Chip. IPSJ Trans. Syst. LSI Des. Methodol. 13: 42-55 (2020) - [j89]Sheriff Sadiqbatcha, Zeyu Sun, Sheldon X.-D. Tan:
Accelerating Electromigration Aging: Fast Failure Detection for Nanometer ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(4): 885-894 (2020) - [j88]Hai Wang, Xingxing Guo, Sheldon X.-D. Tan, Chi Zhang, He Tang, Yuan Yuan:
Leakage-Aware Predictive Thermal Management for Multicore Systems Using Echo State Network. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(7): 1400-1413 (2020) - [j87]Liang Chen, Sheldon X.-D. Tan, Zeyu Sun, Shaoyi Peng, Min Tang, Junfa Mao:
Fast Analytic Electromigration Analysis for General Multisegment Interconnect Wires. IEEE Trans. Very Large Scale Integr. Syst. 28(2): 421-432 (2020) - [c161]Shaobin Ma, Xiaoyi Wang, Sheldon X.-D. Tan, Liang Chen, Jian He:
An Adaptive Electromigration Assessment Algorithm for Full-chip Power/Ground Networks. ASP-DAC 2020: 38-43 - [c160]Han Zhou, Shuyuan Yu, Zeyu Sun, Sheldon X.-D. Tan:
Reliable Power Grid Network Design Framework Considering EM Immortalities for Multi-Segment Wires. ASP-DAC 2020: 74-79 - [c159]Sheriff Sadiqbatcha, Yue Zhao, Jinwei Zhang, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Machine Learning Based Online Full-Chip Heatmap Estimation. ASP-DAC 2020: 229-234 - [c158]Shuyuan Yu, Han Zhou, Shaoyi Peng, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management: Work-in-Progress. CASES 2020: 1-3 - [c157]Jinwei Zhang, Sheriff Sadiqbatcha, Wentian Jin, Sheldon X.-D. Tan:
Accurate Power Density Map Estimation for Commercial Multi-Core Microprocessors. DATE 2020: 1085-1090 - [c156]Mohammadamir Kavousi, Liang Chen, Sheldon X.-D. Tan:
Electromigration Immortality Check considering Joule Heating Effect for Multisegment Wires. ICCAD 2020: 6:1-6:8 - [c155]Wentian Jin, Sheriff Sadiqbatcha, Jinwei Zhang, Sheldon X.-D. Tan:
Full-Chip Thermal Map Estimation for Commercial Multi-Core CPUs with Generative Adversarial Learning. ICCAD 2020: 14:1-14:9 - [c154]Han Zhou, Wentian Jin, Sheldon X.-D. Tan:
GridNet: Fast Data-Driven EM-Induced IR Drop Prediction and Localized Fixing for On-Chip Power Grid Networks. ICCAD 2020: 160:1-160:9 - [c153]Wentian Jin, Sheriff Sadiqbatcha, Zeyu Sun, Han Zhou, Sheldon X.-D. Tan:
EM-GAN: Data-Driven Fast Stress Analysis for Multi-Segment Interconnects. ICCD 2020: 296-303 - [c152]Shaoyi Peng, Wentian Jin, Liang Chen, Sheldon X.-D. Tan:
Data-Driven Fast Electrostatics and TDDB Aging Analysis. MLCAD 2020: 71-76 - [c151]Jinwei Zhang, Sheriff Sadiqbatcha, Yuanqi Gao, Michael O'Dea, Nanpeng Yu, Sheldon X.-D. Tan:
HAT-DRL: Hotspot-Aware Task Mapping for Lifetime Improvement of Multicore System using Deep Reinforcement Learning. MLCAD 2020: 77-82 - [i5]Wentian Jin, Sheriff Sadiqbatcha, Jinwei Zhang, Sheldon X.-D. Tan:
EM-GAN: Fast Stress Analysis for Multi-Segment Interconnect Using Generative Adversarial Networks. CoRR abs/2004.13181 (2020) - [i4]Shuyuan Yu, Han Zhou, Shaoyi Peng, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Run-Time Accuracy Reconfigurable Stochastic Computing for Dynamic Reliability and Power Management. CoRR abs/2004.13320 (2020)
2010 – 2019
- 2019
- [j86]Chase Cook, Sheriff Sadiqbatcha, Zeyu Sun, Sheldon X.-D. Tan:
Reliability based hardware Trojan design using physics-based electromigration models. Integr. 66: 9-15 (2019) - [j85]Chase Cook, Hengyang Zhao, Takashi Sato, Masayuki Hiromoto, Sheldon X.-D. Tan:
GPU-based Ising computing for solving max-cut combinatorial optimization problems. Integr. 69: 335-344 (2019) - [j84]Hai Wang, Diya Tang, Ming Zhang, Sheldon X.-D. Tan, Chi Zhang, He Tang, Yuan Yuan:
GDP: A Greedy Based Dynamic Power Budgeting Method for Multi/Many-Core Systems in Dark Silicon. IEEE Trans. Computers 68(4): 526-541 (2019) - [j83]Han Zhou, Zeyu Sun, Sheriff Sadiqbatcha, Naehyuck Chang, Sheldon X.-D. Tan:
EM-Aware and Lifetime-Constrained Optimization for Multisegment Power Grid Networks. IEEE Trans. Very Large Scale Integr. Syst. 27(4): 940-953 (2019) - [j82]Zeyu Sun, Sheriff Sadiqbatcha, Hengyang Zhao, Sheldon X.-D. Tan:
Saturation-Volume Estimation for Multisegment Copper Interconnect Wires. IEEE Trans. Very Large Scale Integr. Syst. 27(7): 1666-1674 (2019) - [c150]Ke Yang, Shaoyi Peng, Sheldon X.-D. Tan, Hai-Bao Chen:
Multi-Thread Assembling for Fast FEM Power Delivery DC Integrity Analysis. ASICON 2019: 1-4 - [c149]Sheriff Sadiqbatcha, Hengyang Zhao, Hussam Amrouch, Jörg Henkel, Sheldon X.-D. Tan:
Hot Spot Identification and System Parameterized Thermal Modeling for Multi-Core Processors Through Infrared Thermal Imaging. DATE 2019: 48-53 - [c148]Zeyu Sun, Taeyoung Kim, Marcus Chow, Shaoyi Peng, Han Zhou, Hyoseung Kim, Daniel Wong, Sheldon X.-D. Tan:
Long-Term Reliability Management For Multitasking GPGPUs. SMACD 2019: 213-216 - [c147]Zeyu Sun, Han Zhou, Sheldon X.-D. Tan:
Dynamic Reliability Management for Multi-Core Processor Based on Deep Reinforcement Learning. SMACD 2019: 217-220 - [e1]Sheldon X.-D. Tan, Mehdi Baradaran Tahoori, Taeyoung Kim, Shengcheng Wang, Zeyu Sun, Saman Kiamehr:
Long-Term Reliability of Nanometer VLSI Systems, Modeling, Analysis and Optimization. Springer 2019, ISBN 978-3-030-26171-9 - [i3]Shaoyi Peng, Sheldon X.-D. Tan:
GLU3.0: Fast GPU-based Parallel Sparse LU Factorization for Circuit Simulation. CoRR abs/1908.00204 (2019) - [i2]Chase Cook, Wentian Jin, Sheldon X.-D. Tan:
GPU-based Ising Computing for Solving Balanced Min-Cut Graph Partitioning Problem. CoRR abs/1908.00210 (2019) - 2018
- [j81]Sheldon X.-D. Tan, Hussam Amrouch, Taeyoung Kim, Zeyu Sun, Chase Cook, Jörg Henkel:
Recent advances in EM and BTI induced reliability modeling, analysis and optimization (invited). Integr. 60: 132-152 (2018) - [j80]Taeyoung Kim, Sheldon X.-D. Tan, Chase Cook, Zeyu Sun:
Detection of counterfeited ICs via on-chip sensor and post-fabrication authentication policy. Integr. 63: 31-40 (2018) - [j79]Taeyoung Kim, Zao Liu, Sheldon X.-D. Tan:
Dynamic reliability management based on resource-based EM modeling for multi-core microprocessors. Microelectron. J. 74: 106-115 (2018) - [j78]Hai Wang, Jiachun Wan, Sheldon X.-D. Tan, Chi Zhang, He Tang, Yuan Yuan, Keheng Huang, Zhenghong Zhang:
A Fast Leakage-Aware Full-Chip Transient Thermal Estimation Method. IEEE Trans. Computers 67(5): 617-630 (2018) - [j77]Zeyu Sun, Ertugrul Demircan, Mehul D. Shroff, Chase Cook, Sheldon X.-D. Tan:
Fast Electromigration Immortality Analysis for Multisegment Copper Interconnect Wires. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(12): 3137-3150 (2018) - [j76]Hengyang Zhao, Qi Hua, Hai-Bao Chen, Yaoyao Ye, Hai Wang, Sheldon X.-D. Tan, Esteban Tlelo-Cuautle:
Thermal-Sensor-Based Occupancy Detection for Smart Buildings Using Machine-Learning Methods. ACM Trans. Design Autom. Electr. Syst. 23(4): 54:1-54:21 (2018) - [j75]Shaoyi Peng, Han Zhou, Taeyoung Kim, Hai-Bao Chen, Sheldon X.-D. Tan:
Physics-Based Compact TDDB Models for Low-k BEOL Copper Interconnects With Time-Varying Voltage Stressing. IEEE Trans. Very Large Scale Integr. Syst. 26(2): 239-248 (2018) - [j74]Shengcheng Wang, Taeyoung Kim, Zeyu Sun, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori:
Recovery-Aware Proactive TSV Repair for Electromigration Lifetime Enhancement in 3-D ICs. IEEE Trans. Very Large Scale Integr. Syst. 26(3): 531-543 (2018) - [j73]Chase Cook, Zeyu Sun, Ertugrul Demircan, Mehul D. Shroff, Sheldon X.-D. Tan:
Fast Electromigration Stress Evolution Analysis for Interconnect Trees Using Krylov Subspace Method. IEEE Trans. Very Large Scale Integr. Syst. 26(5): 969-980 (2018) - [j72]Hengyang Zhao, Sheldon X.-D. Tan:
Postvoiding FEM Analysis for Electromigration Failure Characterization. IEEE Trans. Very Large Scale Integr. Syst. 26(11): 2483-2493 (2018) - [c146]Han Zhou, Yijing Sun, Zeyu Sun, Hengyang Zhao, Sheldon X.-D. Tan:
Electromigration-lifetime constrained power grid optimization considering multi-segment interconnect wires. ASP-DAC 2018: 399-404 - [c145]Zeyu Sun, Sheriff Sadiqbatcha, Hengyang Zhao, Sheldon X.-D. Tan:
Accelerating electromigration aging for fast failure detection for nanometer ICs. ASP-DAC 2018: 623-630 - [c144]Hengyang Zhao, Sheldon X.-D. Tan:
Multi-physics-based FEM analysis for post-voiding analysis of electromigration failure effects. ICCAD 2018: 124 - [c143]Ana Dalia Pano-Azucena, Esteban Tlelo-Cuautle, Sheldon X.-D. Tan:
Electronic System for Chaotic Time Series Prediction Associated to Human Disease. ICHI 2018: 323-327 - [c142]Ana Dalia Pano-Azucena, Esteban Tlelo-Cuautle, Sheldon X.-D. Tan:
Prediction of chaotic time series by using ANNs, ANFIS and SVMs. MOCAST 2018: 1-4 - [c141]Chase Cook, Sheriff Sadiqbatcha, Zeyu Sun, Sheldon X.-D. Tan:
Reliability Based Hardware Trojan Design Using Physics-Based Electromigration Models. SMACD 2018: 5-8 - [c140]Sheriff Sadiqbatcha, Chase Cook, Zeyu Sun, Sheldon X.-D. Tan:
Accelerating Electromigration Wear-Out Effects Based on Configurable Sink-Structured Wires. SMACD 2018: 21-24 - [i1]Yuan Cheng, Guangya Li, Hai-Bao Chen, Sheldon X.-D. Tan, Hao Yu:
DEEPEYE: A Compact and Accurate Video Comprehension at Terminal Devices Compressed with Quantization and Tensorization. CoRR abs/1805.07935 (2018) - 2017
- [j71]Xin Huang, Valeriy Sukharev, Taeyoung Kim, Sheldon X.-D. Tan:
Dynamic electromigration modeling for transient stress evolution and recovery under time-dependent current and temperature stressing. Integr. 58: 518-527 (2017) - [j70]Krishnendu Chakrabarty, Massimo Alioto, Bevan M. Baas, Chirn Chye Boon, Meng-Fan Chang, Naehyuck Chang, Yao-Wen Chang, Chip-Hong Chang, Shih-Chieh Chang, Poki Chen, Masud H. Chowdhury, Pasquale Corsonello, Ibrahim Abe M. Elfadel, Said Hamdioui, Masanori Hashimoto, Tsung-Yi Ho, Houman Homayoun, Yuh-Shyan Hwang, Rajiv V. Joshi, Tanay Karnik, Mehran Mozaffari Kermani, Chulwoo Kim, Tae-Hyoung Kim, Jaydeep P. Kulkarni, Eren Kursun, Erik Larsson, Hai (Helen) Li, Huawei Li, Patrick P. Mercier, Prabhat Mishra, Makoto Nagata, Arun S. Natarajan, Koji Nii, Partha Pratim Pande, Ioannis Savidis, Mingoo Seok, Sheldon X.-D. Tan, Mark M. Tehranipoor, Aida Todri-Sanial, Miroslav N. Velev, Xiaoqing Wen, Jiang Xu, Wei Zhang, Zhengya Zhang, Stacey Weber Jackson:
Editorial. IEEE Trans. Very Large Scale Integr. Syst. 25(1): 1-20 (2017) - [j69]Taeyoung Kim, Zeyu Sun, Hai-Bao Chen, Hai Wang, Sheldon X.-D. Tan:
Energy and Lifetime Optimizations for Dark Silicon Manycore Microprocessor Considering Both Hard and Soft Errors. IEEE Trans. Very Large Scale Integr. Syst. 25(9): 2561-2574 (2017) - [c139]Wei He, Hengyang Zhao, Zhongdong Qi, Hai-Bao Chen, Sheldon X.-D. Tan:
Fast two-dimensional finite element analysis for power network DC integrity checks of PCBs. ASICON 2017: 76-79 - [c138]Jiangtao Peng, Hai-Bao Chen, Hengyang Zhao, Zeyu Sun, Sheldon X.-D. Tan:
Dynamic temperature-aware reliability modeling for multi-branch interconnect trees. ASICON 2017: 92-95 - [c137]Shengcheng Wang, Hengyang Zhao, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori:
Recovery-aware proactive TSV repair for electromigration in 3D ICs. DATE 2017: 220-225 - [c136]Xiaoyi Wang, Hongyu Wang, Jian He, Sheldon X.-D. Tan, Yici Cai, Shengqi Yang:
Physics-based electromigration modeling and assessment for multi-segment interconnects in power grid networks. DATE 2017: 1727-1732 - [c135]Xiaoyi Wang, Yan Yan, Jian He, Sheldon X.-D. Tan, Chase Cook, Shengqi Yang:
Fast physics-based electromigration analysis for multi-branch interconnect trees. ICCAD 2017: 169-176 - [c134]Shengcheng Wang, Zeyu Sun, Yuan Cheng, Sheldon X.-D. Tan, Mehdi Baradaran Tahoori:
Leveraging recovery effect to reduce electromigration degradation in power/ground TSV. ICCAD 2017: 811-818 - [c133]Ana Dalia Pano-Azucena, Esteban Tlelo-Cuautle, Luis Gerardo de la Fraga, Carlos Sánchez-López, Jose de Jesus Rangel-Magdaleno, Sheldon X.-D. Tan:
Prediction of chaotic time-series with different MLE values using FPGA-based ANNs. SMACD 2017: 1-4 - [c132]Yaoyao Ye, Taeyoung Kim, Hai-Bao Chen, Hai Wang, Esteban Tlelo-Cuautle, Sheldon X.-D. Tan:
Comprehensive detection of counterfeit ICs via on-chip sensor and post-fabrication authentication policy. SMACD 2017: 1-4 - 2016
- [j68]Kai He, Xin Huang, Sheldon X.-D. Tan:
EM-Based On-Chip Aging Sensor for Detection of Recycled ICs. IEEE Des. Test 33(5): 56-64 (2016) - [j67]Kai He, Sheldon X.-D. Tan, Hengyang Zhao, Xuexin Liu, Hai Wang, Guoyong Shi:
Parallel GMRES solver for fast analysis of large linear dynamic systems on GPU platforms. Integr. 52: 10-22 (2016) - [j66]Xin Huang, Valeriy Sukharev, Jun-Ho Choy, Marko Chew, Taeyoung Kim, Sheldon X.-D. Tan:
Electromigration assessment for power grid networks considering temperature and thermal stress effects. Integr. 55: 307-315 (2016) - [j65]Xin Li, Sheldon X.-D. Tan, Yu Wang:
Editorial: Special Issue on The 14th International Conference on Computer-Aided Design and Computer Graphics (CAD/Graphics 2015). Integr. 55: 425 (2016) - [j64]Hai-Bao Chen, Sheldon X.-D. Tan, Xin Huang, Taeyoung Kim, Valeriy Sukharev:
Analytical Modeling and Characterization of Electromigration Effects for Multibranch Interconnect Trees. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(11): 1811-1824 (2016) - [j63]Xin Huang, Armen Kteyan, Sheldon X.-D. Tan, Valeriy Sukharev:
Physics-Based Electromigration Models and Full-Chip Assessment for Power Grid Networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(11): 1848-1861 (2016) - [j62]Yue Zhao, Taeyoung Kim, Hosoon Shin, Sheldon X.-D. Tan, Xin Li, Hai-Bao Chen, Hai Wang:
Statistical Rare-Event Analysis and Parameter Guidance by Elite Learning Sample Selection. ACM Trans. Design Autom. Electr. Syst. 21(4): 56:1-56:21 (2016) - [j61]Hai Wang, Jian Ma, Sheldon X.-D. Tan, Chi Zhang, He Tang, Keheng Huang, Zhenghong Zhang:
Hierarchical Dynamic Thermal Management Method for High-Performance Many-Core Microprocessors. ACM Trans. Design Autom. Electr. Syst. 22(1): 1:1-1:21 (2016) - [j60]Kai He, Sheldon X.-D. Tan, Hai Wang, Guoyong Shi:
GPU-Accelerated Parallel Sparse LU Factorization Method for Fast Circuit Analysis. IEEE Trans. Very Large Scale Integr. Syst. 24(3): 1140-1150 (2016) - [j59]Kai He, Sheldon X.-D. Tan:
Corrections to "GPU-Accelerated Parallel Sparse LU Factorization Method for Fast Circuit Analysis". IEEE Trans. Very Large Scale Integr. Syst. 24(3): 1212 (2016) - [c131]Xin Huang, Valeriy Sukharev, Taeyoung Kim, Hai-Bao Chen, Sheldon X.-D. Tan:
Electromigration recovery modeling and analysis under time-dependent current and temperature stressing. ASP-DAC 2016: 244-249 - [c130]Wandi Liu, Hai Wang, Hengyang Zhao, Shujuan Wang, Hai-Bao Chen, Yuzhuo Fu, Jian Ma, Xin Li, Sheldon X.-D. Tan:
Thermal modeling for energy-efficient smart building with advanced overfitting mitigation technique. ASP-DAC 2016: 417-422 - [c129]Taeyoung Kim, Zeyu Sun, Chase Cook, Hengyang Zhao, Ruiwen Li, Daniel Wong, Sheldon X.-D. Tan:
Invited - Cross-layer modeling and optimization for electromigration induced reliability. DAC 2016: 30:1-30:6 - [c128]Xin Huang, Valeriy Sukharev, Zhongdong Qi, Taeyoung Kim, Sheldon X.-D. Tan:
Physics-based full-chip TDDB assessment for BEOL interconnects. DAC 2016: 45:1-45:6 - [c127]Taeyoung Kim, Xin Huang, Hai-Bao Chen, Valeriy Sukharev, Sheldon X.-D. Tan:
Learning-based dynamic reliability management for dark silicon processor considering EM effects. DATE 2016: 463-468 - [c126]Taeyoung Kim, Zeyu Sun, Chase Cook, Jagadeesh Gaddipati, Hai Wang, Hai-Bao Chen, Sheldon X.-D. Tan:
Dynamic reliability management for near-threshold dark silicon processors. ICCAD 2016: 70 - [c125]Zeyu Sun, Ertugrul Demircan, Mehul D. Shroff, Taeyoung Kim, Xin Huang, Sheldon X.-D. Tan:
Voltage-based electromigration immortality check for general multi-branch interconnects. ICCAD 2016: 113 - [c124]Xiaoming Chen, Xin Li, Sheldon X.-D. Tan:
Overview of cyber-physical temperature estimation in smart buildings: From modeling to measurements. INFOCOM Workshops 2016: 251-256 - [c123]Hengyang Zhao, Zhongdong Qi, Shujuan Wang, Kambiz Vafai, Hai Wang, Hai-Bao Chen, Sheldon X.-D. Tan:
Learning-based occupancy behavior detection for smart buildings. ISCAS 2016: 954-957 - [c122]Lang Zhang, Hai Wang, Sheldon X.-D. Tan:
Fast stress analysis for runtime reliability enhancement of 3D IC using artificial neural network. ISQED 2016: 173-178 - [c121]Hengyang Zhao, Sheldon X.-D. Tan, Hai Wang, Hai-Bao Chen:
Online Unusual Behavior Detection for Temperature Sensor Networks. ISVLSI 2016: 59-62 - [c120]Chase Cook, Zeyu Sun, Taeyoung Kim, Sheldon X.-D. Tan:
Finite difference method for electromigration analysis of multi-branch interconnects. SMACD 2016: 1-4 - [c119]Hai Wang, Ming Zhang, Sheldon X.-D. Tan, Chi Zhang, Yuan Yuan, Keheng Huang, Zhenghong Zhang:
New power budgeting and thermal management scheme for multi-core systems in dark silicon. SoCC 2016: 344-349 - 2015
- [j58]Hai-Bao Chen, Sheldon X.-D. Tan, David H. Shin, Xin Huang, Hai Wang, Guoyong Shi:
H2-matrix-based finite element linear solver for fast transient thermal analysis of high-performance ICs. Int. J. Circuit Theory Appl. 43(12): 1953-1970 (2015) - [j57]Hai-Bao Chen, Ying-Chi Li, Sheldon X.-D. Tan, Xin Huang, Hai Wang, Ngai Wong:
H-Matrix-Based Finite-Element-Based Thermal Analysis for 3D ICs. ACM Trans. Design Autom. Electr. Syst. 20(4): 47:1-47:25 (2015) - [j56]Zao Liu, Sheldon X.-D. Tan, Xin Huang, Hai Wang:
Task Migrations for Distributed Thermal Management Considering Transient Effects. IEEE Trans. Very Large Scale Integr. Syst. 23(2): 397-401 (2015) - [j55]Xuexin Liu, Hao Yu, Sheldon X.-D. Tan:
A GPU-Accelerated Parallel Shooting Algorithm for Analysis of Radio Frequency and Microwave Integrated Circuits. IEEE Trans. Very Large Scale Integr. Syst. 23(3): 480-492 (2015) - [j54]Xuexin Liu, Kuangya Zhai, Zao Liu, Kai He, Sheldon X.-D. Tan, Wenjian Yu:
Parallel Thermal Analysis of 3-D Integrated Circuits With Liquid Cooling on CPU-GPU Platforms. IEEE Trans. Very Large Scale Integr. Syst. 23(3): 575-579 (2015) - [c118]Hai-Bao Chen, Sheldon X.-D. Tan, Xin Huang, Valeriy Sukharev:
New electromigration modeling and analysis considering time-varying temperature and current densities. ASP-DAC 2015: 352-357 - [c117]Yan Zhu, Sheldon X.-D. Tan:
GPU-accelerated parallel Monte Carlo analysis of analog circuits by hierarchical graph-based solver. ASP-DAC 2015: 719-724 - [c116]Hai-Bao Chen, Sheldon X.-D. Tan, Valeriy Sukharev, Xin Huang, Taeyoung Kim:
Interconnect reliability modeling and analysis for multi-branch interconnect trees. DAC 2015: 90:1-90:6 - [c115]Kai He, Xin Huang, Sheldon X.-D. Tan:
EM-Based on-Chip Aging Sensor for Detection and Prevention of Counterfeit and Recycled ICs. ICCAD 2015: 146-151 - [c114]Hengyang Zhao, Daniel Quach, Shujuan Wang, Hai Wang, Hai-Bao Chen, Xin Li, Sheldon X.-D. Tan:
Learning Based Compact Thermal Modeling for Energy-Efficient Smart Building Management: (invited). ICCAD 2015: 450-456 - [c113]Xiaoming Chen, Xin Li, Sheldon X.-D. Tan:
From Robust Chip to Smart Building: CAD Algorithms and Methodologies for Uncertainty Analysis of Building Performance. ICCAD 2015: 457-464 - [c112]Hosoon Shin, Sheldon X.-D. Tan, Guoyong Shi, Esteban Tlelo-Cuautle:
Rare event diagnosis by iterative failure region locating and elite learning sample selection. LATS 2015: 1-5 - [c111]Yue Zhao, Hosoon Shin, Hai-Bao Chen, Sheldon X.-D. Tan, Guoyong Shi, Xin Li:
Statistical rare event analysis using smart sampling and parameter guidance. SoCC 2015: 53-58 - 2014
- [j53]Zao Liu, Sheldon X.-D. Tan, Hai Wang, Yingbo Hua, Ashish Gupta:
Compact thermal modeling for packaged microprocessor design with practical power maps. Integr. 47(1): 71-85 (2014) - [j52]Zao Liu, Sahana Swarup, Sheldon X.-D. Tan, Hai-Bao Chen, Hai Wang:
Compact Lateral Thermal Resistance Model of TSVs for Fast Finite-Difference Based Thermal Analysis of 3-D Stacked ICs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 33(10): 1490-1502 (2014) - [c110]Jian Ma, Hai Wang, Sheldon X.-D. Tan, Chi Zhang, He Tang:
Hybrid dynamic thermal management method with model predictive control. APCCAS 2014: 743-746 - [c109]Tan Yu, Sheldon X.-D. Tan, Yici Cai, Puying Tang:
Time-domain performance bound analysis for analog and interconnect circuits considering process variations. ASP-DAC 2014: 455-460 - [c108]Xin Huang, Tan Yu, Valeriy Sukharev, Sheldon X.-D. Tan:
Physics-based Electromigration Assessment for Power Grid Networks. DAC 2014: 80:1-80:6 - [c107]Tianshu Wei, Taeyoung Kim, Sangyoung Park, Qi Zhu, Sheldon X.-D. Tan, Naehyuck Chang, Sadrul Ula, Mehdi Maasoumy:
Battery Management and Application for Energy-Efficient Buildings. DAC 2014: 136:1-136:6 - [c106]Valeriy Sukharev, Xin Huang, Hai-Bao Chen, Sheldon X.-D. Tan:
IR-drop based electromigration assessment: parametric failure chip-scale analysis. ICCAD 2014: 428-433 - [c105]Taeyoung Kim, Bowen Zheng, Hai-Bao Chen, Qi Zhu, Valeriy Sukharev, Sheldon X.-D. Tan:
Lifetime optimization for real-time embedded systems considering electromigration effects. ICCAD 2014: 434-439 - [c104]Ying-Chi Li, Sheldon X.-D. Tan, Tan Yu, Xin Huang, Ngai Wong:
Direct finite-element-based solver for 3D-IC thermal analysis via H-matrix representation. ISQED 2014: 386-391 - [c103]Kai He, Sheldon X.-D. Tan, Esteban Tlelo-Cuautle, Hai Wang, He Tang:
A new segmentation-based GPU-accelerated sparse matrix-vector multiplication. MWSCAS 2014: 1013-1016 - 2013
- [j51]Zhigang Hao, Sheldon X.-D. Tan, Guoyong Shi:
Statistical full-chip total power estimation considering spatially correlated process variations. Integr. 46(1): 80-88 (2013) - [j50]Hai Wang, Sheldon X.-D. Tan, Duo Li, Ashish Gupta, Yuan Yuan:
Composable thermal modeling and simulation for architecture-level thermal designs of multicore microprocessors. ACM Trans. Design Autom. Electr. Syst. 18(2): 28:1-28:27 (2013) - [j49]Xuexin Liu, Sheldon X.-D. Tan, Adolfo Adair Palma-Rodriguez, Esteban Tlelo-Cuautle, Guoyong Shi:
Performance bound analysis of analog circuits in frequency- and time-domain considering process variations. ACM Trans. Design Autom. Electr. Syst. 19(1): 6:1-6:22 (2013) - [j48]Zhigang Hao, Guoyong Shi, Sheldon X.-D. Tan, Esteban Tlelo-Cuautle:
Symbolic Moment Computation for Statistical Analysis of Large Interconnect Networks. IEEE Trans. Very Large Scale Integr. Syst. 21(5): 944-957 (2013) - [c102]Zao Liu, Xin Huang, Sheldon X.-D. Tan, Hai Wang, He Tang:
Distributed task migration for thermal hot spot reduction in many-core microprocessors. ASICON 2013: 1-4 - [c101]Zao Liu, Sheldon X.-D. Tan, Hai Wang, Sahana Swarup, Ashish Gupta:
Compact nonlinear thermal modeling of packaged integrated systems. ASP-DAC 2013: 157-162 - [c100]Zao Liu, Tailong Xu, Sheldon X.-D. Tan, Hai Wang:
Dynamic thermal management for multi-core microprocessors considering transient thermal effects. ASP-DAC 2013: 473-478 - [c99]Xuexin Liu, Adolfo Adair Palma-Rodriguez, Santiago Rodriguez-Chavez, Sheldon X.-D. Tan, Esteban Tlelo-Cuautle, Yici Cai:
Performance bound and yield analysis for analog circuits under process variations. ASP-DAC 2013: 761-766 - [c98]Hai Wang, Sheldon X.-D. Tan, Sahana Swarup, Xuexin Liu:
A power-driven thermal sensor placement algorithm for dynamic thermal management. DATE 2013: 1215-1220 - [c97]Zao Liu, Sahana Swarup, Sheldon X.-D. Tan:
Compact lateral thermal resistance modeling and characterization for TSV and TSV array. ICCAD 2013: 275-280 - [c96]Xuexin Liu, Hai Wang, Sheldon X.-D. Tan:
Parallel power grid analysis using preconditioned GMRES solver on CPU-GPU platforms. ICCAD 2013: 561-568 - 2012
- [b1]Ruijing Shen, Sheldon X.-D. Tan, Hao Yu:
Statistical Performance Analysis and Modeling Techniques for Nanometer VLSI Designs. Springer 2012, ISBN 978-1-4614-0787-4, pp. I-XXIX, 1-305 - [j47]Zuying Luo, Guoxing Zhao, Joseph A. Gordon, Sheldon X.-D. Tan:
Localized relaxation theory of circuits and its applications in electro-thermal analyses. Sci. China Inf. Sci. 55(4): 938-950 (2012) - [j46]Hai Wang, Hao Yu, Sheldon X.-D. Tan:
Fast timing analysis of clock networks considering environmental uncertainty. Integr. 45(4): 376-387 (2012) - [j45]Hai Wang, Sheldon X.-D. Tan, Ryan Rakib:
Compact Modeling of Interconnect Circuits over Wide Frequency Band by Adaptive Complex-Valued Sampling Method. ACM Trans. Design Autom. Electr. Syst. 17(1): 5:1-5:22 (2012) - [j44]Fang Gong, Xuexin Liu, Hao Yu, Sheldon X.-D. Tan, Junyan Ren, Lei He:
A Fast Non-Monte-Carlo Yield Analysis and Optimization by Stochastic Orthogonal Polynomials. ACM Trans. Design Autom. Electr. Syst. 17(1): 10:1-10:23 (2012) - [j43]Ruijing Shen, Sheldon X.-D. Tan, Hai Wang, Jinjun Xiong:
Fast Statistical Full-Chip Leakage Analysis for Nanometer VLSI Systems. ACM Trans. Design Autom. Electr. Syst. 17(4): 51:1-51:19 (2012) - [j42]Thom Jefferson A. Eguia, Sheldon X.-D. Tan, Ruijing Shen, Duo Li, Eduardo H. Pacheco, Murli Tirumala, Lingli Wang:
General Parameterized Thermal Modeling for High-Performance Microprocessor Design. IEEE Trans. Very Large Scale Integr. Syst. 20(2): 211-224 (2012) - [j41]Boyuan Yan, Sheldon X.-D. Tan, Lingfei Zhou, Jie Chen, Ruijing Shen:
Decentralized and Passive Model Order Reduction of Linear Networks With Massive Ports. IEEE Trans. Very Large Scale Integr. Syst. 20(5): 865-877 (2012) - [c95]Xuexin Liu, Sheldon X.-D. Tan, Zhigang Hao, Guoyong Shi:
Time-domain performance bound analysis of analog circuits considering process variations. ASP-DAC 2012: 535-540 - [c94]Hai Wang, Sheldon X.-D. Tan, Xuexin Liu, Ashish Gupta:
Runtime power estimator calibration for high-performance microprocessors. DATE 2012: 352-357 - [c93]Xuexin Liu, Sheldon X.-D. Tan, Hai Wang:
Parallel statistical analysis of analog circuits by GPU-accelerated graph-based approach. DATE 2012: 852-857 - [c92]Xuexin Liu, Sheldon X.-D. Tan, Hai Wang, Hao Yu:
A GPU-accelerated envelope-following method for switching power converter simulation. DATE 2012: 1349-1354 - [c91]Xuexin Liu, Zao Liu, Sheldon X.-D. Tan, Joseph A. Gordon:
Full-chip thermal analysis of 3D ICs with liquid cooling by GPU-accelerated GMRES method. ISQED 2012: 123-128 - [c90]Ruijing Shen, Sheldon X.-D. Tan, Xuexin Liu:
A new voltage binning technique for yield improvement based on graph theory. ISQED 2012: 243-248 - [c89]Esteban Tlelo-Cuautle, Carlos Sánchez-López, Sheldon X.-D. Tan:
Symbolic nodal analysis of analog integrated circuits using pathological elements. NEWCAS 2012: 161-164 - [c88]Xuexin Liu, Sheldon X.-D. Tan, Zao Liu, Hai Wang, Tailong Xu:
Transient analysis of large linear dynamic networks on hybrid GPU-multicore platforms. NEWCAS 2012: 173-176 - 2011
- [j40]Carlos Sánchez-López, Francisco V. Fernández, Esteban Tlelo-Cuautle, Sheldon X.-D. Tan:
Pathological Element-Based Active Device Models and Their Application to Symbolic Analysis. IEEE Trans. Circuits Syst. I Regul. Pap. 58-I(6): 1382-1395 (2011) - [c87]Sahana Swarup, Sheldon X.-D. Tan, Zao Liu, Hai Wang, Zhigang Hao, Guoyong Shi:
Battery state of charge estimation using adaptive subspace identification method. ASICON 2011: 91-94 - [c86]Xuexin Liu, Hao Yu, Jacob Relles, Sheldon X.-D. Tan:
A structured parallel periodic Arnoldi shooting algorithm for RF-PSS analysis based on GPU platforms. ASP-DAC 2011: 13-18 - [c85]Zhigang Hao, Sheldon X.-D. Tan, Ruijing Shen, Guoyong Shi:
Performance bound analysis of analog circuits considering process variations. DAC 2011: 310-315 - [c84]Zao Liu, Sheldon X.-D. Tan, Hai Wang, Rafael Quintanilla, Ashish Gupta:
Compact thermal modeling for package design with practical power maps. IGCC 2011: 1-5 - [c83]Hai Wang, Sheldon X.-D. Tan, Guangdeng Liao, Rafael Quintanilla, Ashish Gupta:
Full-chip runtime error-tolerant thermal estimation and prediction for practical thermal management. ICCAD 2011: 716-723 - [c82]Zhigang Hao, Sheldon X.-D. Tan, Guoyong Shi:
An efficient statistical chip-level total power estimation method considering process variations with spatial correlation. ISQED 2011: 671-676 - [c81]Zhigang Hao, Ruijing Shen, Sheldon X.-D. Tan, Bao Liu, Guoyong Shi, Yici Cai:
Statistical full-chip dynamic power estimation considering spatial correlations. ISQED 2011: 677-682 - 2010
- [j39]Ruijing Shen, Sheldon X.-D. Tan, Ning Mi, Yici Cai:
Statistical modeling and analysis of chip-level leakage power by spectral stochastic method. Integr. 43(1): 156-165 (2010) - [j38]Duo Li, Sheldon X.-D. Tan:
Statistical analysis of large on-chip power grid networks by variational reduction scheme. Integr. 43(2): 167-175 (2010) - [j37]Boyuan Yan, Sheldon X.-D. Tan, Jeffrey Fan:
Passive Rational Interpolation-Based Reduction via Carathéodory Extension for General Systems. IEEE Trans. Circuits Syst. II Express Briefs 57-II(9): 750-755 (2010) - [j36]Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala:
Parameterized architecture-level dynamic thermal models for multicore microprocessors. ACM Trans. Design Autom. Electr. Syst. 15(2): 16:1-16:22 (2010) - [j35]Hao Yu, Chunta Chu, Yiyu Shi, David Smart, Lei He, Sheldon X.-D. Tan:
Fast Analysis of a Large-Scale Inductive Interconnect by Block-Structure-Preserved Macromodeling. IEEE Trans. Very Large Scale Integr. Syst. 18(10): 1399-1411 (2010) - [j34]Ruijing Shen, Sheldon X.-D. Tan, Jian Cui, Wenjian Yu, Yici Cai, Gengsheng Chen:
Variational Capacitance Extraction and Modeling Based on Orthogonal Polynomial Method. IEEE Trans. Very Large Scale Integr. Syst. 18(11): 1556-1566 (2010) - [c80]Boyuan Yan, Sheldon X.-D. Tan, Gengsheng Chen, Yici Cai:
Efficient model reduction of interconnects via double gramians approximation. ASP-DAC 2010: 25-30 - [c79]Hai Wang, Sheldon X.-D. Tan, Gengsheng Chen:
Wideband reduced modeling of interconnect circuits by adaptive complex-valued sampling method. ASP-DAC 2010: 31-36 - [c78]Hao Yu, Xuexin Liu, Hai Wang, Sheldon X.-D. Tan:
A fast analog mismatch analysis by an incremental and stochastic trajectory piecewise linear macromodel. ASP-DAC 2010: 211-216 - [c77]Duo Li, Sheldon X.-D. Tan, Ning Mi, Yici Cai:
Efficient power grid integrity analysis using on-the-fly error check and reduction. ASP-DAC 2010: 763-768 - [c76]Ruijing Shen, Sheldon X.-D. Tan, Jinjun Xiong:
A linear algorithm for full-chip statistical leakage power analysis considering weak spatial correlation. DAC 2010: 481-486 - [c75]Xuexin Liu, Hao Yu, Sheldon X.-D. Tan:
A robust periodic arnoldi shooting algorithm for efficient analysis of large-scale RF/MM ICs. DAC 2010: 573-578 - [c74]Thom Jefferson A. Eguia, Sheldon X.-D. Tan, Ruijing Shen, Eduardo H. Pacheco, Murli Tirumala:
General behavioral thermal modeling and characterization for multi-core microprocessor design. DATE 2010: 1136-1141 - [c73]Kejie Ma, Lingli Wang, Xuegong Zhou, Sheldon X.-D. Tan, Jiarong Tong:
General switch box modeling and optimization for FPGA routing architectures. FPT 2010: 320-323 - [c72]Ruijing Shen, Sheldon X.-D. Tan, Jinjun Xiong:
A linear statistical analysis for full-chip leakage power with spatial correlation. ACM Great Lakes Symposium on VLSI 2010: 227-232 - [c71]Esteban Tlelo-Cuautle, Elyoenai Martínez-Romero, Carlos Sánchez-López, Sheldon X.-D. Tan:
Symbolic behavioral modeling of low voltage amplifiers. CCE 2010: 510-514
2000 – 2009
- 2009
- [j33]Duo Li, Sheldon X.-D. Tan:
Fast Analysis of On-Chip Power Grid Circuits by Extended Truncated Balanced Realization Method. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 92-A(12): 3061-3069 (2009) - [j32]Ning Mi, Sheldon X.-D. Tan, Boyuan Yan:
Multiple block structure-preserving reduced order modeling of interconnect circuits. Integr. 42(2): 158-168 (2009) - [j31]Duo Li, Sheldon X.-D. Tan, Lifeng Wu:
Hierarchical Krylov subspace based reduction of large interconnects. Integr. 42(2): 193-202 (2009) - [j30]Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala:
Architecture-Level Thermal Characterization for Multicore Microprocessors. IEEE Trans. Very Large Scale Integr. Syst. 17(10): 1495-1507 (2009) - [c70]Ruijing Shen, Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong:
Statistical modeling and analysis of chip-level leakage power by spectral stochastic method. ASP-DAC 2009: 161-166 - [c69]Duo Li, Sheldon X.-D. Tan, Gengsheng Chen, Xuan Zeng:
Statistical analysis of on-chip power grid networks by variational extended truncated balanced realization method. ASP-DAC 2009: 272-277 - [c68]Hai Wang, Hao Yu, Sheldon X.-D. Tan:
Fast analysis of nontree-clock network considering environmental uncertainty by parameterized and incremental macromodeling. ASP-DAC 2009: 379-384 - [c67]Jin Shi, Yici Cai, Wenting Hou, Liwei Ma, Sheldon X.-D. Tan, Pei-Hsin Ho, Xiaoyi Wang:
GPU friendly fast Poisson solver for structured power grid network analysis. DAC 2009: 178-183 - [c66]Xiaoyi Wang, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong, Jacob Relles:
An efficient decoupling capacitance optimization using piecewise polynomial models. DATE 2009: 1190-1195 - [c65]Xiaoyi Wang, Yici Cai, Qiang Zhou, Sheldon X.-D. Tan, Thom Jefferson A. Eguia:
Decoupling capacitance efficient placement for reducing transient power supply noise. ICCAD 2009: 745-751 - [c64]Esteban Tlelo-Cuautle, Elyoenai Martínez-Romero, Carlos Sánchez-López, Sheldon X.-D. Tan:
Symbolic formulation method for mixed-mode analog circuits using nullors. ICECS 2009: 856-859 - [c63]Zuying Luo, Jeffrey Fan, Sheldon X.-D. Tan:
Localized Statistical 3D Thermal Analysis Considering Electro-Thermal Coupling. ISCAS 2009: 1289-1292 - [c62]Thom Jefferson A. Eguia, Ning Mi, Sheldon X.-D. Tan:
Statistical decoupling capacitance allocation by efficient numerical quadrature method. ISQED 2009: 309-316 - 2008
- [j29]Yici Cai, Jin Shi, Zhu Pan, Xianlong Hong, Sheldon X.-D. Tan:
Large scale P/G grid transient simulation using hierarchical relaxed approach. Integr. 41(1): 153-160 (2008) - [j28]Pu Liu, Sheldon X.-D. Tan, Boyuan Yan, Bruce McGaughy:
An efficient terminal and model order reduction algorithm. Integr. 41(2): 210-218 (2008) - [j27]Sheldon X.-D. Tan, Pu Liu, Lin Jiang, Wei Wu, Murli Tirumala:
A Fast Architecture-Level Thermal Analysis Method for Runtime Thermal Regulation. J. Low Power Electron. 4(2): 139-148 (2008) - [j26]Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong:
Fast Variational Analysis of On-Chip Power Grids by Stochastic Extended Krylov Subspace Method. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 27(11): 1996-2006 (2008) - [j25]Yici Cai, Le Kang, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan:
Random Walk Guided Decap Embedding for Power/Ground Network Optimization. IEEE Trans. Circuits Syst. II Express Briefs 55-II(1): 36-40 (2008) - [j24]Ning Mi, Jeffrey Fan, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong:
Statistical Analysis of On-Chip Power Delivery Networks Considering Lognormal Leakage Current Variations With Spatial Correlation. IEEE Trans. Circuits Syst. I Regul. Pap. 55-I(7): 2064-2075 (2008) - [j23]Boyuan Yan, Sheldon X.-D. Tan, Bruce McGaughy:
Second-Order Balanced Truncation for Passive-Order Reduction of RLCK Circuits. IEEE Trans. Circuits Syst. II Express Briefs 55-II(9): 942-946 (2008) - [c61]Duo Li, Sheldon X.-D. Tan:
Hierarchical Krylov subspace reduced order modeling of large RLC circuits. ASP-DAC 2008: 170-175 - [c60]Duo Li, Sheldon X.-D. Tan, Murli Tirumala:
Architecture-level thermal behavioral characterization for multi-core microprocessors. ASP-DAC 2008: 456-461 - [c59]Boyuan Yan, Lingfei Zhou, Sheldon X.-D. Tan, Jie Chen, Bruce McGaughy:
DeMOR: decentralized model order reduction of linear networks with massive ports. DAC 2008: 409-414 - [c58]Duo Li, Sheldon X.-D. Tan, Bruce McGaughy:
ETBR: Extended Truncated Balanced Realization Method for On-Chip Power Grid Network Analysis. DATE 2008: 432-437 - [c57]Jian Cui, Gengsheng Chen, Ruijing Shen, Sheldon X.-D. Tan, Wenjian Yu, Jiarong Tong:
Variational capacitance modeling using orthogonal polynomial method. ACM Great Lakes Symposium on VLSI 2008: 23-28 - [c56]Pu Liu, Sheldon X.-D. Tan, Wei Wu, Murli Tirumala:
FEKIS: a fast architecture-level thermal analyzer for online thermal regulation. ACM Great Lakes Symposium on VLSI 2008: 411-416 - [c55]Duo Li, Sheldon X.-D. Tan, Eduardo H. Pacheco, Murli Tirumala:
Parameterized transient thermal behavioral modeling for chip multiprocessors. ICCAD 2008: 611-617 - [c54]Boyuan Yan, Sheldon X.-D. Tan, Gengsheng Chen, Lifeng Wu:
Modeling and simulation for on-chip power grid networks by locally dominant Krylov subspace method. ICCAD 2008: 744-749 - [c53]Zuying Luo, Sheldon X.-D. Tan:
Statistic Analysis of Power/Ground Networks Using Single-Node SOR Method. ISQED 2008: 867-872 - 2007
- [j22]Jeffrey Fan, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong:
Partitioning-based decoupling capacitor budgeting via sequence of linear programming. Integr. 40(4): 516-524 (2007) - [j21]Jin Shi, Yici Cai, Sheldon X.-D. Tan, Jeffrey Fan, Xianlong Hong:
Pattern-Based Iterative Method for Extreme Large Power/Ground Analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(4): 680-692 (2007) - [j20]Pu Liu, Sheldon X.-D. Tan, Bruce McGaughy, Lifeng Wu, Lei He:
TermMerg: An Efficient Terminal-Reduction Method for Interconnect Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 26(8): 1382-1392 (2007) - [j19]Wei Wu, Lingling Jin, Jun Yang, Pu Liu, Sheldon X.-D. Tan:
Efficient power modeling and software thermal sensing for runtime temperature monitoring. ACM Trans. Design Autom. Electr. Syst. 12(3): 25:1-25:29 (2007) - [j18]Bao Liu, Sheldon X.-D. Tan:
Minimum Decoupling Capacitor Insertion in VLSI Power/Ground Supply Networks by Semidefinite and Linear Programs. IEEE Trans. Very Large Scale Integr. Syst. 15(11): 1284-1287 (2007) - [c52]Boyuan Yan, Sheldon X.-D. Tan, Pu Liu, Bruce McGaughy:
Passive Interconnect Macromodeling Via Balanced Truncation of Linear Systems in Descriptor Form. ASP-DAC 2007: 355-360 - [c51]Yi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan, Le Kang:
Practical Implementation of Stochastic Parameterized Model Order Reduction via Hermite Polynomial Chaos. ASP-DAC 2007: 367-372 - [c50]Le Kang, Yici Cai, Yi Zou, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan:
Fast Decoupling Capacitor Budgeting for Power/Ground Network Using Random Walk Approach. ASP-DAC 2007: 751-756 - [c49]Le Kang, Yici Cai, Jin Shi, Xianlong Hong, Sheldon X.-D. Tan, Xiaoyi Wang:
Simultaneous Switching Noise Consideration for Power/Ground Network Optimization. CAD/Graphics 2007: 332-337 - [c48]Boyuan Yan, Sheldon X.-D. Tan, Pu Liu, Bruce McGaughy:
SBPOR: Second-Order Balanced Truncation for Passive Order Reduction of RLC Circuits. DAC 2007: 158-161 - [c47]Jeffrey Fan, Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong:
Statistical model order reduction for interconnect circuits considering spatial correlations. DATE 2007: 1508-1513 - [c46]Ning Mi, Sheldon X.-D. Tan, Pu Liu, Jian Cui, Yici Cai, Xianlong Hong:
Stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks. ICCAD 2007: 48-53 - [c45]Jeffrey Fan, Ning Mi, Sheldon X.-D. Tan:
Voltage drop reduction for on-chip power delivery considering leakage current variations. ICCD 2007: 78-83 - [c44]Wei Wu, Sheldon X.-D. Tan, Jun Yang, Shih-Lien Lu:
Improving the reliability of on-chip data caches under process variations. ICCD 2007: 325-332 - [c43]Boyuan Yan, Pu Liu, Sheldon X.-D. Tan, Bruce McGaughy:
Passive Modeling of Interconnects by Waveform Shaping. ISQED 2007: 356-361 - [c42]Ning Mi, Boyuan Yan, Sheldon X.-D. Tan, Jeffrey Fan, Hao Yu:
General Block Structure-Preserving Reduced Order Modeling of Linear Dynamic Circuits. ISQED 2007: 633-638 - 2006
- [j17]Zuying Luo, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong, Xiaoyi Wang, Zhu Pan, Jingjing Fu:
Time-domain analysis methodology for large-scale RLC circuits and its applications. Sci. China Ser. F Inf. Sci. 49(5): 665-680 (2006) - [j16]Zhenyu Qi, Hao Yu, Pu Liu, Sheldon X.-D. Tan, Lei He:
Wideband passive multiport model order reduction and realization of RLCM circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(8): 1496-1509 (2006) - [j15]Hang Li, Jeffrey Fan, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong:
Partitioning-Based Approach to Fast On-Chip Decoupling Capacitor Budgeting and Minimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(11): 2402-2412 (2006) - [j14]Pu Liu, Hang Li, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang:
Fast Thermal Simulation for Runtime Temperature Tracking and Management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 25(12): 2882-2893 (2006) - [j13]Yici Cai, Jingjing Fu, Xianlong Hong, Sheldon X.-D. Tan, Zuying Luo:
Power/Ground Network Optimization Considering Decap Leakage Currents. IEEE Trans. Circuits Syst. II Express Briefs 53-II(10): 1012-1016 (2006) - [j12]Sheldon X.-D. Tan:
Symbolic Analysis of Analog Circuits By Boolean Logic Operations. IEEE Trans. Circuits Syst. II Express Briefs 53-II(11): 1313-1317 (2006) - [c41]Jin Shi, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong:
Efficient early stage resonance estimation techniques for C4 package. ASP-DAC 2006: 826-831 - [c40]Wei Wu, Lingling Jin, Jun Yang, Pu Liu, Sheldon X.-D. Tan:
A systematic method for functional unit power estimation in microprocessors. DAC 2006: 554-557 - [c39]Ning Mi, Jeffrey Fan, Sheldon X.-D. Tan:
Statistical Analysis of Power Grid Networks Considering Lognormal Leakage Current Variations with Spatial Correlation. ICCD 2006: 56-62 - [c38]Andrew B. Kahng, Bao Liu, Sheldon X.-D. Tan:
Efficient decoupling capacitor planning via convex programming methods. ISPD 2006: 102-107 - [c37]Jin Shi, Yici Cai, Sheldon X.-D. Tan, Xianlong Hong:
High accurate pattern based precondition method for extremely large power/ground grid analysis. ISPD 2006: 108-113 - [c36]Jeffrey Fan, I-Fan Liao, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong:
Localized On-Chip Power Delivery Network Optimization via Sequence of Linear Programming. ISQED 2006: 272-277 - [c35]Pu Liu, Sheldon X.-D. Tan, Bruce McGaughy, Lifeng Wu:
Compact Reduced Order Modeling for Multiple-Port Interconnects. ISQED 2006: 413-418 - [c34]Andrew B. Kahng, Bao Liu, Sheldon X.-D. Tan:
SMM: Scalable Analysis of Power Delivery Networks by Stochastic Moment Matching. ISQED 2006: 638-643 - 2005
- [j11]Yi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan:
A Fast Delay Computation for the Hybrid Structured Clock Network. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 88-A(7): 1964-1970 (2005) - [j10]Sheldon X.-D. Tan:
A general hierarchical circuit modeling and simulation algorithm. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(3): 418-434 (2005) - [j9]Sheldon X.-D. Tan, Weikun Guo, Zhenyu Qi:
Hierarchical approach to exact symbolic analysis of large analog circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 24(8): 1241-1250 (2005) - [c33]Yi Zou, Qiang Zhou, Yici Cai, Xianlong Hong, Sheldon X.-D. Tan:
Analysis of buffered hybrid structured clock networks. ASP-DAC 2005: 93-98 - [c32]Hao Yu, Lei He, Zhenyu Qi, Sheldon X.-D. Tan:
A wideband hierarchical circuit reduction for massively coupled interconnects. ASP-DAC 2005: 111-114 - [c31]Zhenyu Qi, Sheldon X.-D. Tan, Hao Yu, Lei He:
Wideband modeling of RF/Analog circuits via hierarchical multi-point model order reduction. ASP-DAC 2005: 224-229 - [c30]Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan:
VLSI on-chip power/ground network optimization considering decap leakage currents. ASP-DAC 2005: 735-738 - [c29]Yici Cai, Zhu Pan, Sheldon X.-D. Tan, Xianlong Hong, Wenting Hou, Lifeng Wu:
Relaxed hierarchical power/ground grid analysis. ASP-DAC 2005: 1090-1093 - [c28]Hang Li, Zhenyu Qi, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong:
Partitioning-based approach to fast on-chip decap budgeting and minimization. DAC 2005: 170-175 - [c27]Roman L. Lysecky, Frank Vahid, Sheldon X.-D. Tan:
A Study of the Scalability of On-Chip Routing for Just-in-Time FPGA Compilation. FCCM 2005: 57-62 - [c26]Pu Liu, Zhenyu Qi, Hang Li, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang:
Fast thermal simulation for architecture level dynamic thermal management. ICCAD 2005: 639-644 - [c25]Pu Liu, Sheldon X.-D. Tan, Hang Li, Zhenyu Qi, Jun Kong, Bruce McGaughy, Lei He:
An efficient method for terminal reduction of interconnect circuits considering delay variations. ICCAD 2005: 821-826 - [c24]Hang Li, Pu Liu, Zhenyu Qi, Lingling Jin, Wei Wu, Sheldon X.-D. Tan, Jun Yang:
Efficient Thermal Simulation for Run-Time Temperature Tracking and Management. ICCD 2005: 130-136 - [c23]Zhenyu Qi, Hang Li, Sheldon X.-D. Tan, Lifeng Wu, Yici Cai, Xianlong Hong:
Fast Decap Allocation Algorithm For Robust On-Chip Power Delivery. ISQED 2005: 542-547 - [c22]Pu Liu, Zhenyu Qi, Sheldon X.-D. Tan:
Passive Hierarchical Model Order Reduction and Realization of RLCM Circuits. ISQED 2005: 603-608 - [c21]Jin Shi, Yici Cai, Xianlong Hong, Sheldon X.-D. Tan:
Efficient Simulation of Power/Ground Networks with Package and Vias. PATMOS 2005: 318-328 - 2004
- [j8]Sheldon X.-D. Tan, Chuanjin Richard Shi:
Efficient approximation of symbolic expressions for analog behavioral modeling and analysis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(6): 907-918 (2004) - [c20]Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan:
A fast decoupling capacitor budgeting algorithm for robust on-chip power delivery. ASP-DAC 2004: 505-510 - [c19]Sheldon X.-D. Tan, Weikun Guo, Zhenyu Qi:
Hierarchical approach to exact symbolic analysis of large analog circuits. DAC 2004: 860-863 - [c18]Roman L. Lysecky, Frank Vahid, Sheldon X.-D. Tan:
Dynamic FPGA routing for just-in-time FPGA compilation. DAC 2004: 954-959 - [c17]Sheldon X.-D. Tan, Zhenyu Qi, Hang Li:
Hierarchical Modeling and Simulation of Large Analog Circuits. DATE 2004: 740-741 - [c16]Yi Zou, Yici Cai, Qiang Zhou, Xianlong Hong, Sheldon X.-D. Tan:
A Fast Delay Analysis Algorithm for The Hybrid Structured Clock Network. ICCD 2004: 344-349 - [c15]Junjie Yang, Sheldon X.-D. Tan:
Behavioural modelling of analog circuits by dynamic semi-symbolic analysis. ISCAS (5) 2004: 105-108 - [c14]Junjie Yang, Sheldon X.-D. Tan:
An efficient algorithm for transient and distortion analysis of mildly nonlinear analog circuits. ISCAS (5) 2004: 129-132 - [c13]Weikun Guo, Sheldon X.-D. Tan, Zuying Luo, Xianlong Hong:
Partial random walk for large linear network analysis. ISCAS (5) 2004: 173-177 - [c12]Zhu Pan, Yici Cai, Sheldon X.-D. Tan, Zuying Luo, Xianlong Hong:
Transient Analysis of On-Chip Power Distribution Networks Using Equivalent Circuit Modeling. ISQED 2004: 63-68 - [c11]Jingjing Fu, Zuying Luo, Xianlong Hong, Yici Cai, Sheldon X.-D. Tan, Zhu Pan:
Simultaneous Wire Sizing and Decoupling Capacitance Budgeting for Robust On-Chip Power Delivery. PATMOS 2004: 433-441 - 2003
- [j7]Sheldon X.-D. Tan, Chuanjin Richard Shi:
Efficient DDD-Based Interpretable Symbolic Characterization of Large Analog Circuits. IEICE Trans. Fundam. Electron. Commun. Comput. Sci. 86-A(12): 3110-3118 (2003) - [j6]Sheldon X.-D. Tan, Chuanjin Richard Shi:
Balanced multi-level multi-way partitioning of analog integrated circuits for hierarchical symbolic analysis. Integr. 34(1-2): 65-86 (2003) - [j5]Sheldon X.-D. Tan, Chuanjin Richard Shi:
Efficient very large scale integration power/ground network sizing based on equivalent circuit modeling. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(3): 277-284 (2003) - [j4]Sheldon X.-D. Tan, Chuanjin Richard Shi, Jyh-Chwen Lee:
Reliability-constrained area optimization of VLSI power/ground networks via sequence of linear programmings. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 22(12): 1678-1684 (2003) - [c10]Sheldon X.-D. Tan, Chuanjin Richard Shi:
Efficient DDD-based term generation algorithm for analog circuit behavioral modeling. ASP-DAC 2003: 789-794 - [c9]Sheldon X.-D. Tan:
A General S-Domain Hierarchical Network Reduction Algorithm. ICCAD 2003: 650-657 - [c8]Qi-De Qian, Sheldon X.-D. Tan:
Advanced Physical Models for Mask Data Verification and Impacts on Physical Layout Synthesis. ISQED 2003: 125-130 - 2001
- [j3]Chuanjin Richard Shi, Sheldon X.-D. Tan:
Compact representation and efficient generation of s-expandedsymbolic network functions for computer-aided analog circuit design. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(7): 813-827 (2001) - [c7]Sheldon X.-D. Tan, Chuanjin Richard Shi:
Fast Power/Ground Network Optimization Based on Equivalent Circuit Modeling. DAC 2001: 550-554 - 2000
- [j2]Chuanjin Richard Shi, Sheldon X.-D. Tan:
Canonical symbolic analysis of large analog circuits withdeterminant decision diagrams. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(1): 1-18 (2000) - [j1]Sheldon X.-D. Tan, Chuanjin Richard Shi:
Hierarchical symbolic analysis of analog integrated circuits viadeterminant decision diagrams. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 19(4): 401-412 (2000) - [c6]Xiang-Dong Tan, Chuanjin Richard Shi:
Symbolic circuit-noise analysis and modeling with determinant decision diagrams. ASP-DAC 2000: 283-288
1990 – 1999
- 1999
- [c5]Xiang-Dong Tan, Chuanjin Richard Shi:
Balanced Multi-Level Multi-Way Partitioning of Large Analog Circuits for Hierarchical Symbolic Analysis. ASP-DAC 1999: 1-4 - [c4]Xiang-Dong Tan, Chuanjin Richard Shi, Dragos Lungeanu, Jyh-Chwen Lee, Li-Pen Yuan:
Reliability-Constrained Area Optimization of VLSI Power/Ground Networks via Sequence of Linear Programmings. DAC 1999: 78-83 - [c3]Xiang-Dong Tan, Chuanjin Richard Shi:
Interpretable Symbolic Small-Signal Characterization of Large Analog Circuits using Determinant Decision Diagrams. DATE 1999: 448-453 - 1998
- [c2]Chuanjin Richard Shi, Xiang-Dong Tan:
Efficient derivation of exact s-expanded symbolic expressions for behavioral modeling of analog circuits. CICC 1998: 463-466 - 1997
- [c1]Chuanjin Richard Shi, Xiang-Dong Tan:
Symbolic analysis of large analog circuits with determinant decision diagrams. ICCAD 1997: 366-373
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-11-04 21:40 CET by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint