default search action
ISCAS 2004: Vancouver, BC, Canada - Volume 5
- Proceedings of the 2004 International Symposium on Circuits and Systems, ISCAS 2004, Vancouver, BC, Canada, May 23-26, 2004. IEEE 2004, ISBN 0-7803-8251-X
- Ryo Mukai, Hiroshi Sawada, Shoko Araki, Shoji Makino:
Frequency domain blind source separation using small and large spacing sensor pairs. ISCAS (5) 2004: 1-4 - Milutin Stanacevic, Gert Cauwenberghs, Laurence Riddle:
Gradient flow bearing estimation with blind identification of multiple signals and interference. ISCAS (5) 2004: 5-8 - Daniele Vigliano, Aurelio Uncini, Raffaele Parisi:
Nonlinear ICA solutions for convolutive mixing of PNL mixtures. ISCAS (5) 2004: 9-12 - Masashi Ohata, Toshiharu Mukai, Kiyotoshi Matsuoka:
Blind separation with Gaussian mixture model for convolutively mixed sources. ISCAS (5) 2004: 13-16 - Ziauddin M. Kamran, Thiagalingam Kirubarajan, Alex B. Gershman:
Blind estimation and equalization of time-varying channels using the interacting multiple model estimator. ISCAS (5) 2004: 17-20 - Yajun Kou, Wu-Sheng Lu, Andreas Antoniou:
New algorithm for blind adaptive equalization based on constant modulus criterion. ISCAS (5) 2004: 21-24 - Derong Liu, Sanqing Hu:
Sequential blind extraction of mixed source signals with guaranteed convergence. ISCAS (5) 2004: 25-28 - Ke Deng, Qinye Yin, Ming Luo, Zheng Zhao:
A vertical layered space-time code and its blind symbol detection. ISCAS (5) 2004: 29-32 - Khurram Waheed, Fathi M. Salem:
Blind source recovery for non-minimum phase surroundings. ISCAS (5) 2004: 33-36 - Pando G. Georgiev, Andrzej Cichocki:
Sparse component analysis of overcomplete mixtures by improved basis pursuit method. ISCAS (5) 2004: 37-40 - Yihai H. Zhang, T. Aaron Gulliver:
A dual mode decision feedback equalizer employing the conjugate gradient algorithm. ISCAS (5) 2004: 41-44 - Yanxing Zeng, Qinye Yin, Le Ding, Ke Deng:
Blind uplink space-time channel estimation for space-time coded multicarrier code division multiple access systems. ISCAS (5) 2004: 45-48 - Weizhou Su, Wei Xing Zheng:
A polynomial method for blind identification of MIMO channels. ISCAS (5) 2004: 49-52 - Junaid A. Khan, Sadiq M. Sait:
Fast force-directed/simulated evolution hybrid for multiobjective VLSI cell placement. ISCAS (5) 2004: 53-56 - Mongkol Ekpanyapong, Karthik Balakrishnan, Vidit Nanda, Sung Kyu Lim:
Simultaneous delay and power optimization in global placement. ISCAS (5) 2004: 57-60 - Sheqin Dong, Zhong Yang, Xianlong Hong, Yuliang Wu:
Module placement based on quadratic programming and rectangle packing using less flexibility first principle. ISCAS (5) 2004: 61-64 - Ling Zhang, Tong Jing, Xianlong Hong, Jingyu Xu, Jinjun Xiong, Lei He:
Performance and RLC crosstalk driven global routing. ISCAS (5) 2004: 65-68 - Pun Hang Shiu, Ramprasad Ravichandran, Siddharth Easwar, Sung Kyu Lim:
Multi-layer floorplanning for reliable system-on-package. ISCAS (5) 2004: 69-72 - Bo-Kyung Choi, Charles C. Chiang, Jamil Kawa, Majid Sarrafzadeh:
Routing resources consumption on M-arch and X-arch. ISCAS (5) 2004: 73-76 - Lihong Zhang, Rabin Raut, Yingtao Jiang:
A placement algorithm for implementation of analog LSI/VLSI systems. ISCAS (5) 2004: 77-80 - Changqi Yang, Xianlong Hong, Hannah Honghua Yang, Qiang Zhou, Yici Cai, Yongqiang Lu:
Recursively combine floorplan and Q-place in mixed mode placement based on circuit's variety of block configuration. ISCAS (5) 2004: 81-84 - Bin Liu, Yici Cai, Qiang Zhou, Xianlong Hong:
Layer assignment algorithm for RLC crosstalk minimization. ISCAS (5) 2004: 85-88 - Hailong Yao, Qiang Zhou, Xianlong Hong, Yici Cai:
Crosstalk driven routing resource assignment. ISCAS (5) 2004: 89-92 - Jinho Park, David J. Allstot:
RF circuit synthesis using particle swarm optimization. ISCAS (5) 2004: 93-96 - Jesús Ruiz-Amaya, José M. de la Rosa, Fernando Medeiro, Francisco V. Fernández, Rocío del Río, Maria Belen Pérez-Verdú, Ángel Rodríguez-Vázquez:
An optimization-based tool for the high-level synthesis of discrete-time and continuous-time ΣΔ modulators in the Matlab/Simulink environment. ISCAS (5) 2004: 97-100 - Lihong Zhang, Ulrich Kleine:
A novel analog layout synthesis tool. ISCAS (5) 2004: 101-104 - Junjie Yang, Sheldon X.-D. Tan:
Behavioural modelling of analog circuits by dynamic semi-symbolic analysis. ISCAS (5) 2004: 105-108 - Matt Francis, Vivek Chaudhary, H. Alan Mantooth:
Compact semiconductor device modelling using higher level methods. ISCAS (5) 2004: 109-112 - Hamilton Klimach, Alfredo Arnaud, Márcio C. Schneider, Carlos Galup-Montoro:
Consistent model for drain current mismatch in MOSFETs using the carrier number fluctuation theory. ISCAS (5) 2004: 113-116 - Alessandro Savio, Luigi Colalongo, Zsolt Miklós Kovács-Vajna, Michele Quarantelli:
Scaling rules and parameter tuning procedure for analog design reuse in technology migration. ISCAS (5) 2004: 117-120 - Natalie Nakhla, Anestis Dounavis, Ramachandra Achar, Michel S. Nakhla:
Fast sensitivity analysis of transmission line networks. ISCAS (5) 2004: 121-124 - Hui Zhang, Alex Doboli:
Fast time-domain symbolic simulation for synthesis of sigma-delta analog-digital converters. ISCAS (5) 2004: 125-128 - Junjie Yang, Sheldon X.-D. Tan:
An efficient algorithm for transient and distortion analysis of mildly nonlinear analog circuits. ISCAS (5) 2004: 129-132 - Bogdan J. Falkowski, Cicilia C. Lozano, Susanto Rahardja:
Generation of disjoint cubes for multiple-valued functions. ISCAS (5) 2004: 133-136 - Chi-Wei Hu, TingTing Hwang:
Output-pattern directed decomposition for low power design. ISCAS (5) 2004: 137-140 - Hongtu Jiang, Viktor Öwall:
FPGA implementation of controller-datapath pair in custom image processor design. ISCAS (5) 2004: 141-144 - Robert Yi-Ching Au, Chi-Ying Tsui:
Least leakage vector assisted technology mapping for total power optimization. ISCAS (5) 2004: 145-148 - Ajit Sharma, Chenggang Xu, Wen Kung Chu, Nishath K. Verghese, Terri S. Fiez, Kartikeya Mayaram:
A predictive methodology for accurate substrate parasitic extraction. ISCAS (5) 2004: 149-152 - Ken-ichi Okada, Hiroaki Hoshino, Hidetoshi Onodera:
Modelling and optimization of on-chip spiral inductor in S-parameter domain. ISCAS (5) 2004: 153-156 - Robert Shreeve, Terri S. Fiez, Kartikeya Mayaram:
A physical and analytical model for substrate noise coupling analysis. ISCAS (5) 2004: 157-160 - Chenggang Xu, Terri S. Fiez, Kartikeya Mayaram:
An improved Z-parameter macro model for substrate noise coupling. ISCAS (5) 2004: 161-164 - Zhao Li, Chuanjin Richard Shi:
A coupled iterative/direct method for efficient time-domain simulation of nonlinear circuits with power/ground networks. ISCAS (5) 2004: 165-168 - João M. S. Silva, Luís Miguel Silveira:
Multigrid-based substrate coupling model extraction. ISCAS (5) 2004: 169-173 - Weikun Guo, Sheldon X.-D. Tan, Zuying Luo, Xianlong Hong:
Partial random walk for large linear network analysis. ISCAS (5) 2004: 173-177 - Janet Meiling Wang, Omar Hafiz:
Matrix pencil based realizable reduction for distributed interconnects. ISCAS (5) 2004: 177-180 - Nisar Ahmed, Mohammad H. Tehranipour, Dian Zhou, Mehrdad Nourani:
Frequency driven repeater insertion for deep submicron. ISCAS (5) 2004: 181-184 - Ilhan Hatirnaz, Yusuf Leblebici:
Modelling and implementation of twisted differential on-chip interconnects for crosstalk noise reduction. ISCAS (5) 2004: 185-188 - Alexandre Verle, Xavier Michel, Philippe Maurine, Nadine Azémard, Daniel Auvergne:
Delay bound based CMOS gate sizing technique. ISCAS (5) 2004: 189-192 - Jorge Aguila-Meza, Leticia Torres-Papaqui, Esteban Tlelo-Cuautle:
Improving symbolic analysis in CMOS analog integrated circuits. ISCAS (5) 2004: 193-196 - Naofumi Homma, Jun Sakiyama, Taihei Wakamatsu, Takafumi Aoki, Tatsuo Higuchi:
A systematic approach for analyzing fast addition algorithms using counter tree diagrams. ISCAS (5) 2004: 197-200 - Fei Xu, Chip-Hong Chang, Ching-Chuen Jong:
HWP: a new insight into canonical signed digit. ISCAS (5) 2004: 201-204 - Ching-Chung Hu, De-Sheng Chen, Yiwen Wang:
Fast multilevel floorplanning for large scale modules. ISCAS (5) 2004: 205-208 - Pushkin R. Pari, Lin Yuan, Gang Qu:
How many solutions does a SAT instance have? ISCAS (5) 2004: 209-212 - Miroslav N. Velev:
A new generation of ISCAS benchmarks from formal verification of high-level microprocessors. ISCAS (5) 2004: 213-216 - Rouying Zhan, Haigang Feng, Haolu Xie, Albert Z. Wang:
ESDInspector: a new layout-level ESD protection circuitry design verification tool using a smart-parametric checking mechanism. ISCAS (5) 2004: 217-220 - Andreas G. Veneris, Robert Chang, Magdy S. Abadir, Mandana Amiri:
Fault equivalence and diagnostic test generation using ATPG. ISCAS (5) 2004: 221-224 - Chuen-Yau Chen, An-Chi Hsu:
A hybrid-type test pattern generating mechanism. ISCAS (5) 2004: 225-228 - Thomas Eschbach, Rolf Drechsler, Bernd Becker:
Placement and routing optimization for circuits derived from BDDs. ISCAS (5) 2004: 229-232 - Haibo Long, Zhenghe Feng, Haigang Feng, Albert Z. Wang, Tianling Ren:
L-simulator: a magPEEC-based new CAD tool for simulating magnetic-enhanced IC inductors of 3D arbitrary geometry. ISCAS (5) 2004: 233-237 - Yi-Wei Lin, Jing-Yang Jou:
An efficient approach for hierarchical submodule extraction. ISCAS (5) 2004: 237-240 - Yang Wang, Yici Cai, Xianlong Hong, Qiang Zhou:
Algorithm for yield driven correction of layout. ISCAS (5) 2004: 241-245 - Carlos Sánchez-López, Esteban Tlelo-Cuautle:
Symbolic noise analysis in analog integrated circuits. ISCAS (5) 2004: 245-248 - Lily Huang, Tai-Ying Jiang, Jing-Yang Jou, Heng-Liang Huang:
An efficient logic extraction algorithm using partitioning and circuit encoding. ISCAS (5) 2004: 249-252 - Giorgio Casinovi, Giuseppe M. Veca:
Frequency-domain error analysis of linear multistep methods. ISCAS (5) 2004: 253-256 - Shekhar Kopuri, Nazanin Mansouri:
Enhancing scheduling solutions through ant colony optimization. ISCAS (5) 2004: 257-260 - Ling Wang, Yingtao Jiang, Henry Selvaraj:
Synthesis scheme for low power designs with multiple supply voltages by tabu search. ISCAS (5) 2004: 261-264 - Sean E. Krakiwsky, Laurence E. Turner, Michal M. Okoniewski:
Graphics processor unit (GPU) acceleration of finite-difference time-domain (FDTD) algorithm. ISCAS (5) 2004: 265-268 - Lakshmi Kalpana Vakati, Janet Meiling Wang:
A new multi-ramp driver model with RLC interconnect load. ISCAS (5) 2004: 269-272 - Gülin Tulunay, Sina Balkir:
A compact optimization methodology for single-ended LNA. ISCAS (5) 2004: 273-276 - Rodrigo L. Oliveira Pinto, Franco Maloberti:
X ray and blue print: tools for MOSFET analog circuit design addressing short-channel effects. ISCAS (5) 2004: 277-280 - Hessa Al-Junaid, Tom J. Kazmierski:
SEAMS - a SystemC environment with analog and mixed-signal extensions. ISCAS (5) 2004: 281-284 - Bogdan J. Falkowski, Cheng Fu:
Properties of fastest linearly independent transforms over GF(3). ISCAS (5) 2004: 285-289 - Cheng Fu, Bogdan J. Falkowski:
Multi-polarity helix transform over GF(3). ISCAS (5) 2004: 289-292 - Kai-Yuan Jheng, Shyh-Jye Jou, An-Yeu Wu:
A design flow for multiplierless linear-phase FIR filters: from system specification to Verilog code. ISCAS (5) 2004: 293-296 - Xin Zhao, Yici Cai, Qiang Zhou, Xianlong Hong, Lei He, Jinjun Xiong:
Shielding area optimization under the solution of interconnect crosstalk. ISCAS (5) 2004: 297-300 - Rajani Parthasarthy, Ivan S. Kourtev:
Performance metrics for asynchronous digital circuits applicable to computer-aided design. ISCAS (5) 2004: 301-304 - Yoichi Yuyama, Masao Aramoto, Kazutoshi Kobayashi, Hidetoshi Onodera:
RTL/ISS co-modeling methodology for embedded processor using SystemC. ISCAS (5) 2004: 305-308 - Ralph Marczynski, Mitchell A. Thornton, Stephen A. Szygenda:
Test vector generation and classification using FSM traversals. ISCAS (5) 2004: 309-312 - Jounaïdi Ben Hassen, Sofiène Tahar:
Formal verification of an SoC platform protocol converter. ISCAS (5) 2004: 313-316 - Mohamed N. Wageeh, Ayman M. Wahba, Ashraf M. Salem, Mohamed A. Sheirah:
FPGA based accelerator for functional simulation. ISCAS (5) 2004: 317-320 - Jian Wang, Xuan Zeng, Wei Cai, Charles C. Chiang, Jiarong Tong, Dian Zhou:
Frequency domain wavelet method with GMRES for large-scale linear circuit simulation. ISCAS (5) 2004: 321-324 - Ali Abbasian, Safar Hatami, Ali Afzali-Kusha, Mehrdad Nourani, Caro Lucas:
Event-driven dynamic power management based on wavelet forecasting theory. ISCAS (5) 2004: 325-328 - Chikaaki Kodama, Kunihiro Fujiyoshi, Teppei Koga:
A novel encoding method into sequence-pair. ISCAS (5) 2004: 329-332 - Praveen Pai, Emad Gad, Ramachandra Achar, Roni Khazaka, Michel S. Nakhla:
Computing large-change sensitivity of periodic responses of nonlinear circuits using reduction techniques. ISCAS (5) 2004: 333-336 - Meng Zhao, Xinjie Wei, Yici Cai, Xianlong Hong:
Quick and effective buffered legitimate skew clock routing. ISCAS (5) 2004: 337-340 - Xuliang Zhang, Yoji Kajitani:
Theory of T-junction floorplans in terms of single-sequence. ISCAS (5) 2004: 341-344 - Tao Wan, Malgorzata Chrzanowska-Jeske:
Generating random benchmark circuits for floorplanning. ISCAS (5) 2004: 345-348 - Francesco Tenore, Ralph Etienne-Cummings, M. Anthony Lewis:
A programmable array of silicon neurons for the control of legged locomotion. ISCAS (5) 2004: 349-352 - Dazhi Wei, John G. Harris:
Signal reconstruction from spiking neuron models. ISCAS (5) 2004: 353-356 - Elisabetta Chicca, Giacomo Indiveri, Rodney J. Douglas:
An event-based VLSI network of integrate-and-fire neurons. ISCAS (5) 2004: 357-60 - Jens Petter Abrahamsen, Philipp Häfliger, Tor Sverre Lande:
A time domain winner-take-all network of integrate-and-fire neurons. ISCAS (5) 2004: 361-364 - Anuj Batra, Jaiganesh Balakrishnan, Anand Dabak:
Multi-band OFDM: a new approach for UWB. ISCAS (5) 2004: 365-368 - Ebrahim Saberinia, Jun Tang, Ahmed H. Tewfik, Keshab K. Parhi:
Pulsed OFDM modulation for ultra wideband communications. ISCAS (5) 2004: 369-392 - Seung Young Park, Gadi Shor, Yong Suk Kim:
Interference resilient transmission scheme for multiband OFDM system in UWB channels. ISCAS (5) 2004: 373-376 - Jun Tang, Ahmed H. Tewfik, Keshab K. Parhi:
High performance solution for interfering UWB piconets with reduced complexity sphere decoding. ISCAS (5) 2004: 377-380 - Irena Maravic, Martin Vetterli, Kannan Ramchandran:
Channel estimation and synchronization with sub-Nyquist sampling and application to ultra-wideband systems. ISCAS (5) 2004: 381-384 - R. Jacob Vogelstein, Udayan Mallik, Gert Cauwenberghs:
Silicon spike-based synaptic array and address-event transceiver. ISCAS (5) 2004: 385-388 - Ausra Saudargiene, Bernd Porr, Florentin Wörgötter:
Biologically inspired artificial neural network algorithm which implements local learning rules. ISCAS (5) 2004: 389-392 - Håvard Kolle Riis, Philipp Häfliger:
Spike based learning with weak multi-level static memory. ISCAS (5) 2004: 393-396 - Shih-Chii Liu, Rodney J. Douglas:
Spike synchronization in a network of silicon integrate-and-fire neurons. ISCAS (5) 2004: 397-400 - Natasha Chia, Steve Collins:
A spike-based analogue circuit that emphasises in auditory stimuli. ISCAS (5) 2004: 401-404 - Palghat P. Vaidyanathan, Bojan Vrcelj:
Transmultiplexers as precoders in modern digital communication: a tutorial review. ISCAS (5) 2004: 405-412 - Cássio B. Ribeiro, Marcello L. R. de Campos, Paulo S. R. Diniz:
Zero-forcing equalization for time-varying systems with memory. ISCAS (5) 2004: 413-416 - Wei Zhang, Xiang-Gen Xia, Pak-Chung Ching:
On pilot pattern design for PSAM-OFDM system. ISCAS (5) 2004: 417-420 - Soura Dasgupta, Ashish Pandharipande:
Complete characterization of channel independent general DMT systems with cyclic prefix. ISCAS (5) 2004: 421-424 - See-May Phoong, Kai-Yen Chang, Yuan-Pei Lin:
Antipodal paraunitary precoding for OFDM application. ISCAS (5) 2004: 425-428 - Kaoru Arakawa:
Nonlinear digital filters for beautifying facial images in multimedia systems. ISCAS (5) 2004: 429-432 - Tsung-Han Tsai, Yu-Xuan Lee, Yu Fong Lin:
Video error concealment techniques using progressive interpolation and boundary matching algorithm. ISCAS (5) 2004: 433-436 - Kazuhiro Shimauchi, Masahiro Ogawa, Akira Taguchi:
JPEG based image compression with adaptive resolution conversion system. ISCAS (5) 2004: 437-440 - Chin Soon Tan, Damian M. Tan, Hong Ren Wu:
Perceptual coding of digital colour images based on a vision model. ISCAS (5) 2004: 441-444 - Naoto Sasaoka, Yoshio Itoh, Kensaku Fujii, Yutaka Fukui:
Smart noise reduction system based on ALE and noise reconstruction system. ISCAS (5) 2004: 445-448 - Piotr Dudek:
A 39×48 general-purpose focal-plane processor array integrated circuit. ISCAS (5) 2004: 448-452 - Sebastien Moutault, Hervé Mathias, Jacques-Olivier Klein, Antoine Dupret:
An improved analog computation cell for Paris II, a programmable vision chip. ISCAS (5) 2004: 453-456 - Ricardo Carmona, Carlos M. Domínguez-Matas, Jorge Cuadri, Francisco Jiménez-Garrido, Ángel Rodríguez-Vázquez:
A CNN-driven locally adaptive CMOS image sensor. ISCAS (5) 2004: 457-460 - Asko Kananen, Mika Laiho, Kari Halonen, Ari Paasio:
N × 16 cellular test chips for low-pass filtering large images. ISCAS (5) 2004: 461-464 - Víctor M. Brea, David López Vilariño, Diego Cabello:
A mixed-signal CMOS DTCNN chip for pixel-level snakes. ISCAS (5) 2004: 465-468 - Inas Khalifa, Ljiljana Trajkovic:
An overview and comparison of analytical TCP models. ISCAS (5) 2004: 469-472 - Gianluca Mazzini, Riccardo Rovatti, Gianluca Setti:
Self-similarity in max/average aggregated processes. ISCAS (5) 2004: 473-476 - David K. Arrowsmith, Matthew Woolf:
Modelling of TCP packet traffic in a large interactive growth network. ISCAS (5) 2004: 477-480 - Hung Xuan Nguyen, Patrick Thiran, Chadi Barakat:
On the correlation of TCP traffic in backbone networks. ISCAS (5) 2004: 481-484 - Sabato Manfredi, Franco Garofalo, Mario di Bernardo:
A robust approach to active queue management control in networks. ISCAS (5) 2004: 485-488 - Marco Gilli, Fernando Corinto:
On dynamic behavior of weakly connected cellular neural networks. ISCAS (5) 2004: 489-492 - Timothy G. Constandinou, Julius Georgiou, Chris Toumazou:
Towards a bio-inspired mixed-signal retinal processor. ISCAS (5) 2004: 493-496 - Daryl R. Kipke:
Brain-machine interfaces using thin-film silicon microelectrode arrays. ISCAS (5) 2004: 497-499 - Paolo Arena, Luigi Fortuna, Adriano Basile, Mattia Frasca:
CNN wave based computation for robot navigation planning. ISCAS (5) 2004: 500-503 - Christian Merkwirth, Jochen Bröcker, Maciej Ogorzalek, Jörg D. Wichard:
Finite iteration DT-CNN - new design and operating principles. ISCAS (5) 2004: 504-507 - Ethan Crain, Michael H. Perrott:
A numerical design approach for high speed, differential, resistor-loaded, CMOS amplifiers. ISCAS (5) 2004: 508-511 - Makram M. Mansour, Amit Mehrotra, William W. Walker, Amit Narayan:
Analysis techniques for obtaining the steady-state solution of MOS LC oscillators. ISCAS (5) 2004: 512-515 - Zhe Wang, Rajeev Murgai, Jaijeet S. Roychowdhury:
Macromodeling of digital libraries for substrate noise analysis. ISCAS (5) 2004: 516-519 - Suihua Lu, Amit Narayan, Amit Mehrotra:
Continuation method in multitone harmonic balance. ISCAS (5) 2004: 520-523 - Chinh H. Doan, Sohrab Emami, Ali M. Niknejad, Robert W. Brodersen:
Millimeter-wave CMOS device modeling and simulation. ISCAS (5) 2004: 524-527 - Wu-Sheng Lu, Takao Hinamoto:
Improved design of frequency-response-masking filters using enhanced sequential quadratic programming. ISCAS (5) 2004: 528-531