default search action
Ali Afzali-Kusha
Person information
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j100]Mohaddeseh Sharei, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
GEMA: A Genome Exact Mapping Accelerator Based on Learned Indexes. IEEE Trans. Biomed. Circuits Syst. 18(3): 523-538 (2024) - [i7]Noushin Behboudi, Mehdi Kamal, Ali Afzali-Kusha:
On the Impact of ISA Extension on Energy Consumption of I-Cache in Extensible Processors. CoRR abs/2409.08286 (2024) - 2023
- [j99]Ehsan Tanghatari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Federated learning by employing knowledge distillation on edge devices with limited hardware resources. Neurocomputing 531: 87-99 (2023) - [j98]Mohsen Ahmadzadeh, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A2P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks. IEEE Trans. Neural Networks Learn. Syst. 34(11): 8284-8296 (2023) - [j97]Farhad Ebrahimi-Azandaryani, Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Accuracy Configurable Adders with Negligible Delay Overhead in Exact Operating Mode. ACM Trans. Design Autom. Electr. Syst. 28(1): 13:1-13:14 (2023) - [j96]Reza Kazerooni-Zand, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Memristive-based Mixed-signal CGRA for Accelerating Deep Neural Network Inference. ACM Trans. Design Autom. Electr. Syst. 28(4): 66:1-66:25 (2023) - [c87]Ali BanaGozar, Seyed Hossein Hashemi Shadmehri, Sander Stuijk, Mehdi Kamal, Ali Afzali-Kusha, Henk Corporaal:
ReMeCo: Reliable Memristor-Based in-Memory Neuromorphic Computation. ASP-DAC 2023: 396-401 - 2022
- [j95]Ehsan Tanghatari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Distributing DNN training over IoT edge devices based on transfer learning. Neurocomputing 467: 56-65 (2022) - [j94]Morteza Soltani, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Adaptive Memory-Side Encryption Method for Improving Security and Lifetime of PCM-Based Main Memory. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 41(6): 1744-1756 (2022) - [j93]Mohamadreza Zolfagharinejad, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Posit Process Element for Using in Energy-Efficient DNN Accelerators. IEEE Trans. Very Large Scale Integr. Syst. 30(6): 844-848 (2022) - [c86]Seyed Hossein Hashemi Shadmehri, Ali BanaGozar, Mehdi Kamal, Sander Stuijk, Ali Afzali-Kusha, Massoud Pedram, Henk Corporaal:
SySCIM: SystemC-AMS Simulation of Memristive Computation In-Memory. DATE 2022: 1467-1472 - [i6]Mohammad Ali Maleki, Mehdi Kamal, Ali Afzali-Kusha:
Heterogeneous Multi-core Array-based DNN Accelerator. CoRR abs/2206.12605 (2022) - [i5]Saba Amanollahi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
AMR-MUL: An Approximate Maximally Redundant Signed Digit Multiplier. CoRR abs/2208.13850 (2022) - 2021
- [j92]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Loading-Aware Reliability Improvement of Ultra-Low Power Memristive Neural Networks. IEEE Trans. Circuits Syst. I Regul. Pap. 68(8): 3411-3421 (2021) - [j91]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Reliability Enhancement of Inverter-Based Memristor Crossbar Neural Networks Using Mathematical Analysis of Circuit Non-Idealities. IEEE Trans. Circuits Syst. I Regul. Pap. 68(10): 4310-4323 (2021) - [j90]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
LATIM: Loading-Aware Offline Training Method for Inverter-Based Memristive Neural Networks. IEEE Trans. Circuits Syst. II Express Briefs 68(10): 3346-3350 (2021) - [j89]Mohammad Ali Maleki, Alireza Nabipour-Meybodi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Energy-Efficient Inference Method in Convolutional Neural Networks Based on Dynamic Adjustment of the Pruning Level. ACM Trans. Design Autom. Electr. Syst. 26(6): 49:1-49:20 (2021) - [j88]Roohollah Yarmand, Mehdi Kamal, Ali Afzali-Kusha, Pooria Esmaeli, Massoud Pedram:
OPTIMA: An Approach for Online Management of Cache Approximation Levels in Approximate Processing Systems. IEEE Trans. Very Large Scale Integr. Syst. 29(2): 434-446 (2021) - [i4]Seyed Abolfazl Ghasemzadeh, Erfan Bank Tavakoli, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
BRDS: An FPGA-based LSTM Accelerator with Row-Balanced Dual-Ratio Sparsification. CoRR abs/2101.02667 (2021) - [i3]Mohsen Ahmadzadeh, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A2P-MANN: Adaptive Attention Inference Hops Pruned Memory-Augmented Neural Networks. CoRR abs/2101.09693 (2021) - 2020
- [j87]Saba Amanollahi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Circuit-Level Techniques for Logic and Memory Blocks in Approximate Computing Systemsx. Proc. IEEE 108(12): 2150-2177 (2020) - [j86]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique:
X-CGRA: An Energy-Efficient Approximate Coarse-Grained Reconfigurable Architecture. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(10): 2558-2571 (2020) - [j85]Farhad Ebrahimi-Azandaryani, Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Block-Based Carry Speculative Approximate Adder for Energy-Efficient Applications. IEEE Trans. Circuits Syst. II Express Briefs 67-II(1): 137-141 (2020) - [j84]Nasim Samimi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Res-DNN: A Residue Number System-Based DNN Accelerator Unit. IEEE Trans. Circuits Syst. I Regul. Pap. 67-I(2): 658-671 (2020) - [j83]Pouya Haghi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
O⁴-DNN: A Hybrid DSP-LUT-Based Processing Unit With Operation Packing and Out-of-Order Execution for Efficient Realization of Convolutional Neural Networks on FPGA Devices. IEEE Trans. Circuits Syst. I Regul. Pap. 67-I(9): 3056-3069 (2020) - [j82]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Offline Training Improvement of Inverter-Based Memristive Neural Networks Using Inverter Voltage Characteristic Smoothing. IEEE Trans. Circuits Syst. 67-II(12): 3442-3446 (2020) - [j81]Roohollah Yarmand, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
DART: A Framework for Determining Approximation Levels in an Approximable Memory Hierarchy. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 273-286 (2020) - [j80]Morteza Soltani, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
RandShift: An Energy-Efficient Fault-Tolerant Method in Secure Nonvolatile Main Memory. IEEE Trans. Very Large Scale Integr. Syst. 28(1): 287-291 (2020) - [j79]Erfan Bank-Tavakoli, Seyed Abolfazl Ghasemzadeh, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
POLAR: A Pipelined/Overlapped FPGA-Based LSTM Accelerator. IEEE Trans. Very Large Scale Integr. Syst. 28(3): 838-842 (2020) - [j78]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Interstice: Inverter-Based Memristive Neural Networks Discretization for Function Approximation Applications. IEEE Trans. Very Large Scale Integr. Syst. 28(7): 1578-1588 (2020)
2010 – 2019
- 2019
- [j77]Ghasem Pasandi, Kolsoom Mehrabi, Behzad Ebrahimi, Sied Mehdi Fakhraei, Ali Afzali-Kusha, Massoud Pedram:
Low-power data encoding/decoding for energy-efficient static random access memory design. IET Circuits Devices Syst. 13(8): 1152-1159 (2019) - [j76]Shayan Tabatabaei Nikkhah, Mahdi Zahedi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
ACHILLES: Accuracy-Aware High-Level Synthesis Considering Online Quality Management. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 38(8): 1452-1465 (2019) - [j75]Masoud Pashaeifar, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A Theoretical Framework for Quality Estimation and Optimization of DSP Applications Using Low-Power Approximate Adders. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(1): 327-340 (2019) - [j74]Mohammad Ansari, Arash Fayyazi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
OCTAN: An On-Chip Training Algorithm for Memristive Neuromorphic Circuits. IEEE Trans. Circuits Syst. I Regul. Pap. 66-I(12): 4687-4698 (2019) - [j73]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
TOSAM: An Energy-Efficient Truncation- and Rounding-Based Scalable Approximate Multiplier. IEEE Trans. Very Large Scale Integr. Syst. 27(5): 1161-1173 (2019) - [i2]Shayan Tabatabaei Nikkhah, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Space Expansion of Feature Selection for Designing more Accurate Error Predictors. CoRR abs/1901.00952 (2019) - 2018
- [j72]Farzaneh Nakhaee, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Sied Mehdi Fakhraie, Hamed Dorosti:
Lifetime improvement by exploiting aggressive voltage scaling during runtime of error-resilient applications. Integr. 61: 29-38 (2018) - [j71]Arash Fayyazi, Mohammad Ansari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Ultra Low-Power Memristive Neuromorphic Circuit for Internet of Things Smart Sensors. IEEE Internet Things J. 5(2): 1011-1022 (2018) - [j70]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique:
Toward Approximate Computing for Coarse-Grained Reconfigurable Architectures. IEEE Micro 38(6): 63-72 (2018) - [j69]Arman Iranfar, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, David Atienza:
TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(8): 1532-1545 (2018) - [j68]Mohammad Ansari, Arash Fayyazi, Ali BanaGozar, Mohammad Ali Maleki, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
PHAX: Physical Characteristics Aware Ex-Situ Training Framework for Inverter-Based Memristive Neuromorphic Circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 37(8): 1602-1613 (2018) - [j67]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
RAP-CLA: A Reconfigurable Approximate Carry Look-Ahead Adder. IEEE Trans. Circuits Syst. II Express Briefs 65-II(8): 1089-1093 (2018) - [j66]Sheis Abolma'ali, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Efficient False Path-Aware Heuristic Critical Path Selection Method with High Coverage of the Process Variation Space. ACM Trans. Design Autom. Electr. Syst. 23(3): 32:1-32:25 (2018) - [j65]Masoud Pashaeifar, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Approximate Reverse Carry Propagate Adder for Energy-Efficient DSP Applications. IEEE Trans. Very Large Scale Integr. Syst. 26(11): 2530-2541 (2018) - [c85]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Muhammad Shafique:
PX-CGRA: Polymorphic approximate coarse-grained reconfigurable architecture. DATE 2018: 413-418 - [c84]Marzieh Vaeztourshizi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An Energy-Efficient, Yet Highly-Accurate, Approximate Non-Iterative Divider. ISLPED 2018: 14:1-14:6 - 2017
- [j64]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An energy and area efficient yet high-speed square-root carry select adder structure. Comput. Electr. Eng. 58: 101-112 (2017) - [j63]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
LETAM: A low energy truncation-based approximate multiplier. Comput. Electr. Eng. 63: 1-17 (2017) - [j62]Maede Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Hybrid TFET-MOSFET circuit: A solution to design soft-error resilient ultra-low power digital circuit. Integr. 57: 11-19 (2017) - [j61]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Yasmin Afsharnezhad, Elham Zahraie Salehi:
CL-CPA: A hybrid carry-lookahead/carry-propagate adder for low-power or high-performance operation mode. Integr. 57: 62-68 (2017) - [j60]Reza Zendegani, Mehdi Kamal, Milad Bahadori, Ali Afzali-Kusha, Massoud Pedram:
RoBA Multiplier: A Rounding-Based Approximate Multiplier for High-Speed yet Energy-Efficient Digital Signal Processing. IEEE Trans. Very Large Scale Integr. Syst. 25(2): 393-401 (2017) - [j59]Omid Akbari, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Dual-Quality 4: 2 Compressors for Utilizing in Dynamic Accuracy Configurable Multipliers. IEEE Trans. Very Large Scale Integr. Syst. 25(4): 1352-1361 (2017) - [j58]Sheis Abolma'ali, Nika Mansouri-Ghiasi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Efficient Critical Path Identification Based on Viability Analysis Method Considering Process Variations. IEEE Trans. Very Large Scale Integr. Syst. 25(9): 2668-2672 (2017) - [c83]Ali BanaGozar, Mohammad Ali Maleki, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Robust neuromorphic computing in the presence of process variation. DATE 2017: 440-445 - [c82]Shaghayegh Vahdat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram, Zainalabedin Navabi:
TruncApp: A truncation-based approximate divider for energy efficient DSP applications. DATE 2017: 1635-1638 - 2016
- [j57]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
A comparative study on performance and reliability of 32-bit binary adders. Integr. 53: 54-67 (2016) - [j56]Mehdi Kamal, Qing Xie, Massoud Pedram, Ali Afzali-Kusha, Saeed Safari:
An efficient temperature dependent hot carrier injection reliability simulation flow. Microelectron. Reliab. 57: 10-19 (2016) - [j55]Kolsoom Mehrabi, Behzad Ebrahimi, Roohollah Yarmand, Ali Afzali-Kusha, Hamid Mahmoodi:
Read static noise margin aging model considering SBD and BTI effects for FinFET SRAMs. Microelectron. Reliab. 65: 20-26 (2016) - [j54]Hadi Ahmadi Balef, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
All-Region Statistical Model for Delay Variation Based on Log-Skew-Normal Distribution. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 35(9): 1503-1508 (2016) - [j53]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Yield and Speedup Improvements in Extensible Processors by Allocating Extra Cycles to Some Custom Instructions. ACM Trans. Design Autom. Electr. Syst. 21(2): 28:1-28:25 (2016) - [j52]Milad Bahadori, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
High-Speed and Energy-Efficient Carry Skip Adder Operating Under a Wide Range of Supply Voltage Levels. IEEE Trans. Very Large Scale Integr. Syst. 24(2): 421-433 (2016) - [c81]Reza Zendegani, Mehdi Kamal, Arash Fayyazi, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
SEERAD: A high speed yet energy-efficient rounding-based approximate divider. DATE 2016: 1481-1484 - [c80]Maede Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Hybrid TFET-MOSFET circuits: An approach to design reliable ultra-low power circuits in the presence of process variation. VLSI-SoC 2016: 1-6 - [c79]Seyed Saber Nabavi Larimi, Mehdi Kamal, Ali Afzali-Kusha, Hamid Mahmoodi:
Power and energy reduction of racetrack-based caches by exploiting shared shift operations. VLSI-SoC 2016: 1-6 - [c78]Maedeh Hemmat, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Robust Hybrid TFET-MOSFET Circuits in Presence of Process Variations and Soft Errors. VLSI-SoC (Selected Papers) 2016: 41-59 - 2015
- [j51]Vahideh Akhlaghi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An efficient network on-chip architecture based on isolating local and non-local communications. Comput. Electr. Eng. 45: 430-444 (2015) - [j50]Mohsen Nickray, Ali Afzali-Kusha, Riku Jäntti:
Simultaneous power control and power management algorithm with sector-shaped topology for wireless sensor networks. EURASIP J. Wirel. Commun. Netw. 2015: 118 (2015) - [j49]Behzad Ebrahimi, Reza Asadpour, Ali Afzali-Kusha, Massoud Pedram:
A FinFET SRAM cell design with BTI robustness at high supply voltages and high yield at low supply voltages. Int. J. Circuit Theory Appl. 43(12): 2011-2024 (2015) - [j48]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Design of NBTI-resilient extensible processors. Integr. 49: 22-34 (2015) - [j47]Mohammad Ansari, Hassan Afzali-Kusha, Behzad Ebrahimi, Zainalabedin Navabi, Ali Afzali-Kusha, Massoud Pedram:
A near-threshold 7T SRAM cell with high write and read margins and low write time for sub-20 nm FinFET technologies. Integr. 50: 91-106 (2015) - [j46]Behzad Eghbalkhah, Mehdi Kamal, Ali Afzali-Kusha, Mohammad Bagher Ghaznavi Ghoushchi, Massoud Pedram:
CSAM: A clock skew-aware aging mitigation technique. Microelectron. Reliab. 55(1): 282-290 (2015) - [j45]Behzad Eghbalkhah, Mehdi Kamal, Hassan Afzali-Kusha, Ali Afzali-Kusha, M. B. Ghaznavi-Ghoushchi, Massoud Pedram:
Workload and temperature dependent evaluation of BTI-induced lifetime degradation in digital circuits. Microelectron. Reliab. 55(8): 1152-1162 (2015) - [j44]Nima Jafarzadeh, Maurizio Palesi, Saeedeh Eskandari, Shaahin Hessabi, Ali Afzali-Kusha:
Low Energy yet Reliable Data Communication Scheme for Network-on-Chip. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 34(12): 1892-1904 (2015) - [j43]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
OPLE: A Heuristic Custom Instruction Selection Algorithm Based on Partitioning and Local Exploration of Application Dataflow Graphs. ACM Trans. Embed. Comput. Syst. 14(4): 72:1-72:23 (2015) - [j42]Mehrzad Nejat, Bijan Alizadeh, Ali Afzali-Kusha:
Dynamic Flip-Flop Conversion: A Time-Borrowing Method for Performance Improvement of Low-Power Digital Circuits Prone to Variations. IEEE Trans. Very Large Scale Integr. Syst. 23(11): 2724-2727 (2015) - [c77]Mehdi Kamal, Arman Iranfar, Ali Afzali-Kusha, Massoud Pedram:
A thermal stress-aware algorithm for power and temperature management of MPSoCs. DATE 2015: 954-959 - [c76]Arman Iranfar, Soheil Nazar Shahsavani, Mehdi Kamal, Ali Afzali-Kusha:
A heuristic machine learning-based algorithm for power and thermal management of heterogeneous MPSoCs. ISLPED 2015: 291-296 - [c75]Roohollah Yarmand, Behzad Ebrahimi, Hassan Afzali-Kusha, Ali Afzali-Kusha, Massoud Pedram:
High-performance and high-yield 5 nm underlapped FinFET SRAM design using P-type access transistors. ISQED 2015: 10-17 - 2014
- [j41]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Impact of Process Variations on Speedup and Maximum Achievable Frequency of Extensible Processors. ACM J. Emerg. Technol. Comput. Syst. 10(3): 19:1-19:25 (2014) - [j40]Amir Yazdanbakhsh, Mehdi Kamal, Sied Mehdi Fakhraie, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Implementation-aware selection of the custom instruction set for extensible processors. Microprocess. Microsystems 38(7): 681-691 (2014) - [j39]Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi:
Robust FinFET SRAM design based on dynamic back-gate voltage adjustment. Microelectron. Reliab. 54(11): 2604-2612 (2014) - [j38]Nima Jafarzadeh, Maurizio Palesi, Ahmad Khademzadeh, Ali Afzali-Kusha:
Data Encoding Techniques for Reducing Energy Consumption in Network-on-Chip. IEEE Trans. Very Large Scale Integr. Syst. 22(3): 675-685 (2014) - [c74]Mehdi Kamal, Amin Ghasemazar, Ali Afzali-Kusha, Massoud Pedram:
Improving efficiency of extensible processors by using approximate custom instructions. DATE 2014: 1-4 - [c73]Mehrzad Nejat, Bijan Alizadeh, Ali Afzali-Kusha:
Dynamic Flip-Flop conversion to tolerate process variation in low power circuits. DATE 2014: 1-4 - [c72]Bardia Bozorgzadeh, Shahab Shahdoost, Ali Afzali-Kusha:
Delay variation analysis in the presence of power supply noise in nano-scale digital VLSI circuits. MWSCAS 2014: 117-120 - [c71]Amin Ghasemazar, Mehran Goli, Ali Afzali-Kusha:
Embedded Complex Floating Point Hardware Accelerator. VLSID 2014: 318-323 - 2013
- [j37]Mehdi Kamal, Amir Yazdanbakhsh, Hamid Noori, Ali Afzali-Kusha, Massoud Pedram:
A new merit function for custom instruction selection under an area budget constraint. Des. Autom. Embed. Syst. 17(1): 1-25 (2013) - [j36]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Considering the effect of process variations during the ISA extension design flow. Microprocess. Microsystems 37(6-7): 713-724 (2013) - [j35]Behrouz Afzal, Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi:
An analytical model for read static noise margin including soft oxide breakdown, negative and positive bias temperature instabilities. Microelectron. Reliab. 53(5): 670-675 (2013) - [c70]Vahideh Akhlaghi, Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
An efficient network on-chip architecture based on isolating local and non-local communications. DATE 2013: 350-353 - [c69]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram, Behzad Eghbalkhah:
Capturing and mitigating the NBTI effect during the design flow for extensible processors. DTIS 2013: 94-97 - [c68]Behzad Eghbalkhah, S. A. K. Gharavi, Ali Afzali-Kusha, M. B. Ghaznavi-Ghoushchi:
Self-impact of NBTI effect on the degradation rate of threshold voltage in PMOS transistors. DTIS 2013: 151-154 - [c67]Behzad Ebrahimi, Ali Afzali-Kusha, Nader Sehatbakhsh:
Robust polysilicon gate FinFET SRAM design using dynamic back-gate bias. DTIS 2013: 171-172 - [c66]Mohammad Yousef Zarei, Reza Asadpour, Siamak Mohammadi, Ali Afzali-Kusha, Razi Seyyedi:
Modeling symmetrical independent gate FinFET using predictive technology model. ACM Great Lakes Symposium on VLSI 2013: 299-304 - 2012
- [j34]Melika Tinati, Ahmad Khademzadeh, Ali Afzali-Kusha, Majid Janidarmian:
HACS: A novel cost aware paradigm promising fault tolerance on mesh-based network on chip architecture. Comput. Electr. Eng. 38(4): 963-974 (2012) - [j33]Mohsen Nickray, Ali Afzali-Kusha, Riku Jäntti:
MEA: an energy efficient algorithm for dense sector-based wireless sensor networks. EURASIP J. Wirel. Commun. Netw. 2012: 85 (2012) - [j32]Hossein Aghababa, Alireza Khosropour, Ali Afzali-Kusha, Behjat Forouzandeh, Massoud Pedram:
Statistical estimation of leakage power dissipation in nano-scale complementary metal oxide semiconductor digital circuits using generalised extreme value distribution. IET Circuits Devices Syst. 6(5): 273-278 (2012) - [j31]Masoud Daneshtalab, Masoumeh Kamali, Masoumeh Ebrahimi, Siamak Mohammadi, Ali Afzali-Kusha, Juha Plosila:
Adaptive Input-Output Selection Based On-Chip Router Architecture. J. Low Power Electron. 8(1): 11-29 (2012) - [j30]Behrouz Afzal, Behzad Ebrahimi, Ali Afzali-Kusha, Massoud Pedram:
An accurate analytical I-V model for sub-90-nm MOSFETs and its application to read static noise margin modeling. J. Zhejiang Univ. Sci. C 13(1): 58-70 (2012) - [j29]Hossein Aghababa, Behjat Forouzandeh, Ali Afzali-Kusha:
High-performance low-leakage regions of nano-scaled CMOS digital gates under variations of threshold voltage and mobility. J. Zhejiang Univ. Sci. C 13(6): 460-471 (2012) - [j28]Hossein Aghababa, Behzad Ebrahimi, Ali Afzali-Kusha, Massoud Pedram:
Probability calculation of read failures in nano-scaled SRAM cells under process variations. Microelectron. Reliab. 52(11): 2805-2811 (2012) - [j27]Behrouz Afzal, Behzad Ebrahimi, Ali Afzali-Kusha, Hamid Mahmoodi:
Modeling read SNM considering both soft oxide breakdown and negative bias temperature instability. Microelectron. Reliab. 52(12): 2948-2954 (2012) - [c65]Mehdi Kamal, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
An architecture-level approach for mitigating the impact of process variations on extensible processors. DATE 2012: 467-472 - [c64]Mehdi Kamal, Qing Xie, Massoud Pedram, Ali Afzali-Kusha, Saeed Safari:
An efficient reliability simulation flow for evaluating the hot carrier injection effect in CMOS VLSI circuits. ICCD 2012: 352-357 - [c63]Marzie Saffari, Sadeq Lotfi, Nima Jafarzadeh, Ali Afzali-Kusha:
Mapping of cores on to diagonal mesh-based network-on-chip. MECO 2012: 233-238 - 2011
- [j26]Hossein Aghababa, Reza Asadpour, Ali Afzali-Kusha, Behjat Forouzandeh:
Finding optimum value of numerical aperture for the best aerial image quality. IEICE Electron. Express 8(11): 879-883 (2011) - [j25]Saeed Mohammadi, Ali Afzali-Kusha, Saeed Mohammadi:
Compact modeling of short-channel effects in symmetric and asymmetric 3-T/4-T double gate MOSFETs. Microelectron. Reliab. 51(3): 543-549 (2011) - [j24]Mehdi Saremi, Behzad Ebrahimi, Ali Afzali-Kusha, Saeed Mohammadi:
A partial-SOI LDMOSFET with triangular buried-oxide for breakdown voltage improvement. Microelectron. Reliab. 51(12): 2069-2076 (2011) - [j23]Behzad Ebrahimi, Masoud Rostami, Ali Afzali-Kusha, Massoud Pedram:
Statistical Design Optimization of FinFET SRAM Using Back-Gate Voltage. IEEE Trans. Very Large Scale Integr. Syst. 19(10): 1911-1916 (2011) - [j22]Mostafa E. Salehi, Mehrzad Samadi, Mehrdad Najibi, Ali Afzali-Kusha, Massoud Pedram, Sied Mehdi Fakhraie:
Dynamic Voltage and Frequency Scheduling for Embedded Processors Considering Power/Performance Tradeoffs. IEEE Trans. Very Large Scale Integr. Syst. 19(10): 1931-1935 (2011) - [c62]Mehdi Kamal, Ali Afzali-Kusha, Massoud Pedram:
Timing variation-aware custom instruction extension technique. DATE 2011: 1517-1520 - [c61]Alireza Khosropour, Hossein Aghababa, Ali Afzali-Kusha, Behjat Forouzandeh:
Chip Level Statistical Leakage Power Estimation Using Generalized Extreme Value Distribution. PATMOS 2011: 173-179 - 2010
- [j21]Hamid Reza Ahmadi, Ali Afzali-Kusha, Massoud Pedram:
A power-optimized low-energy elliptic-curve crypto-processor. IEICE Electron. Express 7(23): 1752-1759 (2010) - [j20]Pejman Lotfi-Kamran, Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Zainalabedin Navabi:
EDXY - A low cost congestion-aware routing algorithm for network-on-chips. J. Syst. Archit. 56(7): 256-264 (2010) - [j19]Hamid Reza Ahmadi, Ali Afzali-Kusha:
A low-power and low-energy flexible GF(p) elliptic-curve cryptography processor. J. Zhejiang Univ. Sci. C 11(9): 724-736 (2010) - [j18]Saeed Mohammadi, Ali Afzali-Kusha:
Modeling of drain current, capacitance and transconductance in thin film undoped symmetric DG MOSFETs including quantum effects. Microelectron. Reliab. 50(3): 338-345 (2010) - [c60]Hossein Aghababa, Mahmoud Zangeneh, Ali Afzali-Kusha, Behjat Forouzandeh:
Statistical delay modeling of read operation of SRAMs due to channel length variation. ISCAS 2010: 2502-2505 - [c59]Mohsen Nickray, Ali Afzali-Kusha:
ATC - An Asymmetric Topology Control Algorithm for Heterogeneous Wireless Sensor Networks. WINSYS 2010: 75-81
2000 – 2009
- 2009
- [j17]Masoud Daneshtalab, Masoumeh Ebrahimi, Siamak Mohammadi, Ali Afzali-Kusha:
Low-distance path-based multicast routing algorithm for network-on-chips. IET Comput. Digit. Tech. 3(5): 430-442 (2009) - [j16]Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Massoud Pedram:
Forecasting-Based Dynamic Virtual Channel Management for Power Reduction in Network-on-Chips. J. Low Power Electron. 5(3): 385-395 (2009) - [j15]Amir-Mohammad Rahmani, Ali Afzali-Kusha, Massoud Pedram:
A Novel Synthetic Traffic Pattern for Power/Performance Analysis of Network-on-Chips Using Negative Exponential Distribution. J. Low Power Electron. 5(3): 396-405 (2009) - [j14]M. Mottaghi-Dastjerdi, Ali Afzali-Kusha, Massoud Pedram:
BZ-FAD: A Low-Power Low-Area Multiplier Based on Shift-and-Add Architecture. IEEE Trans. Very Large Scale Integr. Syst. 17(2): 302-306 (2009) - [j13]G. Razavipour, Ali Afzali-Kusha, Massoud Pedram:
Design and Analysis of Two Low-Power SRAM Cell Structures. IEEE Trans. Very Large Scale Integr. Syst. 17(10): 1551-1555 (2009) - [j12]Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi:
Sign Bit Reduction Encoding For Low Power Applications. J. Signal Process. Syst. 57(3): 321-329 (2009) - [c58]Masoumeh Ebrahimi, Masoud Daneshtalab, Mohammad Hossein Neishaburi, Siamak Mohammadi, Ali Afzali-Kusha, Juha Plosila, Hannu Tenhunen:
An efficent dynamic multicast routing protocol for distributing traffic in NOCs. DATE 2009: 1064-1069 - [c57]Hamid Reza Ahmadi, Ali Afzali-Kusha:
Low-Power Low-Energy Prime-Field ECC Processor Based on Montgomery Modular Inverse Algorithm. DSD 2009: 817-822 - [c56]Hamid Reza Ahmadi, Ali Afzali-Kusha:
Very Low-power Flexible GF(p) Elliptic-curve Crypto-processor for Non-time-critical Applications. ISCAS 2009: 904-907 - [c55]Amir-Mohammad Rahmani, Masoud Daneshtalab, Ali Afzali-Kusha, Saeed Safari, Massoud Pedram:
Forecasting-Based Dynamic Virtual Channels Allocation for Power Optimization of Network-on-Chips. VLSI Design 2009: 151-156 - [c54]Amir-Mohammad Rahmani, I. Kamali, Pejman Lotfi-Kamran, Ali Afzali-Kusha, Saeed Safari:
Negative Exponential Distribution Traffic Pattern for Power/Performance Analysis of Network on Chips. VLSI Design 2009: 157-162 - [c53]Bardia Bozorgzadeh, Ali Afzali-Kusha:
Novel MOS Decoupling Capacitor Optimization Technique for Nanotechnologies. VLSI Design 2009: 175-180 - 2008
- [j11]Mehrzad Samadi, Ali Afzali-Kusha:
Dynamic power management with fuzzy decision support system. IEICE Electron. Express 5(19): 789-795 (2008) - [j10]Ali Abbasian, Safar Hatami, Ali Afzali-Kusha, Massoud Pedram:
Wavelet-based dynamic power management for nonstationary service requests. ACM Trans. Design Autom. Electr. Syst. 13(1): 13:1-13:41 (2008) - [c52]Mohammadreza Binesh Marvasti, Masoud Daneshtalab, Ali Afzali-Kusha, Siamak Mohammadi:
PAMPR: Power-aware and minimum path routing algorithm for NoCs. ICECS 2008: 418-421 - [c51]Behzad Ebrahimi, Saeed Zeinolabedinzadeh, Ali Afzali-Kusha:
Low Standby Power and Robust FinFET Based SRAM Design. ISVLSI 2008: 185-190 - [c50]Pejman Lotfi-Kamran, Amir-Mohammad Rahmani, Ali-Asghar Salehpour, Ali Afzali-Kusha, Zainalabedin Navabi:
Stall Power Reduction in Pipelined Architecture Processors. VLSI Design 2008: 541-546 - 2007
- [j9]Fatemeh Aezinia, Ali Afzali-Kusha:
Low power high performance level converter for dual supply voltage systems. IEICE Electron. Express 4(9): 306-311 (2007) - [j8]Armin Mehran, Samira Saeidi, Ahmad Khademzadeh, Ali Afzali-Kusha:
Spiral: A heuristic mapping algorithm for network on chip. IEICE Electron. Express 4(15): 478-484 (2007) - [j7]Hadi Parandeh-Afshar, Mohsen Saneei, Ali Afzali-Kusha, Massoud Pedram:
Fast INC-XOR codec for low-power address buses. IET Comput. Digit. Tech. 1(5): 625-626 (2007) - [j6]Amir Amirabadi, Ali Afzali-Kusha, Y. Mortazavi, Mehrdad Nourani:
Clock Delayed Domino Logic With Efficient Variable Threshold Voltage Keeper. IEEE Trans. Very Large Scale Integr. Syst. 15(2): 125-134 (2007) - [c49]Mostafa Savadi Oskooei, Ali Afzali-Kusha, Seyed Mojtaba Atarodi:
A High-Speed and Low-Power Voltage Controlled Oscillator in 0.18-µm CMOS Process. ISCAS 2007: 933-936 - [c48]Mohammad Azim Karami, Ali Afzali-Kusha, Reza Faraji-Dana, Masoud Rostami:
Quantitative Comparison of Optical and Electrical H, X, and Y clock Distribution Networks. ISVLSI 2007: 488-489 - [c47]Vahid Moalemi, Ali Afzali-Kusha:
Subthreshold Pass Transistor Logic for Ultra-Low Power Operation. ISVLSI 2007: 490-491 - [c46]Vahid Moalemi, Ali Afzali-Kusha:
Subthreshold 1-Bit Full Adder Cells in sub-100 nm Technologies. ISVLSI 2007: 514-515 - [c45]Mohammad Azim Karami, Mehdi Ahmadi-Boroujeni, Ali Afzali-Kusha, Reza Faraji-Dana:
Semi-analytic model for dispersion relation of nanowire lasers. Nano-Net 2007: 6 - [c44]Masoud Daneshtalab, A. Pedram, Mohammad Hossein Neishaburi, Mohammad Riazati, Ali Afzali-Kusha, Siamak Mohammadi:
Distributing Congestions in NoCs through a Dynamic Routing Algorithm based on Input and Output Selections. VLSI Design 2007: 546-550 - [i1]Shervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha, Zainalabedin Navabi:
Simultaneous Reduction of Dynamic and Static Power in Scan Structures. CoRR abs/0710.4653 (2007) - 2006
- [j5]Kambiz Shojaee, Morteza Gholipour, Ali Afzali-Kusha, Mehrdad Nourani:
Comparative study of asynchronous pipeline design methods. IEICE Electron. Express 3(8): 163-171 (2006) - [j4]Shervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha, Zainalabedin Navabi:
Scan-Based Structure with Reduced Static and Dynamic Power Consumption. J. Low Power Electron. 2(3): 477-487 (2006) - [j3]Ali Afzali-Kusha, Makoto Nagata, Nishath K. Verghese, David J. Allstot:
Substrate Noise Coupling in SoC Design: Modeling, Avoidance, and Validation. Proc. IEEE 94(12): 2109-2138 (2006) - [c43]Mahdi Nazm Bojnordi, Nariman Moezzi Madani, Mehdi Semsarzadeh, Ali Afzali-Kusha:
An Efficient Clocking Scheme for On-Chip Communications. APCCAS 2006: 119-122 - [c42]Fatemeh Aezinia, S. Najafzadeh, Ali Afzali-Kusha:
Novel High Speed and Low Power Single and Double Edge-Triggered Flip-Flops. APCCAS 2006: 1383-1386 - [c41]Nima Honarmand, Ali Afzali-Kusha:
Low Power Combinational Multipliers using Data-driven Signal Gating. APCCAS 2006: 1430-1433 - [c40]Fatemeh Aezinia, Ali Afzali-Kusha, Caro Lucas:
Optimizing High Speed Flip-Flop Using Genetic Algorithm. APCCAS 2006: 1787-1790 - [c39]Masoud Daneshtalab, Ashkan Sobhani, Ali Afzali-Kusha, Omid Fatemi, Zainalabedin Navabi:
NoC Hot Spot minimization Using AntNet Dynamic Routing Algorithm. ASAP 2006: 33-38 - [c38]S. H. Rasouli, Amir Amirabadi, A. Seyedi, Ali Afzali-Kusha:
Double edge triggered Feedback Flip-Flop in sub 100NM technology. ASP-DAC 2006: 297-302 - [c37]Mehrdad Najibi, Mostafa E. Salehi, Ali Afzali-Kusha, Massoud Pedram, Seid Mehdi Fakhraie, Hossein Pedram:
Dynamic voltage and frequency management based on variable update intervals for frequency setting. ICCAD 2006: 755-760 - [c36]Amir Amirabadi, A. Chehelcheraghi, S. H. Rasouli, A. Seyedi, Ali Afzali-Kusha:
Low power and high performance clock delayed domino logic using saturated keeper. ISCAS 2006 - [c35]Nima Honarmand, M. Reza Javaheri, Naser Sedaghati-Mokhtari, Ali Afzali-Kusha:
Power efficient sequential multiplication using pre-computation. ISCAS 2006 - [c34]Bahman Kheradmand Boroujeni, Fatemeh Aezinia, Ali Afzali-Kusha:
High performance circuit techniques for dynamic OR gates. ISCAS 2006 - [c33]Saeid Mehrmanesh, Behzad Eghbalkhah, Saeed Saeedi, Ali Afzali-Kusha, Seyed Mojtaba Atarodi:
A compact low power mixed-signal equalizer for gigabit Ethernet applications. ISCAS 2006 - [c32]Hadi Parandeh-Afshar, Ali Afzali-Kusha, Ali Khaki-Firooz:
A very high performance address BUS encoder. ISCAS 2006 - [c31]G. Razavipour, A. Motamedi, Ali Afzali-Kusha:
WL-VC SRAM: a low leakage memory circuit for deep sub-micron design. ISCAS 2006 - [c30]Mohammad Riazati, Ashkan Sobhani, M. Mottaghi-Dastjerdi, Ali Afzali-Kusha, Ali Khaki-Firooz:
Low-power multiplier with static decision for input manipulation. ISCAS 2006 - [c29]Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi:
Low-power and low-latency cluster topology for local traffic NoCs. ISCAS 2006 - [c28]A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha:
Low power low leakage clock gated static pulsed flip-flop. ISCAS 2006 - [c27]Masoud Daneshtalab, Ali Afzali-Kusha, Siamak Mohammadi:
Minimizing Hot Spots in NoCs through a Dynamic Routing Algorithm based on Input and Output Selections. SoC 2006: 1-4 - [c26]Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi:
Serial Bus Encoding for Low Power Application. SoC 2006: 1-4 - [c25]A. S. Seyedi, S. H. Rasouli, Amir Amirabadi, Ali Afzali-Kusha:
Clock Gated Static Pulsed Flip-Flop (CGSPFF) in Sub 100 nm Technology. ISVLSI 2006: 373-377 - [c24]Masood Deh-Yadegari, Mohsen Nickray, Ali Afzali-Kusha, Zainalabedin Navabi:
A New Protocol Stack Model for Network on Chip. ISVLSI 2006: 440-441 - [c23]Masoud Daneshtalab, Ali Afzali-Kusha, Ashkan Sobhani, Zainalabedin Navabi, Mohammad D. Mottaghi, Omid Fatemi:
Ant colony based routing architecture for minimizing hot spots in NOCs. SBCCI 2006: 56-61 - [c22]Mohammad D. Mottaghi, Ali Afzali-Kusha, Zainalabedin Navabi:
ByZFAD: a low switching activity architecture for shift-and-add multipliers. SBCCI 2006: 179-183 - 2005
- [j2]Davood Shahrjerdi, Bahman Hekmatshoar, Ali Khaki-Firooz, Ali Afzali-Kusha:
Optimization of the VT control method for low-power ultra-thin double-gate SOI logic circuits. Integr. 38(3): 505-513 (2005) - [c21]Mohsen Saneei, Ali Afzali-Kusha, Zainalabedin Navabi:
Sign bit reduction encoding for low power applications. DAC 2005: 214-217 - [c20]Shervin Sharifi, Javid Jaffari, Mohammad Hosseinabady, Ali Afzali-Kusha, Zainalabedin Navabi:
Simultaneous Reduction of Dynamic and Static Power in Scan Structures. DATE 2005: 846-851 - [c19]Mohsen Nickray, Masoud Dehyadegari, Ali Afzali-Kusha:
Power and delay optimization for network on chip. ECCTD 2005: 273-276 - [c18]Behnam Amelifard, Ali Afzali-Kusha, Ahmad Khademzadeh:
Enhancing the efficiency of cluster voltage scaling technique for low-power application. ISCAS (2) 2005: 1666-1669 - [c17]Amir Amirabadi, Y. Mortazavi, Nariman Moezzi Madani, Ali Afzali-Kusha, Mehrdad Nourani:
Domino logic with an efficient variable threshold voltage keeper. ISCAS (2) 2005: 1674-1677 - [c16]Morteza Gholipour, Hamid Shojaee, Ali Afzali-Kusha, Ahmad Khademzadeh, Mehrdad Nourani:
An efficient model for performance analysis of asynchronous pipeline design methods. ISCAS (5) 2005: 5234-5237 - [c15]Mohammad Alisafaee, Safar Hatami, Ehsan Atoofian, Zainalabedin Navabi, Ali Afzali-Kusha:
A low-power scan-path architecture. ISCAS (5) 2005: 5278-5281 - [c14]B. Afkal, Ali Afzali-Kusha, Mahmoud El Nokali:
Efficient power model for crossbar interconnects. ISCAS (6) 2005: 5858-5861 - [c13]Mohammad Taherzadeh-Sani, Ali Abbasian, Behnam Amelifard, Ali Afzali-Kusha:
Modeling of MOS transistors based on genetic algorithm and simulated annealing. ISCAS (6) 2005: 6218-6221 - 2004
- [j1]Safar Hatami, M. Yaser Azizi, Hamid-Reza Bahrami, Davoud Motavalizadeh-Naeini, Ali Afzali-Kusha:
Accurate and efficient modeling of SOI MOSFET with technology independent neural networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 23(11): 1580-1587 (2004) - [c12]Amir Amirabadi, Javid Jaffari, Ali Afzali-Kusha, Mehrdad Nourani, Ali Khaki-Firooz:
Leakage current reduction by new technique in standby mode. ACM Great Lakes Symposium on VLSI 2004: 158-161 - [c11]Ali Abbasian, Safar Hatami, Ali Afzali-Kusha, Mehrdad Nourani, Caro Lucas:
Event-driven dynamic power management based on wavelet forecasting theory. ISCAS (5) 2004: 325-328 - [c10]Mohammad H. Tehranipour, Mehrdad Nourani, Karim Arabi, Ali Afzali-Kusha:
Mixed RL-Huffman encoding for power reduction and data compression in scan test. ISCAS (2) 2004: 681-684 - [c9]Rasoul Dehghani, Seyed Mojtaba Atarodi, B. Bornoosh, Ali Afzali-Kusha:
A Reduced Complexity 3rd Order Digital Delta-Sigma Modulator for Fractional-N Frequency Synthesis. VLSI Design 2004: 615-618 - 2003
- [c8]Ali Abbasian, Ali Afzali-Kusha:
Pipeline Event-driven No-race Charge recycling Logic (PENCL) for low power application. ICECS 2003: 220-223 - [c7]Ali Abbasian, Amir Masoud Nasri-Nasrabadi, Ali Afzali-Kusha:
Modular energy recycling differential logic (MERDL) for low power application. ICECS 2003: 312-315 - [c6]Ali Abbasian, S. H. Rasouli, Ali Afzali-Kusha, Mehrdad Nourani:
No-race charge recycling complementary pass transistor logic (NCRCPL) for low power applications. ISCAS (5) 2003: 289-292 - [c5]Mohammad H. Tehranipour, Mehrdad Nourani, Seid Mehdi Fakhraie, Ali Afzali-Kusha:
Systematic test program generation for SoC testing using embedded processor. ISCAS (5) 2003: 541-544 - [c4]Mohammad Yavari, Omid Shoaei, Ali Afzali-Kusha:
A very low-voltage, low-power and high resolution sigma-delta modulator for digital audio in 0.25µm CMOS. ISCAS (1) 2003: 1045-1048 - [c3]Bahman Javadi, Mohsen Naderi, Hossein Pedram, Ali Afzali-Kusha, Mohammad K. Akbari:
An Asynchronous Viterbi Decoder for Low-Power Applications. PATMOS 2003: 471-480 - 2002
- [c2]Mahnaz Maddah, Ali Afzali-Kusha, Hamid Soltanian-Zadeh:
Fast center-line extraction for quantification of vessels in confocal microscopy images. ISBI 2002: 461-464 - 2001
- [c1]Hamid Mahmoodi-Meimand, Ali Afzali-Kusha:
Efficient power clock generation for adiabatic logic. ISCAS (4) 2001: 642-645
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-15 00:22 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint