default search action
ISCAS 2012: Seoul, Korea
- 2012 IEEE International Symposium on Circuits and Systems, ISCAS 2012, Seoul, Korea (South), May 20-23, 2012. IEEE 2012, ISBN 978-1-4673-0218-0
- Koushik Maharatna, Evangelos B. Mazomenos, John M. Morgan, Silvio Bonfiglio:
Towards the development of next-generation remote healthcare system: Some practical considerations. 1-4 - Mitja Lustrek, Bozidara Cvetkovic, Simon Kozina:
Energy expenditure estimation with wearable accelerometers. 5-8 - Erik Fernández, Héctor Solar, Joaquín de No, Iñaki Gutiérrez, Roc Berenguer:
A 150nW CMOS novel temperature sensor for remote patient monitoring based on an auto-resonant active inductor architecture. 9-12 - Mohamad Sawan, Muhammad Tariqus Salam, Sebastien Gelinas, Jerome Le Lan, Frederic Lesage, Dang Khoa Nguyen:
Combined NIRS-EEG remote recordings for epilepsy and stroke real-time monitoring. 13-16 - Shen-Jui Huang, Sau-Gee Chen:
A memory-efficient continuous-flow FFT processor for Wimax application. 17-20 - Min-Woo Lee, Ji-Hwan Yoon, Jongsun Park:
High-speed tournament givens rotation-based QR Decomposition Architecture for MIMO Receiver. 21-24 - Jérôme L. V. M. Stanislaus, Tinoosh Mohsenin:
High performance compressive sensing reconstruction hardware with QRD process. 29-32 - C. Vennila, Kumar Palaniappan CT, Kodati Vamsi Krishna, G. Lakshminarayanan, Seok-Bum Ko:
Dynamic partial reconfigurable FFT/IFFT pruning for OFDM based Cognitive radio. 33-36 - Gang Li, Yong Ching Lim, Chaogeng Huang, Hong Xu:
A novel digital IIR filter design strategy - Structure-based discrete coefficient filters. 37-40 - Aimin Jiang, Hon Keung Kwan:
Efficient design of sparse FIR filters in WLS sense. 41-44 - Wen Bin Ye, Ya Jun Yu:
Design of high order and wide coefficient wordlength multiplierless FIR filters with low hardware cost using genetic algorithm. 45-48 - Martin Kumm, Peter Zipf, Mathias Faust, Chip-Hong Chang:
Pipelined adder graph optimization for high speed multiple constant multiplication. 49-52 - Iman Moazzen, Panajotis Agathoklis:
Broadband beamfoming using Nested Planar Arrays and 3D FIR frustum filters. 53-56 - Andrew C. R. Angus, H. Martin Reekie:
STF behaviour in optimised for ELD cascaded CT Delta-Sigma Modulators. 57-60 - Rafael T. Blumer, César Augusto Prior, João B. Martins:
14-bit DR, 20 kHz BW, 2-2 MASH SI-Σ modulator using low-distortion feedforward topology. 61-64 - Tao He, Yang Jiang, Yun Du, Sai-Weng Sin, Seng-Pan U., Rui Paulo Martins:
A 10MHz BW 78dB DR CT ΣΔ modulator with novel switched high linearity VCO-based quantizer. 65-68 - Anant S. Kamath, Biman Chattopadhyay:
A wide output range, mismatch tolerant Sigma Delta DAC for digital PLL in 90nm CMOS. 69-72 - Pascal Witte, John G. Kauffman, Timon Brückner, Joachim Becker, Maurits Ortmanns:
An error estimation technique for lowpass and bandpass ΣΔ ADC feedback DACs using a residual test signal. 73-76 - Thi Hong Tran, Leonardo Lanante, Yuhei Nagao, Masayuki Kurosaki, Hiroshi Ochi:
Hardware Implementation of High Throughput RC4 algorithm. 77-80 - Shohreh Sharif Mansouri, Elena Dubrova:
Power-security trade-off in multi-level power analysis countermeasures for FSR-based stream ciphers. 81-84 - Youngjune Gwon, H. T. Kung, Dario Vlah, Keng-Yen Huang, Yi-Min Tsai:
Statistical screening for IC Trojan detection. 85-88 - Jiafeng Xie, Pramod Kumar Meher, Jianjun He:
Low-latency area-delay-efficient systolic multiplier over GF(2m) for a wider class of trinomials using parallel register sharing. 89-92 - Karl Leboeuf, Roberto Muscedere, Majid Ahmadi:
High performance prime field multiplication for GPU. 93-96 - Bo Liu, Siew Kei Lam, Thambipillai Srikanthan, Weiqi Yuan:
Exploiting stable features for iris recognition of defocused images. 97-100 - Huan-Hsiang Lin, Ming-Rung Tsai, Chun-Fu Chen, Szu-Yu Chen, Yi-Hua Liao, Gwo Giun Lee, Chi-Kuang Sun:
Cell segmentation and NC ratio analysis of third harmonic generation virtual biopsy images based on marker-controlled gradient watershed algorithm. 101-104 - Joon Hyuk Park, Jelena Platisa, Vincent A. Pieribone, Eugenio Culurciello:
A second-generation imaging system for freely moving animals. 105-108 - Atahar Mostafa, Khan A. Wahid, Seok-Bum Ko:
A low-power subsample-based image compression algorithm for capsule endoscopy. 109-112 - Ming Yang, Chaitali Chakrabarti:
Design of orthogonal coded excitation for synthetic aperture imaging in ultrasound systems. 113-116 - Shouyi Yin, Chongyong Yin, Leibo Liu, Min Zhu, Yansheng Wang, Shaojun Wei:
Reducing configuration contexts for coarse-grained reconfigurable architecture. 121-124 - Mineo Kaneko, Jian Li:
Post-silicon skew tuning algorithm utilizing setup and hold timing tests. 125-128 - Jomu George Mani Paret, Otmane Aït Mohamed:
Modeling discrete event system with distributions using SystemVerilog. 129-132 - Qifei Wang, Ming-Ting Sun, Gary J. Sullivan, Jin Li:
Complexity-reduced geometry partition search and high efficiency prediction for video coding. 133-136 - Yongsheng Zhang, Hongkai Xiong, Chang Wen Chen:
A novel Slepian-Wolf decoding algorithm exploiting geometric regularity constraints with anisotropic MRF modeling. 137-140 - Danny Hong, Jill M. Boyce, Stephan Wenger:
Picture orientation information in video compression. 141-144 - Shiqi Wang, Jingjing Fu, Yan Lu, Shipeng Li, Wen Gao:
Content-aware layered compound video compression. 145-148 - Viet Anh Nguyen, Jiangbo Lu, Minh N. Do:
Efficient video compression methods for a lightweight tele-immersive video chat system. 149-152 - Yao Chen, Fengling Han, Haibin Liu, Jinhu Lu:
3D reconstruction from planar points: A candidate method for authentication of fingerprint images captured by mobile devices. 153-156 - Georges Kaddoum, Mai Vu, François Gagnon:
Chaotic symbolic dynamics modulation in MIMO systems. 157-160 - Min Xiao, Wei Xing Zheng:
Nonlinear dynamics and limit cycle bifurcation of a fractional-order three-node recurrent neural network. 161-164 - Weiguo Xia, Ming Cao:
Cluster synchronization and controllability of complex multi-agent networks. 165-168 - Shaolin Tan, Jinhu Lu, Xinghuo Yu, David J. Hill:
Exploring evolutionary dynamics in a class of structured populations. 169-172 - Jordi Albo-Canals, Joan Navarro, D. Serra-Puig, Xavier Vilasís-Cardona:
A robot swarm as a cellular multicore processor. 173-176 - Tuba Ayhan, Ramazan Yeniceri, Selman Ergunay, Müstak Erhan Yalçin:
Hybrid processor population for odor processing. 177-180 - Leonardo Nicolosi, Ronald Tetzlaff, Felix Abt, Andreas Heider, Andreas Blug, Heinrich Höfler:
Novel algorithm for the real time multi-feature detection in laser beam welding. 181-184 - Kartheek Chandu, Mikel Stanich, Barry M. Trager, Chai Wah Wu:
A GPU implementation of color digital halftoning using the Direct Binary Search algorithm. 185-188 - Zoltán Nagy, András Kiss, Ákos Zarándy, Tamás Zsedrovits, Bálint Vanek, Tamas Peni, Jozsef Bokor, Tamás Roska:
Volume and power optimized high-performance system for UAV collision avoidance. 189-192 - Sivylla E. Paraskevopoulou, Timothy G. Constandinou:
An ultra-low-power front-end neural interface with automatic gain for uncalibrated monitoring. 193-196 - Edward K. F. Lee:
A sub-0.5V, 1.5µW rail-to-rail constant gm opamp and its filter application. 197-200 - Aldo Pena-Perez, Franco Maloberti:
Performance enhanced op-amp for 65nm CMOS technologies and below. 201-204 - Siddharth Seth, Boris Murmann:
Settling time and noise optimization of a three-stage operational transconductance amplifier. 205-208 - Ming-Huang Li, Cheng-Syun Li, Li-Jen Hou, Yu-Chia Liu, Sheng-Shian Li:
A 1.57mW 99dBΩ CMOS transimpedance amplifier for VHF micromechanical reference oscillators. 209-212 - Meng Huang, Chi Kong Tse, Siu Chung Wong:
Line-frequency instability of three-phase PFC power supplies connecting to non-ideal power grid. 213-216 - Guan-Chun Huang, Tsorng-Juu Liang, Kai-Hui Chen:
Losses analysis and low standby losses quasi-resonant flyback converter design. 217-220 - Yi-Ping Su, Shih-Wei Wang, Yu-Huei Lee, Ke-Horng Chen:
Dynamic sawtooth compensation (DSC) technique with self-tuning mode selection (SMS) for current-mode Buck-Boost converter. 221-224 - Wei Zhang, Siu Chung Wong, Chi Kong Tse, Qianhong Chen:
Compensation technique for optimized efficiency and voltage controllability of IPT systems. 225-228 - Y. Zhang, N. H. W. Fong, David C. W. Ng, Ngai Wong:
Co-simulation of RFIC with bondwire antenna via retarded PEEC method. 229-232 - David Correia, Marcelo dal Alba, Miguel A. Martins, Taimur Gibran Rabuske, Cesar Ramos Rodrigues, Jorge R. Fernandes:
An IR-UWB transmitter with digital pulse duration control. 233-236 - Venumadhav Bhagavatula, Jacques Christophe Rudell:
Transformer feedback based CMOS amplifiers. 237-240 - Elbert Bechthum, Georgi I. Radulov, Joost Briaire, Govert Geelen, Arthur H. M. van Roermund:
Systematic analysis of the impact of mixing locality on Mixing-DAC linearity for multicarrier GSM. 241-244 - Wei-Yu Tsai, Ching-Te Chiu, Jen-Ming Wu, Shawn S. H. Hsu, Yarsun Hsu, Ying-Fang Tsao:
A novel low gate-count serializer topology with Multiplexer-Flip-Flops. 245-248 - Chen-Chieh Wang, Sheng-Hsin Lo, Yao-Ning Liu, Chung-Ho Chen:
NetVP: A system-level NETwork Virtual Platform for network accelerator development. 249-252 - Jude Angelo Ambrose, Aleksandar Ignjatovic, Sri Parameswaran:
CoRaS: A multiprocessor key corruption and random round swapping for power analysis side channel attacks: A DES case study. 253-256 - Ingoo Heo, Sanghyun Park, Yunheung Paek:
Compiler and microarchitectural approaches for register file thermal management. 257-260 - Sebastian Höppner, Chenming Shao, Holger Eisenreich, Georg Ellguth, Mario Ander, René Schüffny:
A power management architecture for fast per-core DVFS in heterogeneous MPSoCs. 261-264 - Mathieu Allard, Patrick Grogan, Yvon Savaria, Jean-Pierre David:
Two-level configuration for FPGA: A new design methodology based on a computing fabric. 265-268 - Patrick Maechler, Norbert Felber, Hubert Kaeslin, Andreas Burg:
Hardware-efficient random sampling of fourier-sparse signals. 269-272 - Keith Wilhelm, Yehia Massoud:
Compressive sensing based classification of intramuscular electromyographic signals. 273-276 - Jeevan K. Pant, Wu-Sheng Lu, Andreas Antoniou:
Reconstruction of block-sparse signals by using an l2/p-regularized least-squares algorithm. 277-280 - Ahmed Shaharyar Khwaja, Xiao-Ping (Steven) Zhang:
Reconstruction of compressively sensed complex-valued terahertz data. 281-284 - Dalei Wu, Wei-Ping Zhu, M. N. S. Swamy:
On sparsity issues in compressive sensing based speech enhancement. 285-288 - Oscar Belotti, Edoardo Bonizzoni, Franco Maloberti:
A 1-V 1.1-MHz BW digitally assisted multi-bit multi-rate hybrid CT ΣΔ with 78-dB SFDR. 289-292 - Yang Xu, Baoyong Chi, Zhihua Wang:
Power-scalable multi-mode reconfigurable continuous-time lowpass/quadrature bandpass sigma-delta modulator for zero/low-IF receivers. 293-296 - Ali Zahabi, Farabi Ibne Jamal, Joachim Becker, Muhammad Anis, Maurits Ortmanns:
Digitally-switched resonators for bandpass integrated transmission line ΣΔ modulators. 297-300 - João L. A. de Melo, Blazej Nowacki, Nuno Paulino, João Goes:
Design methodology for Sigma-Delta modulators based on a genetic algorithm using hybrid cost functions. 301-304 - Rui Wang, Xiaoke Wen, Kamran Azadet, Changzhi Li, Jinghong Chen:
A power-optimized reconfigurable CT ΔΣ modulator in 65nm CMOS. 305-308 - Shijie Hu, Chen Jia, Ke Huang, Chun Zhang, Xuqiang Zheng, Zhihua Wang:
A 10Gbps CDR based on phase interpolator for source synchronous receiver in 65nm CMOS. 309-312 - Ke Huang, Chen Jia, Xuqiang Zheng, Ni Xu, Chun Zhang, Woogeun Rhee, Zhihua Wang:
A 9.6Gb/s 5+1-lane source synchronous transmitter in 65nm CMOS technology. 313-316 - Arash Zargaran-Yazd, Shahriar Mirabbasi:
A 25 Gb/s full-rate CDR circuit based on quadrature phase generation in data path. 317-320 - Yong-Hun Kim, Lee-Sup Kim:
A 20 Gbps 1-tap decision feedback equalizer with unfixed tap coefficient. 321-324 - Pervez M. Aziz, Hiroshi Kimura, Amaresh V. Malipatil, Shiva Kotagiri:
A class of downsampled floating tap DFE architectures with application to serial links. 325-328 - Nozomi Hayashi, Akira Taguchi:
Iris feature extraction based on gray-scale morphological skeleton. 329-332 - Xu Yao, Guangmin Sun, Wen-Yen Lin, Wen-Cheng Chou, Kin Fong Lei, Ming-Yih Lee:
The design of an in-line accelerometer-based inclination sensing system. 333-336 - Todd J. Freeborn, Brent Maundy, Ahmed S. Elwakil:
Improved Cole-Cole parameter extraction from frequency response using least squares fitting. 337-340 - Teng-Chieh Huang, Hsiao-Yu Chen, Wai-Chi Fang:
Real-time obstructive sleep apnea detection based on ECG derived respiration signal. 341-344 - Akitoshi Itai, Arao Funase, Andrzej Cichocki, Hiroshi Yasukawa:
Non-linear filter based outer product expansion with reference signal for EEG analysis. 345-348 - Wen-Pin Tu, Shih-Wei Wu, Shih-Hsu Huang, Mely Chen Chi:
NBTI-aware dual threshold voltage assignment for leakage power reduction. 349-352 - Shaoxi Wang, Xiaoya Fan, Shengbing Zhang, Ming-e Jing:
Analog layout retargeting with geometric programming and constrains symbolization method. 353-356 - Minbeom Kim, Byung-Gyu Ahn, Jaehwan Kim, Bongki Lee, Jong-Wha Chong:
Thermal aware timing budget for buffer insertion in early stage of physical design. 357-360 - Jai Narayan Tripathi, Nitin Kumar Chhabra, Raj Kumar Nagpal, Rakesh Malik, Jayanta Mukherjee:
Damping the cavity-mode anti-resonances' peaks on a power plane by swarm intelligence algorithms. 361-364 - Chun-Po Huang, Soon-Jyh Chang, Guan-Ying Huang, Cheng-Wu Lin:
A power-efficient sizing methodology of SAR ADCs. 365-368 - Wei Hu, Oscar C. Au, Lin Sun, Wenxiu Sun, Lingfeng Xu, Yujun Li:
Adaptive depth map filter for blocking artifacts removal and edge preserving. 369-372 - Yeong-Kang Lai, Yu-Fan Lai, Jung-Wei Lin:
High-quality view synthesis algorithm and architecture for 2D to 3D conversion. 373-376 - Jui-Chiu Chiang, Ping-He Hou, Kai-Che Liu, Wen-Nung Lie:
Multiview texture coding and free viewpoint image synthesis for mesh-based 3D video transmission. 377-380 - Minsu Choi, Jinsang Kim, Won-Kyung Cho, Yunmo Chung:
Low complexity image rectification for multi-view video coding. 381-384 - An-Ti Chiang, Hung-Ming Wang, Jar-Ferr Yang, Jhing-Fa Wang:
A new stereo packing format based on checkerboard sub-sampling for efficient stereo video coding. 385-388 - Xiaoling Xiong, Chi Kong Tse, Xinbo Ruan:
Bifurcation in standalone photovoltaic-battery hybrid power systems. 389-392 - Ching-Hung Yuen, Oi-Yan Lui, Kwok-Wo Wong:
Application of chaotic maps for simultaneous lossy image compression and encryption. 393-396 - Elena Blokhina, Dimitri Galayko, Rhona Wade, Philippe Basset, Orla Feely:
Bifurcations and chaos in electrostatic vibration energy harvesters. 397-400 - Zbigniew Galias:
Trapping region for the double scroll attractor. 401-404 - Daisuke Ito, Jun-ichi Imura, Tetsushi Ueta, Kazuyuki Aihara:
Energy saving controlling chaos. 405-408 - Beomkyu Shin, Changkyu Seol, Jung-Soo Chung, Jun Jin Kong:
Error control coding and signal processing for flash memories. 409-412 - Kijun Lee, Sejin Lim, Jaehong Kim:
Low-cost, low-power and high-throughput BCH decoder for NAND Flash Memory. 413-415 - Daehyun Kim, Biwoong Chung, Roy E. Kim:
Improved hard-decision decoding LDPC Codec IP design. 416-419 - Byoungjun Park, Sunghoon Cho, Milim Park, Sukkwang Park, Yunbong Lee, Myoungkwan Cho, Kunok Ahn, Gihyun Bae, Sungwook Park:
Challenges and limitations of NAND flash memory devices based on floating gates. 420-423 - Hyunyoung Shim, Myoungkwan Cho, Kunok Ahn, Gihyun Bae, Sungwook Park:
Novel integration technologies for improving reliability in NAND flash memory. 424-427 - Hariprasath Venkatram, Taehwan Oh, Jon Guerber, Un-Ku Moon:
Class A+ amplifier with controlled positive feedback for discrete-time signal processing circuits. 428-431 - Yi Yang, David M. Binkley, Changzhi Li:
Using moderate inversion to optimize voltage gain, thermal noise, and settling time in two-stage CMOS amplifiers. 432-435 - Salvatore Pennisi, Giuseppe Scotti, Alessandro Trifiletti:
Constant and maximum bandwidth feedback amplifier with adaptive frequency compensation. 436-439 - John Richard E. Hizon, Esther Rodríguez-Villegas:
A compact linearly tunable low voltage triode OTA using self-cascodes. 440-443 - Loai G. Salem, Yehea Ismail:
Switched-capacitor dc-dc converters with output inductive filter. 444-447 - Ming Liu, Tatsuo Nakagawa, Kenichi Osada:
Fully digital voltage-mode control based on predictive hysteresis method (FDVC-PH) for DC-DC converters. 448-451 - Hadar Dagan, Adam Teman, Alexander Fish, Evgeny Pikhay, Vladislav Dayan, Yakov Roizin:
A GIDL free tunneling gate driver for a low power non-volatile memory array. 452-455 - Chu-Hsiang Chia, Pui-Sun Lei, Robert Chen-Hao Chang:
A high-speed converter with light-load improvement circuit and transient detector. 456-459 - Suhwan Kim, Gabriel A. Rincón-Mora:
Efficiency of switched-inductor dc-dc converter ICs across process technologies. 460-463 - Heng-Ming Hsu, Yi-Te Chou, Yo-Hao Hsu, Yue-Shiang Shu:
An inductorless frequency divider with 15GHz locking range using 90nm CMOS technology. 464-467 - Long Chen, Chuan Wang, Chen Li, Le Ye, Huailin Liao, Ru Huang:
A +21.2 dBm out-of-band IIP3 0.2-3GHz RF front-end using impedance translation technique. 468-471