default search action
Srini Devadas
Person information
- affiliation: Massachusetts Institute of Technology, Cambridge, MA, USA
Refine list
refinements active!
zoomed in on ?? of ?? records
view refined list in
export refined list as
2020 – today
- 2024
- [j117]Aleksandar Krastev, Nikola Samardzic, Simon Langowski, Srinivas Devadas, Daniel Sánchez:
A Tensor Compiler with Automatic Data Packing for Simple and Efficient Fully Homomorphic Encryption. Proc. ACM Program. Lang. 8(PLDI): 126-150 (2024) - [i60]Mayuri Sridhar, Hanshen Xiao, Srinivas Devadas:
PAC-Private Algorithms. IACR Cryptol. ePrint Arch. 2024: 718 (2024) - [i59]Geoffroy Couteau, Lalita Devadas, Srinivas Devadas, Alexander Koch, Sacha Servan-Schreiber:
QuietOT: Lightweight Oblivious Transfer with a Public-Key Setup. IACR Cryptol. ePrint Arch. 2024: 1079 (2024) - 2023
- [j116]Simha Sethumadhavan, Srini Devadas:
Guest Editorial: IEEE Transactions on Computer, Special Issue on Hardware Security. IEEE Trans. Computers 72(2): 305 (2023) - [j115]Mayuri Sridhar, Srinivas Devadas:
Robust Near-Optimal Arm Identification With Strongly-Adaptive Adversaries. IEEE Trans. Signal Process. 71: 4257-4272 (2023) - [c205]Hanshen Xiao, Jun Wan, Srinivas Devadas:
Geometry of Sensitivity: Twice Sampling and Hybrid Clipping in Differential Privacy with Optimal Gaussian Noise and Application to Deep Learning. CCS 2023: 2636-2650 - [c204]Hanshen Xiao, Srinivas Devadas:
PAC Privacy: Automatic Privacy Measurement and Control of Data Processing. CRYPTO (2) 2023: 611-644 - [c203]Simon Langowski, Sacha Servan-Schreiber, Srinivas Devadas:
Trellis: Robust and Scalable Metadata-private Anonymous Broadcast. NDSS 2023 - [c202]Hanshen Xiao, Zihang Xiang, Di Wang, Srinivas Devadas:
A Theory to Instruct Differentially-Private Learning via Clipping Bias Reduction. SP 2023: 2170-2189 - [c201]Hongyi Liu, Jiarong Xing, Yibo Huang, Danyang Zhuo, Srinivas Devadas, Ang Chen:
Remote Direct Memory Introspection. USENIX Security Symposium 2023: 6043-6060 - [i58]Jules Drean, Miguel Gomez-Garcia, Thomas Bourgeat, Srinivas Devadas:
Citadel: Side-Channel-Resistant Enclaves with Secure Shared Memory on a Speculative Out-of-Order Processor. CoRR abs/2306.14882 (2023) - [i57]Hanshen Xiao, Jun Wan, Srinivas Devadas:
Geometry of Sensitivity: Twice Sampling and Hybrid Clipping in Differential Privacy with Optimal Gaussian Noise and Application to Deep Learning. CoRR abs/2309.02672 (2023) - 2022
- [j114]Srini Devadas, Jeyavijayan Rajendran:
Guest Editors' Introduction: Special Issue on 2021 Top Picks in Hardware and Embedded Security. IEEE Des. Test 39(4): 5-6 (2022) - [j113]Axel Feldmann, Nikola Samardzic, Aleksandar Krastev, Srinivas Devadas, Ronald G. Dreslinski, Chris Peikert, Daniel Sánchez:
An Architecture to Accelerate Computation on Encrypted Data. IEEE Micro 42(4): 59-68 (2022) - [c200]Srinivas Devadas, Simon Langowski, Nikola Samardzic, Sacha Servan-Schreiber, Daniel Sánchez:
Designing Hardware for Cryptography and Cryptography for Hardware. CCS 2022: 1-4 - [c199]Nikola Samardzic, Axel Feldmann, Aleksandar Krastev, Nathan Manohar, Nicholas Genise, Srinivas Devadas, Karim Eldefrawy, Chris Peikert, Daniel Sánchez:
CraterLake: a hardware accelerator for efficient unbounded computation on encrypted data. ISCA 2022: 173-187 - [c198]Zachary Newman, Sacha Servan-Schreiber, Srinivas Devadas:
Spectrum: High-bandwidth Anonymous Broadcast. NSDI 2022: 229-248 - [c197]Yu Xia, Xiangyao Yu, Matthew Butrovich, Andrew Pavlo, Srinivas Devadas:
Litmus: Towards a Practical Database Management System with Verifiable ACID Properties and Transaction Correctness. SIGMOD Conference 2022: 1478-1492 - [c196]Sacha Servan-Schreiber, Simon Langowski, Srinivas Devadas:
Private Approximate Nearest Neighbor Search with Sublinear Communication. SP 2022: 911-929 - [c195]Kyle Hogan, Sacha Servan-Schreiber, Zachary Newman, Ben Weintraub, Cristina Nita-Rotaru, Srinivas Devadas:
ShorTor: Improving Tor Network Latency via Multi-hop Overlay Routing. SP 2022: 1933-1952 - [i56]Kyle Hogan, Sacha Servan-Schreiber, Zachary Newman, Ben Weintraub, Cristina Nita-Rotaru, Srinivas Devadas:
ShorTor: Improving Tor Network Latency via Multi-hop Overlay Routing. CoRR abs/2204.04489 (2022) - [i55]Hanshen Xiao, Srinivas Devadas:
PAC Security: Automatic Privacy Measurement and Control of Data Processing. CoRR abs/2210.03458 (2022) - [i54]Hanshen Xiao, Jun Wan, Srinivas Devadas:
Differentially Private Deep Learning with ModelMix. CoRR abs/2210.03843 (2022) - [i53]Yunzhou Yan, Yu Xia, Srinivas Devadas:
Shanrang: Fully Asynchronous Proactive Secret Sharing with Dynamic Committees. IACR Cryptol. ePrint Arch. 2022: 164 (2022) - [i52]Simon Langowski, Sacha Servan-Schreiber, Srinivas Devadas:
Trellis: Robust and Scalable Metadata-private Anonymous Broadcast. IACR Cryptol. ePrint Arch. 2022: 1548 (2022) - 2021
- [j112]Brian Plancher, Sabrina M. Neuman, Thomas Bourgeat, Scott Kuindersma, Srinivas Devadas, Vijay Janapa Reddi:
Accelerating Robot Dynamics Gradients on a CPU, GPU, and FPGA. IEEE Robotics Autom. Lett. 6(2): 2335-2342 (2021) - [c194]Sabrina M. Neuman, Brian Plancher, Thomas Bourgeat, Thierry Tambe, Srinivas Devadas, Vijay Janapa Reddi:
Robomorphic computing: a design methodology for domain-specific accelerators parameterized by robot morphology. ASPLOS 2021: 674-686 - [c193]Nikola Samardzic, Axel Feldmann, Aleksandar Krastev, Srinivas Devadas, Ronald G. Dreslinski, Christopher Peikert, Daniel Sánchez:
F1: A Fast and Programmable Accelerator for Fully Homomorphic Encryption. MICRO 2021: 238-252 - [i51]Axel Feldmann, Nikola Samardzic, Aleksandar Krastev, Srini Devadas, Ronald G. Dreslinski, Karim Eldefrawy, Nicholas Genise, Chris Peikert, Daniel Sánchez:
F1: A Fast and Programmable Accelerator for Fully Homomorphic Encryption (Extended Version). CoRR abs/2109.05371 (2021) - [i50]Hanshen Xiao, Srinivas Devadas:
DAUnTLeSS: Data Augmentation and Uniform Transformation for Learning with Scalability and Security. IACR Cryptol. ePrint Arch. 2021: 201 (2021) - [i49]Zachary Newman, Sacha Servan-Schreiber, Srinivas Devadas:
Spectrum: High-Bandwidth Anonymous Broadcast with Malicious Security. IACR Cryptol. ePrint Arch. 2021: 325 (2021) - [i48]Hanshen Xiao, Srinivas Devadas:
The Art of Labeling: Task Augmentation for Private(Collaborative) Learning on Transformed Data. IACR Cryptol. ePrint Arch. 2021: 601 (2021) - [i47]Hanshen Xiao, Srinivas Devadas:
Towards Understanding Practical Randomness Beyond Noise: Differential Privacy and Mixup. IACR Cryptol. ePrint Arch. 2021: 687 (2021) - [i46]Sacha Servan-Schreiber, Kyle Hogan, Srinivas Devadas:
AdVeil: A Private Targeted-Advertising Ecosystem. IACR Cryptol. ePrint Arch. 2021: 1032 (2021) - [i45]Sacha Servan-Schreiber, Simon Langowski, Srinivas Devadas:
Lightweight Private Similarity Search. IACR Cryptol. ePrint Arch. 2021: 1157 (2021) - 2020
- [j111]Yu Xia, Xiangyao Yu, Andrew Pavlo, Srinivas Devadas:
Taurus: Lightweight Parallel Logging for In-Memory Database Management Systems. Proc. VLDB Endow. 14(2): 189-201 (2020) - [j110]Emil Stefanov, Marten van Dijk, Elaine Shi, Christopher W. Fletcher, Ling Ren, Xiangyao Yu, Srinivas Devadas:
A Retrospective on Path ORAM. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 39(8): 1572-1576 (2020) - [c192]Di Wang, Hanshen Xiao, Srinivas Devadas, Jinhui Xu:
On Differentially Private Stochastic Convex Optimization with Heavy-tailed Data. ICML 2020: 10081-10091 - [c191]Albert Kwon, David Lu, Srinivas Devadas:
XRD: Scalable Messaging System with Cryptographic Privacy. NSDI 2020: 759-776 - [c190]Alin Tomescu, Robert Chen, Yiming Zheng, Ittai Abraham, Benny Pinkas, Guy Golan-Gueta, Srinivas Devadas:
Towards Scalable Threshold Cryptosystems. SP 2020: 877-893 - [c189]Jun Wan, Hanshen Xiao, Elaine Shi, Srinivas Devadas:
Expected Constant Round Byzantine Broadcast Under Dishonest Majority. TCC (1) 2020: 381-411 - [c188]Jun Wan, Hanshen Xiao, Srinivas Devadas, Elaine Shi:
Round-Efficient Byzantine Broadcast Under Strongly Adaptive and Majority Corruptions. TCC (1) 2020: 412-456 - [i44]Yu Xia, Xiangyao Yu, Andrew Pavlo, Srinivas Devadas:
Taurus: Lightweight Parallel Logging for In-Memory Database Management Systems (Extended Version). CoRR abs/2010.06760 (2020) - [i43]Di Wang, Hanshen Xiao, Srini Devadas, Jinhui Xu:
On Differentially Private Stochastic Convex Optimization with Heavy-tailed Data. CoRR abs/2010.11082 (2020) - [i42]Jun Wan, Hanshen Xiao, Elaine Shi, Srinivas Devadas:
Expected Constant Round Byzantine Broadcast under Dishonest Majority. IACR Cryptol. ePrint Arch. 2020: 590 (2020) - [i41]Jun Wan, Hanshen Xiao, Srinivas Devadas, Elaine Shi:
Round-Efficient Byzantine Broadcast under Strongly Adaptive and Majority Corruptions. IACR Cryptol. ePrint Arch. 2020: 1236 (2020)
2010 – 2019
- 2019
- [j109]Sanjit Bhat, David Lu, Albert Kwon, Srinivas Devadas:
Var-CNN: A Data-Efficient Website Fingerprinting Attack Based on Deep Learning. Proc. Priv. Enhancing Technol. 2019(4): 292-310 (2019) - [j108]Ling Ren, Christopher W. Fletcher, Albert Kwon, Marten van Dijk, Srinivas Devadas:
Design and Implementation of the Ascend Secure Processor. IEEE Trans. Dependable Secur. Comput. 16(2): 204-216 (2019) - [c187]Alin Tomescu, Vivek Bhupatiraju, Dimitrios Papadopoulos, Charalampos Papamanthou, Nikos Triandopoulos, Srinivas Devadas:
Transparency Logs via Append-Only Authenticated Dictionaries. CCS 2019: 1299-1316 - [c186]Ilia A. Lebedev, Kyle Hogan, Jules Drean, David Kohlbrenner, Dayeol Lee, Krste Asanovic, Dawn Song, Srinivas Devadas:
Sanctorum: A lightweight security monitor for secure enclaves. DATE 2019: 1142-1147 - [c185]Ittai Abraham, Srinivas Devadas, Danny Dolev, Kartik Nayak, Ling Ren:
Synchronous Byzantine Agreement with Expected O(1) Rounds, Expected O(n2) Communication, and Optimal Resilience. Financial Cryptography 2019: 320-334 - [c184]Sabrina M. Neuman, Twan Koolen, Jules Drean, Jason E. Miller, Srinivas Devadas:
Benchmarking and Workload Analysis of Robot Dynamics Algorithms. IROS 2019: 5235-5242 - [c183]Thomas Bourgeat, Ilia A. Lebedev, Andrew Wright, Sizhuo Zhang, Arvind, Srinivas Devadas:
MI6: Secure Enclaves in a Speculative Out-of-Order Processor. MICRO 2019: 42-56 - [c182]Yu Xia, Xiangyao Yu, William S. Moses, Julian Shun, Srinivas Devadas:
LiTM: A Lightweight Deterministic Software Transactional Memory System. PMAM@PPoPP 2019: 1-10 - [i40]Albert Kwon, David Lu, Srinivas Devadas:
XRD: Scalable Messaging System with Cryptographic Privacy. CoRR abs/1901.04368 (2019) - [i39]Hanshen Xiao, Ye Yu, Srini Devadas:
On Privacy-preserving Decentralized Optimization through Alternating Direction Method of Multipliers. CoRR abs/1902.06101 (2019) - [i38]Ilia A. Lebedev, Kyle Hogan, Jules Drean, David Kohlbrenner, Dayeol Lee, Krste Asanovic, Dawn Song, Srinivas Devadas:
Sanctorum: A lightweight security monitor for secure enclaves. IACR Cryptol. ePrint Arch. 2019: 1 (2019) - 2018
- [j107]Emil Stefanov, Marten van Dijk, Elaine Shi, T.-H. Hubert Chan, Christopher W. Fletcher, Ling Ren, Xiangyao Yu, Srinivas Devadas:
Path ORAM: An Extremely Simple Oblivious RAM Protocol. J. ACM 65(4): 18:1-18:26 (2018) - [j106]Xiangyao Yu, Yu Xia, Andrew Pavlo, Daniel Sánchez, Larry Rudolph, Srinivas Devadas:
Sundial: Harmonizing Concurrency Control and Caching in a Distributed OLTP Database Management System. Proc. VLDB Endow. 11(10): 1289-1302 (2018) - [c181]Ilia Lebedev, Kyle Hogan, Srinivas Devadas:
Invited Paper: Secure Boot and Remote Attestation in the Sanctum Processor. CSF 2018: 46-60 - [c180]Srini Devadas:
Secure High-Performance Computer Architectures: Challenges and Opportunities. HiPC 2018: 275 - [c179]Vladimir Kiriansky, Ilia A. Lebedev, Saman P. Amarasinghe, Srinivas Devadas, Joel S. Emer:
DAWG: A Defense Against Cache Timing Attacks in Speculative Execution Processors. MICRO 2018: 974-987 - [c178]Michael Vai, David Whelihan, Jacob Leemaster, Haley Whitman, Willahelm Wan, Yunsi Fei, Roger Khazan, Ilia A. Lebedev, Kyle Hogan, Srinivas Devadas:
Mission Assurance: Beyond Secure Processing. QRS Companion 2018: 593-598 - [c177]David Lu, Sanjit Bhat, Albert Kwon, Srinivas Devadas:
DynaFlow: An Efficient Website Fingerprinting Defense Based on Dynamically-Adjusting Flows. WPES@CCS 2018: 109-113 - [i37]Sanjit Bhat, David Lu, Albert Kwon, Srinivas Devadas:
Var-CNN and DynaFlow: Improved Attacks and Defenses for Website Fingerprinting. CoRR abs/1802.10215 (2018) - [i36]Thomas Bourgeat, Ilia A. Lebedev, Andrew Wright, Sizhuo Zhang, Arvind, Srinivas Devadas:
MI6: Secure Enclaves in a Speculative Out-of-Order Processor. CoRR abs/1812.09822 (2018) - [i35]Ilia A. Lebedev, Kyle Hogan, Jules Drean, David Kohlbrenner, Dayeol Lee, Krste Asanovic, Dawn Song, Srinivas Devadas:
Sanctorum: A lightweight security monitor for secure enclaves. CoRR abs/1812.10605 (2018) - [i34]Vladimir Kiriansky, Ilia A. Lebedev, Saman P. Amarasinghe, Srinivas Devadas, Joel S. Emer:
DAWG: A Defense Against Cache Timing Attacks in Speculative Execution Processors. IACR Cryptol. ePrint Arch. 2018: 418 (2018) - [i33]Ilia A. Lebedev, Kyle Hogan, Srinivas Devadas:
Secure Boot and Remote Attestation in the Sanctum Processor. IACR Cryptol. ePrint Arch. 2018: 427 (2018) - [i32]Alin Tomescu, Vivek Bhupatiraju, Dimitrios Papadopoulos, Charalampos Papamanthou, Nikos Triandopoulos, Srinivas Devadas:
Transparency Logs via Append-only Authenticated Dictionaries. IACR Cryptol. ePrint Arch. 2018: 721 (2018) - [i31]Ittai Abraham, Srinivas Devadas, Danny Dolev, Kartik Nayak, Ling Ren:
Synchronous Byzantine Agreement with Expected O(1) Rounds, Expected O(n2) Communication, and Optimal Resilience. IACR Cryptol. ePrint Arch. 2018: 1028 (2018) - 2017
- [j105]Meng-Day (Mandel) Yu, Srinivas Devadas:
Pervasive, dynamic authentication of physical items. Commun. ACM 60(4): 32-39 (2017) - [j104]Mashael AlSabah, Alin Tomescu, Ilia A. Lebedev, Dimitrios N. Serpanos, Srinivas Devadas:
PriviPK: Certificate-less and secure email communication. Comput. Secur. 70: 1-15 (2017) - [j103]Chenglu Jin, Charles Herder, Ling Ren, Phuong Ha Nguyen, Benjamin Fuller, Srinivas Devadas, Marten van Dijk:
FPGA Implementation of a Cryptographically-Secure PUF Based on Learning Parity with Noise. Cryptogr. 1(3): 23 (2017) - [j102]Victor Costan, Ilia A. Lebedev, Srinivas Devadas:
Secure Processors Part I: Background, Taxonomy for Secure Enclaves and Intel SGX Architecture. Found. Trends Electron. Des. Autom. 11(1-2): 1-248 (2017) - [j101]Victor Costan, Ilia A. Lebedev, Srinivas Devadas:
Secure Processors Part II: Intel SGX Security Analysis and MIT Sanctum Architecture. Found. Trends Electron. Des. Autom. 11(3): 249-361 (2017) - [j100]Charles Herder, Ling Ren, Marten van Dijk, Meng-Day (Mandel) Yu, Srinivas Devadas:
Trapdoor Computational Fuzzy Extractors and Stateless Cryptographically-Secure Physical Unclonable Functions. IEEE Trans. Dependable Secur. Comput. 14(1): 65-82 (2017) - [c176]Srinivas Devadas:
Secure Hardware and Cryptography: Contrasts, Synergies and Challenges. ASHES@CCS 2017: 1 - [c175]Pramod Subramanyan, Rohit Sinha, Ilia A. Lebedev, Srinivas Devadas, Sanjit A. Seshia:
A Formal Foundation for Secure Remote Execution of Enclaves. CCS 2017: 2435-2450 - [c174]Sabrina M. Neuman, Jason E. Miller, Daniel Sánchez, Srinivas Devadas:
Using Application-Level Thread Progress Information to Manage Power and Performance. ICCD 2017: 501-508 - [c173]Xiangyao Yu, Christopher J. Hughes, Nadathur Satish, Onur Mutlu, Srinivas Devadas:
Banshee: bandwidth-efficient DRAM caching via software/hardware cooperation. MICRO 2017: 1-14 - [c172]Syed Kamran Haider, Hamza Omar, Ilia A. Lebedev, Srinivas Devadas, Marten van Dijk:
Leveraging Hardware Isolation for Process Level Access Control & Authentication. SACMAT 2017: 133-141 - [c171]Albert Kwon, Henry Corrigan-Gibbs, Srinivas Devadas, Bryan Ford:
Atom: Horizontally Scaling Strong Anonymity. SOSP 2017: 406-422 - [c170]Alin Tomescu, Srinivas Devadas:
Catena: Efficient Non-equivocation via Bitcoin. IEEE Symposium on Security and Privacy 2017: 393-409 - [c169]Ling Ren, Srinivas Devadas:
Bandwidth Hard Functions for ASIC Resistance. TCC (1) 2017: 466-492 - [c168]Srinivas Devadas, Ling Ren, Hanshen Xiao:
On Iterative Collision Search for LPN and Subset Sum. TCC (2) 2017: 729-746 - [c167]Ittai Abraham, Srinivas Devadas, Kartik Nayak, Ling Ren:
Brief Announcement: Practical Synchronous Byzantine Consensus. DISC 2017: 41:1-41:4 - [i30]Ling Ren, Kartik Nayak, Ittai Abraham, Srinivas Devadas:
Practical Synchronous Byzantine Consensus. CoRR abs/1704.02397 (2017) - [i29]Xiangyao Yu, Christopher J. Hughes, Nadathur Satish, Onur Mutlu, Srinivas Devadas:
Banshee: Bandwidth-Efficient DRAM Caching Via Software/Hardware Cooperation. CoRR abs/1704.02677 (2017) - [i28]Charles Herder, Benjamin Fuller, Marten van Dijk, Srinivas Devadas:
Public Key Cryptosystems with Noisy Secret Keys. IACR Cryptol. ePrint Arch. 2017: 210 (2017) - [i27]Ling Ren, Srinivas Devadas:
Bandwidth Hard Functions for ASIC Resistance. IACR Cryptol. ePrint Arch. 2017: 225 (2017) - [i26]Ling Ren, Kartik Nayak, Ittai Abraham, Srinivas Devadas:
Practical Synchronous Byzantine Consensus. IACR Cryptol. ePrint Arch. 2017: 307 (2017) - [i25]Pramod Subramanyan, Rohit Sinha, Ilia A. Lebedev, Srinivas Devadas, Sanjit A. Seshia:
A Formal Foundation for Secure Remote Execution of Enclaves. IACR Cryptol. ePrint Arch. 2017: 565 (2017) - [i24]Srinivas Devadas, Ling Ren, Hanshen Xiao:
On Iterative Collision Search for LPN and Subset Sum. IACR Cryptol. ePrint Arch. 2017: 904 (2017) - 2016
- [j99]Albert Kwon, David Lazar, Srinivas Devadas, Bryan Ford:
Riffle: An Efficient Communication System With Strong Anonymity. Proc. Priv. Enhancing Technol. 2016(2): 115-134 (2016) - [j98]Meng-Day (Mandel) Yu, Srinivas Devadas:
Pervasive, Dynamic Authentication of Physical Items. ACM Queue 14(6): 1-28 (2016) - [j97]Qingchuan Shi, George Kurian, Farrukh Hijaz, Srinivas Devadas, Omer Khan:
LDAC: Locality-Aware Data Access Control for Large-Scale Multicore Cache Hierarchies. ACM Trans. Archit. Code Optim. 13(4): 37:1-37:28 (2016) - [j96]Farrukh Hijaz, Qingchuan Shi, George Kurian, Srinivas Devadas, Omer Khan:
Locality-aware data replication in the last-level cache for large scale multicores. J. Supercomput. 72(2): 718-752 (2016) - [j95]Meng-Day (Mandel) Yu, Matthias Hiller, Jeroen Delvaux, Richard Sowell, Srinivas Devadas, Ingrid Verbauwhede:
A Lockdown Technique to Prevent Machine Learning on PUFs for Lightweight Authentication. IEEE Trans. Multi Scale Comput. Syst. 2(3): 146-159 (2016) - [c166]Xiangyao Yu, Hongzhe Liu, Ethan Zou, Srinivas Devadas:
Tardis 2.0: Optimized Time Traveling Coherence for Relaxed Consistency Models. PACT 2016: 261-274 - [c165]Xiangyao Yu, Andrew Pavlo, Daniel Sánchez, Srinivas Devadas:
TicToc: Time Traveling Optimistic Concurrency Control. SIGMOD Conference 2016: 1629-1642 - [c164]Srinivas Devadas, Marten van Dijk, Christopher W. Fletcher, Ling Ren, Elaine Shi, Daniel Wichs:
Onion ORAM: A Constant Bandwidth Blowup Oblivious RAM. TCC (A2) 2016: 145-174 - [c163]Ling Ren, Srinivas Devadas:
Proof of Space from Stacked Expanders. TCC (B1) 2016: 262-285 - [c162]Victor Costan, Ilia A. Lebedev, Srinivas Devadas:
Sanctum: Minimal Hardware Extensions for Strong Software Isolation. USENIX Security Symposium 2016: 857-874 - [i23]Albert Kwon, Henry Corrigan-Gibbs, Srinivas Devadas, Bryan Ford:
Atom: Scalable Anonymity Resistant to Traffic Analysis. CoRR abs/1612.07841 (2016) - [i22]Victor Costan, Srinivas Devadas:
Intel SGX Explained. IACR Cryptol. ePrint Arch. 2016: 86 (2016) - [i21]Ling Ren, Srinivas Devadas:
Proof of Space from Stacked Bipartite Graphs. IACR Cryptol. ePrint Arch. 2016: 333 (2016) - [i20]Kyle Soska, Albert Kwon, Nicolas Christin, Srinivas Devadas:
Beaver: A Decentralized Anonymous Marketplace with Secure Reputation. IACR Cryptol. ePrint Arch. 2016: 464 (2016) - [i19]Alin Tomescu, Srinivas Devadas:
Catena: Preventing Lies with Bitcoin. IACR Cryptol. ePrint Arch. 2016: 1062 (2016) - 2015
- [j94]Keun Sup Shim, Mieszko Lis, Omer Khan, Srinivas Devadas:
The Execution Migration Machine: Directoryless Shared-Memory Architecture. Computer 48(9): 50-59 (2015) - [c161]Xiangyao Yu, Srinivas Devadas:
Tardis: Time Traveling Coherence Algorithm for Distributed Shared Memory. PACT 2015: 227-240 - [c160]George Kurian, Qingchuan Shi, Srinivas Devadas, Omer Khan:
OSPREY: Implementation of Memory Consistency Models for Cache Coherence Protocols involving Invalidation-Free Data Access. PACT 2015: 392-405 - [c159]Christopher W. Fletcher, Ling Ren, Albert Kwon, Marten van Dijk, Srinivas Devadas:
Freecursive ORAM: [Nearly] Free Recursion and Integrity Verification for Position-based Oblivious RAM. ASPLOS 2015: 103-116 - [c158]Christopher W. Fletcher, Ling Ren, Albert Kwon, Marten van Dijk, Emil Stefanov, Dimitrios N. Serpanos, Srinivas Devadas:
A Low-Latency, Low-Area Hardware Oblivious RAM Controller. FCCM 2015: 215-222 - [c157]Meng-Day (Mandel) Yu, Matthias Hiller, Srinivas Devadas:
Maximum-likelihood decoding of device-specific multi-bit symbols for reliable key generation. HOST 2015: 38-43 - [c156]Xiangyao Yu, Syed Kamran Haider, Ling Ren, Christopher W. Fletcher, Albert Kwon, Marten van Dijk, Srinivas Devadas:
PrORAM: dynamic prefetcher for oblivious RAM. ISCA 2015: 616-628 - [c155]Xiangyao Yu, Christopher J. Hughes, Nadathur Satish, Srinivas Devadas:
IMP: indirect memory prefetcher. MICRO 2015: 178-190 - [c154]Albert Kwon, Mashael AlSabah, David Lazar, Marc Dacier, Srinivas Devadas:
Circuit Fingerprinting Attacks: Passive Deanonymization of Tor Hidden Services. USENIX Security Symposium 2015: 287-302 - [c153]Ling Ren, Christopher W. Fletcher, Albert Kwon, Emil Stefanov, Elaine Shi, Marten van Dijk, Srinivas Devadas:
Constants Count: Practical Improvements to Oblivious RAM. USENIX Security Symposium 2015: 415-430 - [i18]Xiangyao Yu, Srinivas Devadas:
TARDIS: Timestamp based Coherence Algorithm for Distributed Shared Memory. CoRR abs/1501.04504 (2015) - [i17]Xiangyao Yu, Muralidaran Vijayaraghavan, Srinivas Devadas:
A Proof of Correctness for the Tardis Cache Coherence Protocol. CoRR abs/1505.06459 (2015) - [i16]Xiangyao Yu, Srinivas Devadas:
Tardis 2.0: An Optimized Time Traveling Coherence Protocol. CoRR abs/1511.08774 (2015) - [i15]Srinivas Devadas, Marten van Dijk, Christopher W. Fletcher, Ling Ren:
Onion ORAM: A Constant Bandwidth and Constant Client Storage ORAM (without FHE or SWHE). IACR Cryptol. ePrint Arch. 2015: 5 (2015) - [i14]Victor Costan, Ilia A. Lebedev, Srinivas Devadas:
Sanctum: Minimal RISC Extensions for Isolated Execution. IACR Cryptol. ePrint Arch. 2015: 564 (2015) - 2014
- [j93]Keun Sup Shim, Mieszko Lis, Omer Khan, Srinivas Devadas:
Thread Migration Prediction for Distributed Shared Caches. IEEE Comput. Archit. Lett. 13(1): 53-56 (2014) - [j92]Jérôme Waldispühl, Charles W. O'Donnell, Sebastian Will, Srinivas Devadas, Rolf Backofen, Bonnie Berger:
Simultaneous Alignment and Folding of Protein Sequences. J. Comput. Biol. 21(7): 477-491 (2014) - [j91]Charles Herder, Meng-Day (Mandel) Yu, Farinaz Koushanfar, Srinivas Devadas:
Physical Unclonable Functions and Applications: A Tutorial. Proc. IEEE 102(8): 1126-1141 (2014) - [j90]Xiangyao Yu, George Bezerra, Andrew Pavlo, Srinivas Devadas, Michael Stonebraker:
Staring into the Abyss: An Evaluation of Concurrency Control with One Thousand Cores. Proc. VLDB Endow. 8(3): 209-220 (2014) - [j89]Masoud Rostami, Mehrdad Majzoobi, Farinaz Koushanfar, Dan S. Wallach, Srinivas Devadas:
Robust and Reverse-Engineering Resilient PUF Authentication and Key-Exchange by Substring Matching. IEEE Trans. Emerg. Top. Comput. 2(1): 37-49 (2014) - [c152]Meng-Day (Mandel) Yu, David M'Raïhi, Ingrid Verbauwhede, Srinivas Devadas:
A noise bifurcation architecture for linear additive physical functions. HOST 2014: 124-129 - [c151]George Kurian, Srinivas Devadas, Omer Khan:
Locality-aware data replication in the Last-Level Cache. HPCA 2014: 1-12 - [c150]Christopher W. Fletcher, Ling Ren, Xiangyao Yu, Marten van Dijk, Omer Khan, Srinivas Devadas:
Suppressing the Oblivious RAM timing channel while making information leakage and program efficiency trade-offs. HPCA 2014: 213-224 - [c149]Michel A. Kinsy, Srinivas Devadas:
Low-overhead hard real-time aware interconnect network router. HPEC 2014: 1-6 - [c148]Michel A. Kinsy, Srinivas Devadas:
Algorithms for scheduling task-based applications onto heterogeneous many-core architectures. HPEC 2014: 1-6 - [c147]G. Edward Suh, George Kurian, Srinivas Devadas, Larry Rudolph:
Author retrospective for analytical cache models with applications to cache partitioning. ICS 25th Anniversary 2014: 61-63 - [c146]G. Edward Suh, Christopher W. Fletcher, Dwaine E. Clarke, Blaise Gassend, Marten van Dijk, Srinivas Devadas:
Author retrospective AEGIS: architecture for tamper-evident and tamper-resistant processing. ICS 25th Anniversary 2014: 68-70 - [c145]George Kurian, Sabrina M. Neuman, George Bezerra, Anthony Giovinazzo, Srinivas Devadas, Jason E. Miller:
Power modeling and other new features in the Graphite simulator. ISPASS 2014: 132-134 - [c144]Yildiz Sinangil, Sabrina M. Neuman, Mahmut E. Sinangil, Nathan Ickes, George Bezerra, Eric Lau, Jason E. Miller, Henry Hoffmann, Srinivas Devadas, Anantha P. Chandrakasan:
A self-aware processor SoC using energy monitors integrated into power converters for self-adaptation. VLSIC 2014: 1-2 - [i13]Ling Ren, Christopher W. Fletcher, Xiangyao Yu, Albert Kwon, Marten van Dijk, Srinivas Devadas:
Unified Oblivious-RAM: Improving Recursive ORAM with Locality and Pseudorandomness. IACR Cryptol. ePrint Arch. 2014: 205 (2014) - [i12]Xiangyao Yu, Ling Ren, Christopher W. Fletcher, Albert Kwon, Marten van Dijk, Srinivas Devadas:
Enhancing Oblivious RAM Performance Using Dynamic Prefetching. IACR Cryptol. ePrint Arch. 2014: 234 (2014) - [i11]Christopher W. Fletcher, Ling Ren, Albert Kwon, Marten van Dijk, Emil Stefanov, Srinivas Devadas:
RAW Path ORAM: A Low-Latency, Low-Area Hardware ORAM Controller with Integrity Verification. IACR Cryptol. ePrint Arch. 2014: 431 (2014) - [i10]Mehrdad Majzoobi, Akshat Kharaya, Farinaz Koushanfar, Srinivas Devadas:
Automated Design, Implementation, and Evaluation of Arbiter-based PUF on FPGA using Programmable Delay Lines. IACR Cryptol. ePrint Arch. 2014: 639 (2014) - [i9]Charles Herder, Ling Ren, Marten van Dijk, Meng-Day (Mandel) Yu, Srinivas Devadas:
Trapdoor Computational Fuzzy Extractors. IACR Cryptol. ePrint Arch. 2014: 938 (2014) - [i8]Ling Ren, Christopher W. Fletcher, Albert Kwon, Emil Stefanov, Elaine Shi, Marten van Dijk, Srinivas Devadas:
Ring ORAM: Closing the Gap Between Small and Large Client Storage Oblivious RAM. IACR Cryptol. ePrint Arch. 2014: 997 (2014) - 2013
- [j88]Srinivas Devadas:
Toward a Coherent Multicore Memory Model. Computer 46(10): 30-31 (2013) - [j87]Michel A. Kinsy, Myong Hyon Cho, Keun Sup Shim, Mieszko Lis, G. Edward Suh, Srinivas Devadas:
Optimal and Heuristic Application-Aware Oblivious Routing. IEEE Trans. Computers 62(1): 59-73 (2013) - [j86]Ulrich Rührmair, Jan Sölter, Frank Sehnke, Xiaolin Xu, Ahmed Mahmoud, Vera Stoyanova, Gideon Dror, Jürgen Schmidhuber, Wayne P. Burleson, Srinivas Devadas:
PUF Modeling Attacks on Simulated and Silicon Data. IEEE Trans. Inf. Forensics Secur. 8(11): 1876-1891 (2013) - [c143]Xiangyao Yu, Christopher W. Fletcher, Ling Ren, Marten van Dijk, Srinivas Devadas:
Generalized external interaction with tamper-resistant hardware with bounded information leakage. CCSW 2013: 23-34 - [c142]Hsin-Jung Yang, Victor Costan, Nickolai Zeldovich, Srinivas Devadas:
Authenticated storage using small trusted hardware. CCSW 2013: 35-46 - [c141]Emil Stefanov, Marten van Dijk, Elaine Shi, Christopher W. Fletcher, Ling Ren, Xiangyao Yu, Srinivas Devadas:
Path ORAM: an extremely simple oblivious RAM protocol. CCS 2013: 299-310 - [c140]Michel A. Kinsy, Ivan Celanovic, Omer Khan, Srinivas Devadas:
MARTHA: architecture for control and emulation of power electronics and smart grid systems. DATE 2013: 519-524 - [c139]Michel A. Kinsy, Michael Pellauer, Srinivas Devadas:
Heracles: a tool for fast RTL-based design space exploration of multicore processors. FPGA 2013: 125-134 - [c138]Mieszko Lis, Keun Sup Shim, Brandon Cho, Ilia A. Lebedev, Srinivas Devadas:
Hardware-level thread migration in a 110-core shared-memory multiprocessor. Hot Chips Symposium 2013: 1-27 - [c137]Ling Ren, Christopher W. Fletcher, Xiangyao Yu, Marten van Dijk, Srinivas Devadas:
Integrity verification for path Oblivious-RAM. HPEC 2013: 1-6 - [c136]Keun Sup Shim, Mieszko Lis, Myong Hyon Cho, Ilia A. Lebedev, Srinivas Devadas:
Design tradeoffs for simplicity and efficient verification in the Execution Migration Machine. ICCD 2013: 145-153 - [c135]George Kurian, Omer Khan, Srinivas Devadas:
The locality-aware adaptive cache coherence protocol. ISCA 2013: 523-534 - [c134]Ling Ren, Xiangyao Yu, Christopher W. Fletcher, Marten van Dijk, Srinivas Devadas:
Design space exploration and optimization of path oblivious RAM in secure processors. ISCA 2013: 571-582 - [c133]Christopher W. Fletcher, Rachael Harding, Omer Khan, Srinivas Devadas:
A framework to accelerate sequential programs on homogeneous multicores. VLSI-SoC 2013: 344-347 - [i7]Ling Ren, Xiangyao Yu, Christopher W. Fletcher, Marten van Dijk, Srinivas Devadas:
Design Space Exploration and Optimization of Path Oblivious RAM in Secure Processors. IACR Cryptol. ePrint Arch. 2013: 76 (2013) - [i6]Ulrich Rührmair, Jan Sölter, Frank Sehnke, Xiaolin Xu, Ahmed Mahmoud, Vera Stoyanova, Gideon Dror, Jürgen Schmidhuber, Wayne P. Burleson, Srinivas Devadas:
PUF Modeling Attacks on Simulated and Silicon Data. IACR Cryptol. ePrint Arch. 2013: 112 (2013) - [i5]Emil Stefanov, Marten van Dijk, Elaine Shi, Christopher W. Fletcher, Ling Ren, Xiangyao Yu, Srinivas Devadas:
Path ORAM: An Extremely Simple Oblivious RAM Protocol. IACR Cryptol. ePrint Arch. 2013: 280 (2013) - 2012
- [j85]Pengju Ren, Mieszko Lis, Myong Hyon Cho, Keun Sup Shim, Christopher W. Fletcher, Omer Khan, Nanning Zheng, Srinivas Devadas:
HORNET: A Cycle-Level Multicore Simulator. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 31(6): 890-903 (2012) - [j84]Henry Hoffmann, Anant Agarwal, Srinivas Devadas:
Selecting Spatiotemporal Patterns for Development of Parallel Applications. IEEE Trans. Parallel Distributed Syst. 23(10): 1970-1982 (2012) - [c132]Christopher W. Fletcher, Rachael Harding, Omer Khan, Srinivas Devadas:
A low-overhead dynamic optimization framework for multicores. PACT 2012: 467-468 - [c131]Christopher W. Fletcher, Marten van Dijk, Srinivas Devadas:
Towards an interpreter for efficient encrypted computation. CCSW 2012: 83-94 - [c130]Henry Hoffmann, Jim Holt, George Kurian, Eric Lau, Martina Maggio, Jason E. Miller, Sabrina M. Neuman, Mahmut E. Sinangil, Yildiz Sinangil, Anant Agarwal, Anantha P. Chandrakasan, Srinivas Devadas:
Self-aware computing in the Angstrom processor. DAC 2012: 259-264 - [c129]Meng-Day (Mandel) Yu, Richard Sowell, Alok Singh, David M'Raïhi, Srinivas Devadas:
Performance metrics and empirical results of a PUF cryptographic key generation ASIC. HOST 2012: 108-115 - [c128]Vijay Ganesh, Charles W. O'Donnell, Mate Soos, Srinivas Devadas, Martin C. Rinard, Armando Solar-Lezama:
Lynx: A Programmatic SAT Solver for the RNA-Folding Problem. SAT 2012: 143-156 - [c127]Mehrdad Majzoobi, Masoud Rostami, Farinaz Koushanfar, Dan S. Wallach, Srinivas Devadas:
Slender PUF Protocol: A Lightweight, Robust, and Secure Authentication by Substring Matching. IEEE Symposium on Security and Privacy Workshops 2012: 33-44 - [i4]Christopher W. Fletcher, Marten van Dijk, Srinivas Devadas:
Compilation Techniques for Efficient Encrypted Computation. IACR Cryptol. ePrint Arch. 2012: 266 (2012) - 2011
- [j83]Charles W. O'Donnell, Jérôme Waldispühl, Mieszko Lis, Randal Halfmann, Srinivas Devadas, Susan Lindquist, Bonnie Berger:
A method for probing the mutational landscape of amyloid structure. Bioinform. 27(13): 34-42 (2011) - [j82]Omer Khan, Mieszko Lis, Yildiz Sinangil, Srinivas Devadas:
DCC: A Dependable Cache Coherence Multicore Architecture. IEEE Comput. Archit. Lett. 10(1): 12-15 (2011) - [j81]Solomon Shenker, Charles W. O'Donnell, Srinivas Devadas, Bonnie Berger, Jérôme Waldispühl:
Efficient Traversal of Beta-Sheet Protein Folding Pathways Using Ensemble Models. J. Comput. Biol. 18(11): 1635-1647 (2011) - [c126]Mehrdad Majzoobi, Farinaz Koushanfar, Srinivas Devadas:
FPGA-Based True Random Number Generation Using Circuit Metastability with Adaptive Feedback Control. CHES 2011: 17-32 - [c125]Meng-Day (Mandel) Yu, David M'Raïhi, Richard Sowell, Srinivas Devadas:
Lightweight and Secure PUF Key Storage Using Limits of Machine Learning. CHES 2011: 358-373 - [c124]Michel A. Kinsy, Michael Pellauer, Srinivas Devadas:
Heracles: Fully Synthesizable Parameterized MIPS-Based Multicore System. FPL 2011: 356-362 - [c123]Victor Costan, Srinivas Devadas:
Security challenges and opportunities in adaptive and reconfigurable hardware. HOST 2011: 1-5 - [c122]Zdenek Sid Paral, Srinivas Devadas:
Reliable and efficient PUF-based key generation using pattern matching. HOST 2011: 128-133 - [c121]Mieszko Lis, Keun Sup Shim, Myong Hyon Cho, Srinivas Devadas:
Memory coherence in the age of multicores. ICCD 2011: 1-8 - [c120]Omer Khan, Henry Hoffmann, Mieszko Lis, Farrukh Hijaz, Anant Agarwal, Srinivas Devadas:
ARCc: A case for an architecturally redundant cache-coherence architecture for large multicores. ICCD 2011: 411-418 - [c119]Mieszko Lis, Pengju Ren, Myong Hyon Cho, Keun Sup Shim, Christopher W. Fletcher, Omer Khan, Srinivas Devadas:
Scalable, accurate multicore simulation in the 1000-core era. ISPASS 2011: 175-185 - [c118]Myong Hyon Cho, Keun Sup Shim, Mieszko Lis, Omer Khan, Srinivas Devadas:
Deadlock-free fine-grained thread migration. NOCS 2011: 33-40 - [c117]Solomon Shenker, Charles W. O'Donnell, Srinivas Devadas, Bonnie Berger, Jérôme Waldispühl:
Efficient Traversal of Beta-Sheet Protein Folding Pathways Using Ensemble Models. RECOMB 2011: 408-423 - [c116]Michel A. Kinsy, Omer Khan, Ivan Celanovic, Dusan Majstorovic, Nikola L. Celanovic, Srinivas Devadas:
Time-Predictable Computer Architecture for Cyber-Physical Systems: Digital Emulation of Power Electronics Systems. RTSS 2011: 305-316 - [c115]Mieszko Lis, Keun Sup Shim, Myong Hyon Cho, Christopher W. Fletcher, Michel A. Kinsy, Ilia A. Lebedev, Omer Khan, Srinivas Devadas:
Brief announcement: distributed shared memory based on computation migration. SPAA 2011: 253-256 - 2010
- [j80]Meng-Day (Mandel) Yu, Srinivas Devadas:
Secure and Robust Error Correction for Physical Unclonable Functions. IEEE Des. Test Comput. 27(1): 48-65 (2010) - [c114]Ulrich Rührmair, Frank Sehnke, Jan Sölter, Gideon Dror, Srinivas Devadas, Jürgen Schmidhuber:
Modeling attacks on physical unclonable functions. CCS 2010: 237-249 - [c113]Mehrdad Majzoobi, Farinaz Koushanfar, Srinivas Devadas:
FPGA PUF using programmable delay lines. WIFS 2010: 1-6 - [i3]Ulrich Rührmair, Frank Sehnke, Jan Sölter, Gideon Dror, Srinivas Devadas, Jürgen Schmidhuber:
Modeling Attacks on Physical Unclonable Functions. IACR Cryptol. ePrint Arch. 2010: 251 (2010)
2000 – 2009
- 2009
- [j79]Mieszko Lis, Maxim N. Artyomov, Srinivas Devadas, Arup K. Chakraborty:
Efficient stochastic simulation of reaction-diffusion processes via direct compilation. Bioinform. 25(17): 2289-2291 (2009) - [j78]Jérôme Waldispühl, Srinivas Devadas, Bonnie Berger, Peter Clote:
RNAmutants: a web server to explore the mutational landscape of RNA secondary structures. Nucleic Acids Res. 37(Web-Server-Issue): 281-286 (2009) - [c112]Myong Hyon Cho, Mieszko Lis, Keun Sup Shim, Michel A. Kinsy, Tina Wen, Srinivas Devadas:
Oblivious Routing in On-Chip Bandwidth-Adaptive Networks. PACT 2009: 181-190 - [c111]Srini Devadas:
Physical Unclonable Functions and Secure Processors. CHES 2009: 65 - [c110]Michel A. Kinsy, Myong Hyon Cho, Tina Wen, G. Edward Suh, Marten van Dijk, Srinivas Devadas:
Application-aware deadlock-free oblivious routing. ISCA 2009: 208-219 - [c109]Myong Hyon Cho, Mieszko Lis, Keun Sup Shim, Michel A. Kinsy, Srinivas Devadas:
Path-based, randomized, oblivious, minimal routing. NoCArc@MICRO 2009: 23-28 - [c108]Keun Sup Shim, Myong Hyon Cho, Michel A. Kinsy, Tina Wen, Mieszko Lis, G. Edward Suh, Srinivas Devadas:
Static virtual channel allocation in oblivious routing. NOCS 2009: 38-43 - [c107]Jérôme Waldispühl, Charles W. O'Donnell, Sebastian Will, Srinivas Devadas, Rolf Backofen, Bonnie Berger:
Simultaneous Alignment and Folding of Protein Sequences. RECOMB 2009: 339-355 - 2008
- [j77]Jérôme Waldispühl, Srinivas Devadas, Bonnie Berger, Peter Clote:
Efficient Algorithms for Probing the RNA Mutation Landscape. PLoS Comput. Biol. 4(8) (2008) - [j76]Blaise Gassend, Marten van Dijk, Dwaine E. Clarke, Emina Torlak, Srinivas Devadas, Pim Tuyls:
Controlled physical random functions and applications. ACM Trans. Inf. Syst. Secur. 10(4): 3:1-3:22 (2008) - [c106]Victor Costan, Luis F. G. Sarmenta, Marten van Dijk, Srinivas Devadas:
The Trusted Execution Module: Commodity General-Purpose Trusted Computing. CARDIS 2008: 133-148 - [c105]Myong Hyon Cho, Chih-Chi Cheng, Michel A. Kinsy, G. Edward Suh, Srinivas Devadas:
Diastolic arrays: throughput-driven reconfigurable computing. ICCAD 2008: 457-464 - [c104]Luis F. G. Sarmenta, Marten van Dijk, Jonathan Rhodes, Srinivas Devadas:
Offline count-limited certificates. SAC 2008: 2145-2152 - 2007
- [j75]Blaise Gassend, Charles W. O'Donnell, William Thies, Andrew Lee, Marten van Dijk, Srinivas Devadas:
Learning biophysically-motivated parameters for alpha helix prediction. BMC Bioinform. 8(S-5) (2007) - [j74]G. Edward Suh, Charles W. O'Donnell, Srinivas Devadas:
Aegis: A Single-Chip Secure Processor. IEEE Des. Test Comput. 24(6): 570-580 (2007) - [c103]Marten van Dijk, Jonathan Rhodes, Luis F. G. Sarmenta, Srinivas Devadas:
Offline untrusted storage with immediate detection of forking and replay attacks. STC 2007: 41-48 - [c102]G. Edward Suh, Srinivas Devadas:
Physical Unclonable Functions for Device Authentication and Secret Key Generation. DAC 2007: 9-14 - 2006
- [j73]Marten van Dijk, Dwaine E. Clarke, Blaise Gassend, G. Edward Suh, Srinivas Devadas:
Speeding up Exponentiation using an Untrusted Computational Resource. Des. Codes Cryptogr. 39(2): 253-273 (2006) - [c101]Luis F. G. Sarmenta, Marten van Dijk, Charles W. O'Donnell, Jonathan Rhodes, Srinivas Devadas:
Virtual monotonic counters and count-limited objects using a TPM without a trusted OS. STC 2006: 27-42 - [c100]Blaise Gassend, Charles W. O'Donnell, William Thies, Andrew Lee, Marten van Dijk, Srinivas Devadas:
Predicting Secondary Structure of All-Helical Proteins Using Hidden Markov Support Vector Machines. PRIB 2006: 93-104 - [i2]Marten van Dijk, Emina Torlak, Blaise Gassend, Srinivas Devadas:
A Generalized Two-Phase Analysis of Knowledge Flows in Security Protocols. CoRR abs/cs/0605097 (2006) - [i1]Emina Torlak, Marten van Dijk, Blaise Gassend, Daniel Jackson, Srinivas Devadas:
Knowledge Flow Analysis for Security Protocols. CoRR abs/cs/0605109 (2006) - 2005
- [j72]G. Edward Suh, Charles W. O'Donnell, Srinivas Devadas:
AEGIS: A single-chip secure processor. Inf. Secur. Tech. Rep. 10(2): 63-73 (2005) - [j71]Daihyun Lim, Jae W. Lee, Blaise Gassend, G. Edward Suh, Marten van Dijk, Srinivas Devadas:
Extracting secret keys from integrated circuits. IEEE Trans. Very Large Scale Integr. Syst. 13(10): 1200-1205 (2005) - [c99]G. Edward Suh, Charles W. O'Donnell, Ishan Sachdev, Srinivas Devadas:
Design and Implementation of the AEGIS Single-Chip Secure Processor Using Physical Random Functions. ISCA 2005: 25-36 - [c98]Dwaine E. Clarke, G. Edward Suh, Blaise Gassend, Ajay Sudan, Marten van Dijk, Srinivas Devadas:
Towards Constant Bandwidth Overhead Integrity Checking of Untrusted Data. S&P 2005: 139-153 - 2004
- [j70]Blaise Gassend, Daihyun Lim, Dwaine E. Clarke, Marten van Dijk, Srinivas Devadas:
Identification and authentication of integrated circuits. Concurr. Pract. Exp. 16(11): 1077-1098 (2004) - [j69]Sanjay Raman, Dwaine E. Clarke, Matt Burnside, Srinivas Devadas, Ronald L. Rivest:
Access-controlled resource discovery in pervasive networks. Concurr. Pract. Exp. 16(11): 1099-1120 (2004) - [j68]José C. Costa, Luís Miguel Silveira, Srinivas Devadas, José Monteiro:
Power Estimation Using Probability Polynomials. Des. Autom. Embed. Syst. 9(1): 19-52 (2004) - [j67]G. Edward Suh, Larry Rudolph, Srinivas Devadas:
Dynamic Partitioning of Shared Cache Memory. J. Supercomput. 28(1): 7-26 (2004) - [c97]G. Edward Suh, Jae W. Lee, David Zhang, Srinivas Devadas:
Secure program execution via dynamic information flow tracking. ASPLOS 2004: 85-96 - [c96]Hari Balakrishnan, Srinivas Devadas, Douglas Ehlert, Arvind:
Rate Guarantees and Overload Protection in Input-Queued Switches. INFOCOM 2004: 2185-2195 - 2003
- [j66]George Hadjiyiannis, Srinivas Devadas:
Techniques for accurate performance evaluation in architecture exploration. IEEE Trans. Very Large Scale Integr. Syst. 11(4): 601-615 (2003) - [c95]Dwaine E. Clarke, Srinivas Devadas, Marten van Dijk, Blaise Gassend, G. Edward Suh:
Incremental Multiset Hash Functions and Their Application to Memory Integrity Checking. ASIACRYPT 2003: 188-207 - [c94]Prabhat Jain, G. Edward Suh, Srinivas Devadas:
Embedded intelligent SRAM. DAC 2003: 869-874 - [c93]Blaise Gassend, G. Edward Suh, Dwaine E. Clarke, Marten van Dijk, Srinivas Devadas:
Caches and Hash Trees for Efficient Memory Integrity Verification. HPCA 2003: 295-306 - [c92]G. Edward Suh, Dwaine E. Clarke, Blaise Gassend, Marten van Dijk, Srinivas Devadas:
AEGIS: architecture for tamper-evident and tamper-resistant processing. ICS 2003: 160-171 - [c91]G. Edward Suh, Dwaine E. Clarke, Blaise Gassend, Marten van Dijk, Srinivas Devadas:
Efficient Memory Integrity Verification and Encryption for Secure Processors. MICRO 2003: 339-350 - [c90]Blaise Gassend, Dwaine E. Clarke, Marten van Dijk, Srinivas Devadas:
Delay-Based Circuit Authentication and Applications. SAC 2003: 294-301 - [c89]Sanjay Raman, Dwaine E. Clarke, Matt Burnside, Srinivas Devadas, Ronald L. Rivest:
Access-Controlled Resource Discovery for Pervasive Networks. SAC 2003: 338-345 - 2002
- [j65]Farzan Fallah, Pranav Ashar, Srinivas Devadas:
Functional vector generation for sequential HDL models under an observability-based code coverage metric. IEEE Trans. Very Large Scale Integr. Syst. 10(6): 919-923 (2002) - [c88]Blaise Gassend, Dwaine E. Clarke, Marten van Dijk, Srinivas Devadas:
Controlled Physical Random Functions. ACSAC 2002: 149-160 - [c87]Blaise Gassend, Dwaine E. Clarke, Marten van Dijk, Srinivas Devadas:
Silicon physical random functions. CCS 2002: 148-160 - [c86]G. Edward Suh, Srinivas Devadas, Larry Rudolph:
A New Memory Monitoring Scheme for Memory-Aware Scheduling and Partitioning. HPCA 2002: 117-128 - [c85]Dwaine E. Clarke, Blaise Gassend, Thomas Kotwal, Matt Burnside, Marten van Dijk, Srinivas Devadas, Ronald L. Rivest:
The Untrusted Computer Problem and Camera-Based Authentication. Pervasive 2002: 114-124 - [c84]Matt Burnside, Dwaine E. Clarke, Todd Mills, Andrew Maywah, Srinivas Devadas, Ronald L. Rivest:
Proxy-based security protocols in networked mobile devices. SAC 2002: 265-272 - [e2]Peter Marwedel, Srinivas Devadas:
Proceedings of the 2002 Joint Conference on Languages, Compilers, and Tools for Embedded Systems & Software and Compilers for Embedded Systems (LCTES'02-SCOPES'02), Berlin, Germany, 19-21 June 2002. ACM 2002, ISBN 1-58113-527-0 [contents] - 2001
- [j64]Farzan Fallah, Srinivas Devadas, Kurt Keutzer:
Functional vector generation for HDL models using linearprogramming and Boolean satisfiability. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(8): 994-1002 (2001) - [j63]Farzan Fallah, Srinivas Devadas, Kurt Keutzer:
OCCOM-efficient computation of observability-based code coveragemetrics for functional verification. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 20(8): 1003-1015 (2001) - [c83]Prabhat Jain, Srinivas Devadas, Daniel W. Engels, Larry Rudolph:
Software-Assisted Cache Replacement Mechanisms for Embedded Systems. ICCAD 2001: 119-126 - [c82]G. Edward Suh, Srinivas Devadas, Larry Rudolph:
Analytical cache models with applications to cache partitioning. ICS 2001: 1-12 - [c81]G. Edward Suh, Larry Rudolph, Srinivas Devadas:
Effects of Memory Performance on Parallel Job Scheduling. JSSPP 2001: 116-132 - 2000
- [j62]George Hadjiyiannis, Silvina Hanono, Srinivas Devadas:
ISDL: An Instruction Set Description Language for Retargetability and Architecture Exploration. Des. Autom. Embed. Syst. 6(1): 39-69 (2000) - [j61]Farzan Fallah, Stan Y. Liao, Srinivas Devadas:
Solving covering problems using LPR-based lower bounds. IEEE Trans. Very Large Scale Integr. Syst. 8(1): 9-17 (2000) - [c80]Derek Chiou, Prabhat Jain, Larry Rudolph, Srinivas Devadas:
Application-specific memory management for embedded systems using software-controlled caches. DAC 2000: 416-419 - [c79]José C. Costa, Srinivas Devadas, José Monteiro:
Observability Analysis of Embedded Software for Coverage-Directed Validation. ICCAD 2000: 27-32 - [c78]Daniel W. Engels, Srinivas Devadas:
A New Approach to Solving the Hardware-Software Partitioning Problem in Embedded System Design. SBCCI 2000: 275-280 - [e1]L. Miguel Silveira, Srinivas Devadas, Ricardo Augusto da Luz Reis:
VLSI: Systems on a Chip, IFIP TC10/WG10.5 Tenth International Conference on Very Large Scale Integration (VLSI '99), December 1-4, 1999, Lisbon, Portugal. IFIP Conference Proceedings 162, Kluwer 2000, ISBN 0-7923-7731-1 [contents]
1990 – 1999
- 1999
- [j60]Ashok Sudarsanam, Stan Y. Liao, Srinivas Devadas:
Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSP Architectures. Des. Autom. Embed. Syst. 4(1): 5-22 (1999) - [j59]Stan Y. Liao, Srinivas Devadas, Kurt Keutzer:
A text-compression-based method for code size minimization in embedded systems. ACM Trans. Design Autom. Electr. Syst. 4(1): 12-38 (1999) - [c77]Farzan Fallah, Pranav Ashar, Srinivas Devadas:
Simulation Vector Generation from HDL Descriptions for Observability-Enhanced Statement Coverage. DAC 1999: 666-671 - [c76]George Hadjiyiannis, Pietro Russo, Srinivas Devadas:
A Methodology for Accurate Performance Evaluation in Architecture Exploration. DAC 1999: 927-932 - [c75]George Hadjiyiannis, Pietro Russo, Srinivas Devadas:
Automatic architecture evaluation for hardware/software codesign. ICECS 1999: 47-53 - [c74]José C. Costa, José Monteiro, L. Miguel Silveira, Srinivas Devadas:
A probabilistic approach for RT-level power modeling. ICECS 1999: 911-914 - [c73]Srinivas Devadas, Sharad Malik, José Monteiro, Luciano Lavagno:
CAD Techniques for Embedded System Design. VLSI Design 1999: 608 - 1998
- [j58]Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang, Albert R. Wang:
Code Optimization Techniques in Embedded DSP Microprocessors. Des. Autom. Embed. Syst. 3(1): 59-73 (1998) - [j57]José Monteiro, Srinivas Devadas:
Power Estimation Under User-Specified Input Sequences and Programs. Integr. Comput. Aided Eng. 5(2): 177-185 (1998) - [j56]José Monteiro, Srinivas Devadas, Abhijit Ghosh:
Sequential logic optimization for low power using input-disabling precomputation architectures. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(3): 279-284 (1998) - [j55]Stan Y. Liao, Srinivas Devadas, Kurt Keutzer:
Code density optimization for embedded DSP processors using data compression techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(7): 601-608 (1998) - [j54]Kenneth Y. Yun, Bill Lin, David L. Dill, Srinivas Devadas:
BDD-based synthesis of extended burst-mode controllers. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 17(9): 782-792 (1998) - [j53]Stan Y. Liao, Kurt Keutzer, Steven W. K. Tjiang, Srinivas Devadas:
A new viewpoint on code generation for directed acyclic graphs. ACM Trans. Design Autom. Electr. Syst. 3(1): 51-75 (1998) - [j52]George Hadjiyiannis, Anantha P. Chandrakasan, Srinivas Devadas:
A low power, low bandwidth protocol for remote wireless terminals. Wirel. Networks 4(1): 3-15 (1998) - [c72]Farzan Fallah, Srinivas Devadas, Kurt Keutzer:
OCCOM: Efficient Computation of Observability-Based Code Coverage Metrics for Functional Verification. DAC 1998: 152-157 - [c71]Silvina Hanono, Srinivas Devadas:
Instruction Selection, Resource Allocation, and Scheduling in the AVIV Retargetable Code Generator. DAC 1998: 510-515 - [c70]Farzan Fallah, Srinivas Devadas, Kurt Keutzer:
Functional Vector Generation for HDL Models Using Linear Programming and 3-Satisfiability. DAC 1998: 528-533 - [c69]Srinivas Devadas, Kurt Keutzer:
An algorithmic approach to optimizing fault coverage for BIST logic synthesis. ITC 1998: 164-173 - 1997
- [j51]José Monteiro, Srinivas Devadas, Abhijit Ghosh, Kurt Keutzer, Jacob K. White:
Estimation of average switching activity in combinational logic circuits using symbolic simulation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 16(1): 121-127 (1997) - [c68]Stan Y. Liao, Srinivas Devadas:
Solving Covering Problems Using LPR-Based Lower Bounds. DAC 1997: 117-120 - [c67]Ashok Sudarsanam, Stan Y. Liao, Srinivas Devadas:
Analysis and Evaluation of Address Arithmetic Capabilities in Custom DSP Architectures. DAC 1997: 287-292 - [c66]George Hadjiyiannis, Silvina Hanono, Srinivas Devadas:
ISDL: An Instruction Set Description Language for Retargetability. DAC 1997: 299-302 - [c65]José C. Costa, José C. Monteiro, Srinivas Devadas:
Switching activity estimation using limited depth reconvergent path analysis. ISLPED 1997: 184-189 - 1996
- [j50]Srinivas Devadas, Kurt Keutzer:
Addendum to "Synthesis of robust delay-fault testable circuits: Theory". IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 15(4): 445-446 (1996) - [j49]Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang, Albert R. Wang:
Storage Assignment to Decrease Code Size. ACM Trans. Program. Lang. Syst. 18(3): 235-253 (1996) - [j48]Chi-Ying Tsui, José Monteiro, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin:
Correction to "Power Estimation Methods for Sequential Logic Circuits" [Correspondence]. IEEE Trans. Very Large Scale Integr. Syst. 4(4): 495 (1996) - [j47]José Monteiro, Srinivas Devadas:
Techniques for power estimation and optimization at the logic level: A survey. J. VLSI Signal Process. 13(2-3): 259-276 (1996) - [c64]José Monteiro, Srinivas Devadas, Pranav Ashar, Ashutosh Mauskar:
Scheduling Techniques to Enable Power Management. DAC 1996: 349-352 - [c63]Srinivas Devadas, Abhijit Ghosh, Kurt Keutzer:
An observability-based code coverage metric for functional simulation. ICCAD 1996: 418-425 - 1995
- [j46]Amelia Shen, Srinivas Devadas, Abhijit Ghosh:
Probabilistic manipulation of Boolean functions using free Boolean diagrams. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(1): 87-95 (1995) - [j45]Bill Lin, Srinivas Devadas:
Synthesis of hazard-free multilevel logic under multiple-input changes from binary decision diagrams. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 14(8): 974-985 (1995) - [j44]Chi-Ying Tsui, José Monteiro, Massoud Pedram, Srinivas Devadas, Alvin M. Despain, Bill Lin:
Power estimation methods for sequential logic circuits. IEEE Trans. Very Large Scale Integr. Syst. 3(3): 404-416 (1995) - [c62]Stan Y. Liao, Srinivas Devadas, Kurt Keutzer:
Code density optimization for embedded DSP processors using data compression techniques. ARVLSI 1995: 272-285 - [c61]José Monteiro, John Rinderknecht, Srinivas Devadas, Abhijit Ghosh:
Optimization of combinational and sequential logic circuits for low power using precomputation. ARVLSI 1995: 430-444 - [c60]Srinivas Devadas, Sharad Malik:
A Survey of Optimization Techniques Targeting Low Power VLSI Circuits. DAC 1995: 242-247 - [c59]Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang, Albert R. Wang:
Code Optimization Techniques for Embedded DSP Microprocessors. DAC 1995: 599-604 - [c58]Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang:
Instruction selection using binate covering for code size optimization. ICCAD 1995: 393-399 - [c57]José Monteiro, Srinivas Devadas:
Techniques for the power estimation of sequential logic circuits under user-specified input sequences and programs. ISLPD 1995: 33-38 - [c56]Stan Y. Liao, Srinivas Devadas, Kurt Keutzer, Steven W. K. Tjiang, Albert R. Wang:
Storage Assignment to Decrease Code Size. PLDI 1995: 186-195 - 1994
- [j43]Filip Van Aelten, Jonathan Allen, Srinivas Devadas:
Event-based verification of synchronous, globally controlled, logic designs against signal flow graphs. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(1): 122-134 (1994) - [j42]Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang:
Event suppression: improving the efficiency of timing simulation for synchronous digital circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 13(6): 814-822 (1994) - [j41]Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang:
Certified timing verification and the transition delay of a logic circuit. IEEE Trans. Very Large Scale Integr. Syst. 2(3): 333-342 (1994) - [j40]Mazhar Alidina, José Monteiro, Srinivas Devadas, Abhijit Ghosh, Marios C. Papaefthymiou:
Precomputation-based sequential logic optimization for low power. IEEE Trans. Very Large Scale Integr. Syst. 2(4): 426-436 (1994) - [j39]Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang:
Verification of asynchronous interface circuits with bounded wire delays. J. VLSI Signal Process. 7(1-2): 161-182 (1994) - [c55]José Monteiro, Srinivas Devadas, Bill Lin:
A Methodology for Efficient Estimation of Switching Activity in Sequential Logic Circuits. DAC 1994: 12-17 - [c54]Vishal Bhagwati, Srinivas Devadas:
Automatic Verification of Pipelined Microprocessors. DAC 1994: 603-608 - [c53]Guido Araujo, Srinivas Devadas, Kurt Keutzer, Stan Y. Liao, Sharad Malik, Ashok Sudarsanam, Steven W. K. Tjiang, Albert R. Wang:
Challenges in code generation for embedded processors. Code Generation for Embedded Processors 1994: 48-64 - [c52]Mazhar Alidina, José Monteiro, Srinivas Devadas, Abhijit Ghosh, Marios C. Papaefthymiou:
Precomputation-based sequential logic optimization for low power. ICCAD 1994: 74-81 - [c51]Bill Lin, Srinivas Devadas:
Synthesis of hazard-free multi-level logic under multiple-input changes from binary decision diagrams. ICCAD 1994: 542-549 - [c50]Kenneth Y. Yun, Bill Lin, David L. Dill, Srinivas Devadas:
Performance-driven synthesis of asynchronous controllers. ICCAD 1994: 550-557 - [c49]José Monteiro, James H. Kukula, Srinivas Devadas, Horácio C. Neto:
Bitwise Encoding of Finite State Machines. VLSI Design 1994: 379-382 - 1993
- [j38]Srinivas Devadas, Petra Michel:
Guest editorial. J. Electron. Test. 4(1): 7 (1993) - [j37]Srinivas Devadas, Kurt Keutzer, Sharad Malik:
A synthesis-based test generation and compaction algorithm for multifaults. J. Electron. Test. 4(1): 91-104 (1993) - [j36]Pranav Ashar, Srinivas Devadas, Kurt Keutzer:
Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks. Formal Methods Syst. Des. 2(1): 93-112 (1993) - [j35]Pranav Ashar, Srinivas Devadas, Kurt Keutzer:
Path-delay-fault testability properties of multiplexor-based networks. Integr. 15(1): 1-23 (1993) - [j34]Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Sequential test generation and synthesis for testability at the register-transfer and logic levels. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(5): 579-598 (1993) - [j33]Srinivas Devadas:
Comparing two-level and ordered binary decision diagram representations of logic functions. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(5): 722-723 (1993) - [j32]Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer:
Delay-fault test generation and synthesis for testability under a standard scan design methodology. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(8): 1217-1231 (1993) - [j31]Srinivas Devadas, Kurt Keutzer, Sharad Malik:
Computation of floating mode delay in combinational circuits: theory and algorithms. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(12): 1913-1923 (1993) - [j30]Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang:
Computation of floating mode delay in combinational circuits: practice and implementation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(12): 1924-1936 (1993) - [j29]Filip Van Aelten, Jonathan Allen, Srinivas Devadas:
Verification of relations between synchronous machines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 12(12): 1947-1959 (1993) - [j28]Horng-Fei Jyu, Sharad Malik, Srinivas Devadas, Kurt Keutzer:
Statistical timing analysis of combinational logic circuits. IEEE Trans. Very Large Scale Integr. Syst. 1(2): 126-137 (1993) - [j27]Michael J. Bryan, Srinivas Devadas, Kurt Keutzer:
Analysis and Design of Regular Structures for Robust Dynamic Fault Testability. VLSI Design 1(1): 45-60 (1993) - [c48]José Monteiro, Srinivas Devadas, Abhijit Ghosh:
Retiming sequential circuits for low power. ICCAD 1993: 398-402 - [c47]Amelia Shen, Srinivas Devadas, Abhijit Ghosh:
Probabilistic construction and manipulation of free Boolean diagrams. ICCAD 1993: 544-583 - [c46]Stan Y. Liao, Srinivas Devadas, Abhijit Ghosh:
Boolean factorization using multiple-valued minimization. ICCAD 1993: 606-611 - 1992
- [j26]Pranav Ashar, Abhijit Ghosh, Srinivas Devadas:
Boolean satisfiability and equivalence checking using general Binary Decision Diagrams. Integr. 13(1): 1-16 (1992) - [j25]Srinivas Devadas, Kurt Keutzer:
Synthesis of robust delay-fault-testable circuits: theory. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(1): 87-101 (1992) - [j24]Srinivas Devadas, Kurt Keutzer:
Synthesis of robust delay-fault-testable circuits: practice. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(3): 277-300 (1992) - [j23]Srinivas Devadas, Kurt Keutzer, Jacob K. White:
Estimation of power dissipation in CMOS combinational circuits using Boolean function manipulation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(3): 373-383 (1992) - [j22]Michael J. Bryan, Srinivas Devadas, Kurt Keutzer:
Necessary and sufficient conditions for hazard-free robust transistor stuck-open-fault testability in multilevel networks. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(6): 800-803 (1992) - [j21]Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Heuristic minimization of Boolean relations using testing techniques. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(9): 1166-1172 (1992) - [j20]Srinivas Devadas, Kurt Keutzer:
Validatable nonrobust delay-fault testable circuits via logic synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 11(12): 1559-1573 (1992) - [c45]Abhijit Ghosh, Srinivas Devadas, Kurt Keutzer, Jacob K. White:
Estimation of Average Switching Activity in Combinational and Sequential Circuits. DAC 1992: 253-259 - [c44]Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang:
Certified Timing Verification and the Transition Delay of a Logic Circuit. DAC 1992: 549-555 - [c43]Filip Van Aelten, Stan Y. Liao, Jonathan Allen, Srinivas Devadas:
Automatic generation and verification of sufficient correctness properties for synchronous processors. ICCAD 1992: 183-187 - [c42]Srinivas Devadas, Kurt Keutzer, Sharad Malik, Albert R. Wang:
Verification of asynchronous interface circuits with bounded wire delays. ICCAD 1992: 188-195 - [c41]Amelia Shen, Abhijit Ghosh, Srinivas Devadas, Kurt Keutzer:
On average power dissipation and random pattern testability of CMOS combinational logic networks. ICCAD 1992: 402-407 - [c40]Srinivas Devadas, Horng-Fei Jyu, Kurt Keutzer, Sharad Malik:
Statistical Timing Analysis of Combinational Circuits. ICCD 1992: 38-43 - 1991
- [j19]Srinivas Devadas, Kurt Keutzer:
An automata-theoretic approach to behavioral equivalence. Integr. 12(2): 109-129 (1991) - [j18]Srinivas Devadas, A. Richard Newton:
Exact algorithms for output encoding, state assignment, and four-level Boolean minimization. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(1): 13-27 (1991) - [j17]Srinivas Devadas, Kurt Keutzer:
A unified approach to the synthesis of fully testable sequential machines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(1): 39-50 (1991) - [j16]Pranav Ashar, Srinivas Devadas, A. Richard Newton:
Optimum and heuristic algorithms for an approach to finite state machine decomposition. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(3): 296-310 (1991) - [j15]Pranav Ashar, Srinivas Devadas, A. Richard Newton:
Irredundant interacting sequential machines via optimal logic synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(3): 311-325 (1991) - [j14]Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Test generation and verification for highly sequential circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(5): 652-667 (1991) - [j13]Srinivas Devadas:
Optimizing interacting finite state machines using sequential don't cares. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 10(12): 1473-1484 (1991) - [c39]Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer:
Robust Delay-Fault Test Generation and Synthesis for Testability Under A Standard Scan Design Methodology. DAC 1991: 80-86 - [c38]Srinivas Devadas, Kurt Keutzer, Sharad Malik:
A Synthesis-Based Test Generation and Compaction Algorithm for Multifaults. DAC 1991: 359-365 - [c37]Srinivas Devadas, Kurt Keutzer, Sharad Malik:
Delay Computation in Combinational Logic Circuits: Theory and Algorithms. ICCAD 1991: 176-179 - [c36]Filip Van Aelten, Jonathan Allen, Srinivas Devadas:
Verification of Relations Between Synchronous Machines. ICCAD 1991: 380-383 - [c35]James H. Kukula, Srinivas Devadas:
Finite State Machine Decomposition by Transition Pairing. ICCAD 1991: 414-417 - [c34]Srinivas Devadas, Kurt Keutzer, A. S. Krishnakumar:
Design Verfication and Reachability Analysis Using Algebraic Manipulation. ICCD 1991: 250-258 - [c33]Pranav Ashar, Abhijit Ghosh, Srinivas Devadas:
Boolean Satisfiability and Equivalence Checking Using General Binary Decision Diagrams. ICCD 1991: 259-264 - [c32]Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer:
A Partial Enhanced-Scan Approach to Robust Delay-Fault Test Generation for Sequential Circuits. ITC 1991: 403-410 - [c31]Pranav Ashar, Srinivas Devadas, Kurt Keutzer:
Gate-Delay-Fault Testability Properties of Multiplexor-Based Networks. ITC 1991: 887-896 - [c30]Srinivas Devadas, Kurt Keutzer, Abhijit Ghosh:
Recent progress in synthesis for testability. VTS 1991: 22-29 - 1990
- [j12]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton:
Redundancies and don't cares in sequential logic synthesis. J. Electron. Test. 1(1): 15-30 (1990) - [j11]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli:
Irredundant sequential machines via optimal logic synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(1): 8-18 (1990) - [j10]Srinivas Devadas, Hi-Keung Tony Ma:
Easily testable PLA-based finite state machines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 9(6): 604-611 (1990) - [c29]Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Verification of Interacting Sequential Circuits. DAC 1990: 213-219 - [c28]Srinivas Devadas, Kurt Keutzer:
Synthesis and Optimization Procedures for Robustly Delay-Fault Testable Combinational Logic Circuits. DAC 1990: 221-227 - [c27]Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Sequential Test Generation at the Register-Transfer and Logic Levels. DAC 1990: 580-586 - [c26]Pranav Ashar, Srinivas Devadas, A. Richard Newton:
A Unified Approach to the Decomposition and Re-Decomposition of Sequential Machines. DAC 1990: 601-606 - [c25]Srinivas Devadas, Kurt Keutzer:
An Automata-Theoretic Approach to Behavioral Equivalence. ICCAD 1990: 30-33 - [c24]Pranav Ashar, Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Implicit State Transition Graphs: Applications to Sequential Logic Synthesis and Test. ICCAD 1990: 84-87 - [c23]Michael J. Bryan, Srinivas Devadas, Kurt Keutzer:
Testability-Preserving Circuit Transformations. ICCAD 1990: 456-459 - [c22]Pranav Ashar, Srinivas Devadas, A. Richard Newton:
Testability driven synthesis of interacting finite state machines. ICCD 1990: 273-276 - [c21]Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Heuristic minimization of Boolean relations using testing techniques. ICCD 1990: 277-281 - [c20]Srinivas Devadas:
Minimization of Functions with Multiple-Valued Outputs: Theory and Applications. ISMVL 1990: 308-315 - [c19]Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Sequential logic synthesis for testability using register-transfer level descriptions. ITC 1990: 274-283 - [c18]Srinivas Devadas, Kurt Keutzer:
Design of integrated circuits fully testable for delay-faults and multifaults. ITC 1990: 284-293
1980 – 1989
- 1989
- [j9]Hi-Keung Tony Ma, Srinivas Devadas, Ruey-Sing Wei, Alberto L. Sangiovanni-Vincentelli:
Logic verification algorithms and their parallel implementation. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(2): 181-189 (1989) - [j8]Srinivas Devadas, A. Richard Newton:
Algorithms for hardware allocation in data path synthesis. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(7): 768-781 (1989) - [j7]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli:
A synthesis and optimization procedure for fully and easily testable sequential machines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(10): 1100-1107 (1989) - [j6]Srinivas Devadas, A. Richard Newton:
Decomposition and factorization of sequential finite state machines. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 8(11): 1206-1217 (1989) - [c17]Srinivas Devadas:
Approaches to Multi-level Sequential Logic Synthesis. DAC 1989: 270-276 - [c16]Srinivas Devadas:
General Decomposition of Sequential Machines: Relationships to State Assignment. DAC 1989: 314-320 - [c15]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton:
Easily testable PLA-based finite state machines. FTCS 1989: 102-109 - [c14]Srinivas Devadas, Kurt Keutzer:
Boolean minimization and algebraic factorization procedures for fully testable sequential machines. ICCAD 1989: 208-211 - [c13]Pranav Ashar, Srinivas Devadas, A. Richard Newton:
Optimum and heuristic algorithms for finite state machine decomposition and partitioning. ICCAD 1989: 216-219 - [c12]Srinivas Devadas:
Optimal layout via Boolean satisfiability. ICCAD 1989: 294-297 - [c11]Abhijit Ghosh, Srinivas Devadas, A. Richard Newton:
Test generation for highly sequential circuits. ICCAD 1989: 362-365 - [c10]Srinivas Devadas:
Delay Test Generation for Synchronous Sequential Circuits. ITC 1989: 144-152 - [c9]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton:
Redundancies and Don't Cares in Sequential Logic Synthesis. ITC 1989: 491-500 - 1988
- [j5]Douglas Braun, Jeffrey L. Burns, Fabio Romeo, Alberto L. Sangiovanni-Vincentelli, Kartikeya Mayaram, Srinivas Devadas, Hi-Keung Tony Ma:
Techniques for multilayer channel routing. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(6): 698-712 (1988) - [j4]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton:
On the verification of sequential machines at differing levels of abstraction. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(6): 713-722 (1988) - [j3]Hi-Keung Tony Ma, Srinivas Devadas, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli:
Test generation for sequential circuits. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(10): 1081-1093 (1988) - [j2]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli:
MUSTANG: state assignment of finite state machines targeting multilevel logic implementations. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 7(12): 1290-1300 (1988) - [c8]Srinivas Devadas, A. Richard Newton:
Decomposition and factorization of sequential finite state machines. ICCAD 1988: 148-151 - [c7]Srinivas Devadas, Albert R. Wang, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli:
Boolean decomposition in multi-level logic optimization. ICCAD 1988: 290-293 - [c6]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli:
Synthesis and Optimization Procedures for Fully and Easily Testable Sequential Machines. ITC 1988: 621-630 - [c5]Hi-Keung Tony Ma, A. Richard Newton, Srinivas Devadas, Alberto L. Sangiovanni-Vincentelli:
An Incomplete Scan Design Approach to Test Generation for Sequential Machines. ITC 1988: 730-734 - 1987
- [j1]Srinivas Devadas, A. Richard Newton:
Topological Optimization of Multiple-Level Array Logic. IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. 6(6): 915-941 (1987) - [c4]Srinivas Devadas, Hi-Keung Tony Ma, A. Richard Newton:
On the Verification of Sequential Machines at Differing Levels of Abstraction. DAC 1987: 271-276 - [c3]Hi-Keung Tony Ma, Srinivas Devadas, Alberto L. Sangiovanni-Vincentelli, Ruey-Sing Wei:
Logic Verification Algorithms and Their Parallel Implementation. DAC 1987: 283-290 - 1986
- [c2]Douglas Braun, Jeffrey L. Burns, Srinivas Devadas, Hi-Keung Tony Ma, Kartikeya Mayaram, Fabio Romeo, Alberto L. Sangiovanni-Vincentelli:
Chameleon: a new multi-layer channel router. DAC 1986: 495-502 - [c1]Srinivas Devadas, A. Richard Newton:
GENIE: a generalized array optimizer for VLSI synthesis. DAC 1986: 631-637
Coauthor Index
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.
Unpaywalled article links
Add open access links from to the list of external document links (if available).
Privacy notice: By enabling the option above, your browser will contact the API of unpaywall.org to load hyperlinks to open access articles. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Unpaywall privacy policy.
Archived links via Wayback Machine
For web page which are no longer available, try to retrieve content from the of the Internet Archive (if available).
Privacy notice: By enabling the option above, your browser will contact the API of archive.org to check for archived content of web pages that are no longer available. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Internet Archive privacy policy.
Reference lists
Add a list of references from , , and to record detail pages.
load references from crossref.org and opencitations.net
Privacy notice: By enabling the option above, your browser will contact the APIs of crossref.org, opencitations.net, and semanticscholar.org to load article reference information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the Crossref privacy policy and the OpenCitations privacy policy, as well as the AI2 Privacy Policy covering Semantic Scholar.
Citation data
Add a list of citing articles from and to record detail pages.
load citations from opencitations.net
Privacy notice: By enabling the option above, your browser will contact the API of opencitations.net and semanticscholar.org to load citation information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the OpenCitations privacy policy as well as the AI2 Privacy Policy covering Semantic Scholar.
OpenAlex data
Load additional information about publications from .
Privacy notice: By enabling the option above, your browser will contact the API of openalex.org to load additional information. Although we do not have any reason to believe that your call will be tracked, we do not have any control over how the remote server uses your data. So please proceed with care and consider checking the information given by OpenAlex.
last updated on 2024-10-07 22:18 CEST by the dblp team
all metadata released as open data under CC0 1.0 license
see also: Terms of Use | Privacy Policy | Imprint