"Functional test generation for delay faults in combinational circuits."

Irith Pomeranz, Sudhakar M. Reddy (1998)

Details and statistics

DOI: 10.1145/290833.290845

access: closed

type: Journal Article

metadata version: 2018-11-06