Search dblp for Publications

export results for "toc:db/conf/fpt/fpt2002.bht:"

 download as .bib file

@inproceedings{DBLP:conf/fpt/AndersonN02,
  author       = {Jason Helge Anderson and
                  Farid N. Najm},
  title        = {Power-aware technology mapping for LUT-based FPGAs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {211--218},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188684},
  doi          = {10.1109/FPT.2002.1188684},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/AndersonN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Beckett02,
  author       = {Paul Beckett},
  title        = {A fine-grained reconfigurable logic array based on double gate transistors},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {260--267},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188690},
  doi          = {10.1109/FPT.2002.1188690},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Beckett02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/BelkacemiBC02,
  author       = {Samir Belkacemi and
                  Khaled Benkrid and
                  Danny Crookes},
  title        = {{HIDE:} a logic based hardware intelligent description environment},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {174--180},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188679},
  doi          = {10.1109/FPT.2002.1188679},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/BelkacemiBC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Benkrid02,
  author       = {Khaled Benkrid},
  title        = {A multiplier-less {FPGA} core for image algebra neighbourhood operations},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {294--297},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188695},
  doi          = {10.1109/FPT.2002.1188695},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Benkrid02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/BenkridBC02,
  author       = {Abdsamad Benkrid and
                  Khaled Benkrid and
                  Danny Crookes},
  title        = {Design and implementation of a novel architecture for symmetric {FIR}
                  filters with boundary handling on Xilinx Virtex FPGAs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {356--359},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188710},
  doi          = {10.1109/FPT.2002.1188710},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/BenkridBC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Bergmann02,
  author       = {Neil W. Bergmann},
  title        = {Enabling technologies for reconfigurable system-on-chip},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {360--363},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188711},
  doi          = {10.1109/FPT.2002.1188711},
  timestamp    = {Fri, 02 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Bergmann02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/CarreiraFT02,
  author       = {Alex Carreira and
                  Trevor W. Fox and
                  Laurence E. Turner},
  title        = {A method of implementing bit-serial {LDI} ladder filters in FPGAs
                  using JBits},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {433--436},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188728},
  doi          = {10.1109/FPT.2002.1188728},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/CarreiraFT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ChangCTWL02,
  author       = {Yu{-}Tsang Chang and
                  Yu{-}Te Chou and
                  Wei{-}Chang Tsai and
                  Jiann{-}Jenn Wang and
                  Chen{-}Yi Lee},
  title        = {{FPGA} education and research activities in Taiwan},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {445--448},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188732},
  doi          = {10.1109/FPT.2002.1188732},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/ChangCTWL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ChenHL02,
  author       = {Shih{-}Liang Chen and
                  TingTing Hwang and
                  C. L. Liu},
  title        = {A technology mapping algorithm for {CPLD} architectures},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {204--210},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188683},
  doi          = {10.1109/FPT.2002.1188683},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/ChenHL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Cheung02,
  author       = {Paul Y. S. Cheung},
  title        = {Technology research and development in Hong Kong: hype or reality},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188656},
  doi          = {10.1109/FPT.2002.1188656},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Cheung02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/CheungL02,
  author       = {Ocean Y. H. Cheung and
                  Philip Heng Wai Leong},
  title        = {Implementation of an {FPGA} based accelerator for virtual private
                  networks},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {34--41},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188662},
  doi          = {10.1109/FPT.2002.1188662},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/CheungL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/CoutinhoL02,
  author       = {Jos{\'{e}} Gabriel F. Coutinho and
                  Wayne Luk},
  title        = {Optimising and adapting high-level hardware designs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {150--157},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188676},
  doi          = {10.1109/FPT.2002.1188676},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/CoutinhoL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/DawoodWV02,
  author       = {Anwar S. Dawood and
                  John A. Williams and
                  Stephen J. Visser},
  title        = {On-board satellite image compression using reconfigurable FPGAs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {306--310},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188698},
  doi          = {10.1109/FPT.2002.1188698},
  timestamp    = {Fri, 22 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/DawoodWV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/DehkordiB02,
  author       = {Mehrdad Eslami Dehkordi and
                  Stephen Dean Brown},
  title        = {The effect of cluster packing and node duplication control in delay
                  driven clustering},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {227--233},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188686},
  doi          = {10.1109/FPT.2002.1188686},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/DehkordiB02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/DerbyshireL02,
  author       = {Arran Derbyshire and
                  Wayne Luk},
  title        = {Compiling run-time parametrisable designs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {44--51},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188663},
  doi          = {10.1109/FPT.2002.1188663},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/DerbyshireL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/FidjelandLM02,
  author       = {Andreas Fidjeland and
                  Wayne Luk and
                  Stephen H. Muggleton},
  title        = {Scalable acceleration of inductive logic programs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {252--259},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188689},
  doi          = {10.1109/FPT.2002.1188689},
  timestamp    = {Sat, 30 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/FidjelandLM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Flynn02,
  author       = {Michael J. Flynn},
  title        = {Programmed solutions: the step beyond programmed logic [computer architecture]},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {13--16},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188659},
  doi          = {10.1109/FPT.2002.1188659},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Flynn02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/FrohlichGKLLPPRSTTK02,
  author       = {Ingo Fr{\"{o}}hlich and
                  Adrian Gabriel and
                  Daniel Kirschner and
                  J{\"{o}}rg Lehnert and
                  Erik Lins and
                  Markus Petri and
                  Tiago Perez and
                  Jim Ritman and
                  Daniel Sch{\"{a}}fer and
                  Alberica Toia and
                  Michael Traxler and
                  Wolfgang Kuehn},
  title        = {Pattern recognition in the {HADES} spectrometer: an application of
                  {FPGA} technology in nuclear and particle physics},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {443--444},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188731},
  doi          = {10.1109/FPT.2002.1188731},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/FrohlichGKLLPPRSTTK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/GaffarMLCS02,
  author       = {Altaf Abdul Gaffar and
                  Oskar Mencer and
                  Wayne Luk and
                  Peter Y. K. Cheung and
                  Nabeel Shirazi},
  title        = {Floating-point bitwidth analysis via automatic differentiation},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {158--165},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188677},
  doi          = {10.1109/FPT.2002.1188677},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/GaffarMLCS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/GharpureP02,
  author       = {D. C. Gharpure and
                  M. S. Puranik},
  title        = {{FPGA} implementation of {MFNN} for image registration},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {364--367},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188712},
  doi          = {10.1109/FPT.2002.1188712},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/GharpureP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/GheorghitaWMT02,
  author       = {Stefan Valentin Gheorghita and
                  Weng{-}Fai Wong and
                  Tulika Mitra and
                  Surendranath Talla},
  title        = {A co-simulation study of adaptive {EPIC} computing},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {268--275},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188691},
  doi          = {10.1109/FPT.2002.1188691},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/GheorghitaWMT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/GottliebCWFWC02,
  author       = {Derek B. Gottlieb and
                  Jeffrey J. Cook and
                  Joshua D. Walstrom and
                  Steve Ferrera and
                  Chi{-}Wei Wang and
                  Nicholas P. Carter},
  title        = {Clustered programmable-reconfigurable processors},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {134--141},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188674},
  doi          = {10.1109/FPT.2002.1188674},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/GottliebCWFWC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/GuntschMSDESS02,
  author       = {Michael Guntsch and
                  Martin Middendorf and
                  Bernd Scheuermann and
                  Oliver Diessel and
                  Hossam A. ElGindy and
                  Hartmut Schmeck and
                  Keith So},
  title        = {Population based ant colony optimization on {FPGA}},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {125--132},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188673},
  doi          = {10.1109/FPT.2002.1188673},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/GuntschMSDESS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HinkelbeinM02,
  author       = {Christian Hinkelbein and
                  Reinhard M{\"{a}}nner},
  title        = {Reconfigurable hardware control software using anonymous libraries},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {426--428},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188726},
  doi          = {10.1109/FPT.2002.1188726},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/HinkelbeinM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HopfIK02,
  author       = {John Hopf and
                  G. Stewart Von Itzstein and
                  David A. Kearney},
  title        = {Hardware Join Java: a high level language for reconfigurable hardware
                  development},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {344--347},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188707},
  doi          = {10.1109/FPT.2002.1188707},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/HopfIK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HoriSM02,
  author       = {Yohei Hori and
                  Masashi Sonoyama and
                  Tsutomu Maruyama},
  title        = {An FPGA-based processor for shogi mating problems},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {117--124},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188672},
  doi          = {10.1109/FPT.2002.1188672},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/HoriSM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/HsuTJWW02,
  author       = {C. H. Hsu and
                  Trieu{-}Kien Truong and
                  Ming{-}Haw Jing and
                  W.{-}C. Wu and
                  H. C. Wu},
  title        = {The feasibility study of designing a {FPGA} multiplier-core on finite
                  field},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {386--389},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188717},
  doi          = {10.1109/FPT.2002.1188717},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/HsuTJWW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/IpLCCLSM02,
  author       = {Henry M. D. Ip and
                  James D. Low and
                  Peter Y. K. Cheung and
                  George A. Constantinides and
                  Wayne Luk and
                  Shay Ping Seng and
                  Paul Metzgen},
  title        = {Strassen's matrix multiplication for customisable processors},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {453--456},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188734},
  doi          = {10.1109/FPT.2002.1188734},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/IpLCCLSM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/JainKK02,
  author       = {Sushil Chandra Jain and
                  Anshul Kumar and
                  Shashi Kumar},
  title        = {Multi-hop routing of multi-terminal nets for evaluation of hybrid
                  multi-FPGA boards},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {298--301},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188696},
  doi          = {10.1109/FPT.2002.1188696},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/JainKK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/JangCP02,
  author       = {Ju{-}wook Jang and
                  Seonil Choi and
                  Viktor K. Prasanna},
  title        = {Area and time efficient implementations of matrix multiplication on
                  FPGAs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {93--100},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188669},
  doi          = {10.1109/FPT.2002.1188669},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/JangCP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/JasiunasKHW02,
  author       = {Mark Jasiunas and
                  David A. Kearney and
                  John Hopf and
                  Grant B. Wigley},
  title        = {Image fusion for uninhabited airborne vehicles},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {348--351},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188708},
  doi          = {10.1109/FPT.2002.1188708},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/JasiunasKHW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/JiangLR02,
  author       = {Jun Jiang and
                  Wayne Luk and
                  Daniel Rueckert},
  title        = {FPGA-based computation of free-form deformations},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {407--410},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188722},
  doi          = {10.1109/FPT.2002.1188722},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/JiangLR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/JingHTCC02,
  author       = {Ming{-}Haw Jing and
                  C. H. Hsu and
                  Trieu{-}Kien Truong and
                  Yan{-}Haw Chen and
                  Yaotsu Chang},
  title        = {The diversity study of {AES} on {FPGA} application},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {390--393},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188718},
  doi          = {10.1109/FPT.2002.1188718},
  timestamp    = {Mon, 06 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/JingHTCC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Kania02,
  author       = {Dariusz Kania},
  title        = {Logic synthesis of multi-output functions for PAL-based CPLDs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {429--432},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188727},
  doi          = {10.1109/FPT.2002.1188727},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Kania02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/KoN02,
  author       = {John Y. H. Ko and
                  Kam{-}Wing Ng},
  title        = {Reconfigurable implementation of radiosity distribution computation},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {340--343},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188706},
  doi          = {10.1109/FPT.2002.1188706},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/KoN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/KomatsuKSSF02,
  author       = {Satoshi Komatsu and
                  Yoshihisa Kojima and
                  Hiroshi Saito and
                  Kenshu Seto and
                  Masahiro Fujita},
  title        = {Field modifiable architecture with FPGAs and its design methodology},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {382--385},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188716},
  doi          = {10.1109/FPT.2002.1188716},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/KomatsuKSSF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/KuboF02,
  author       = {Masao Kubo and
                  Masahiro Fujita},
  title        = {Debug methodology for arithmetic circuits on FPGAs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {236--242},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188687},
  doi          = {10.1109/FPT.2002.1188687},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/KuboF02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LeeLLC02,
  author       = {Dong{-}U Lee and
                  T. K. Lee and
                  Wayne Luk and
                  Peter Y. K. Cheung},
  title        = {Incremental programming for reconfigurable engines},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {411--415},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188723},
  doi          = {10.1109/FPT.2002.1188723},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/LeeLLC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LeeM02,
  author       = {Gareth Lee and
                  George J. Milne},
  title        = {A methodology for design of run-time reconfigurable systems},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {60--67},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188665},
  doi          = {10.1109/FPT.2002.1188665},
  timestamp    = {Thu, 12 Nov 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/LeeM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/LeeYLSLD02,
  author       = {T. K. Lee and
                  Sherif Yusuf and
                  Wayne Luk and
                  Morris Sloman and
                  Emil Lupu and
                  Naranker Dulay},
  title        = {Development framework for firewall processors},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {352--355},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188709},
  doi          = {10.1109/FPT.2002.1188709},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/LeeYLSLD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Lysaght02,
  author       = {Patrick Lysaght},
  title        = {FPGAs as meta-platforms for embedded systems},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {7--12},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188658},
  doi          = {10.1109/FPT.2002.1188658},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Lysaght02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MakL02,
  author       = {Sui{-}Tung Mak and
                  Kai{-}Pui Lam},
  title        = {Serial-parallel tradeoff analysis of all-pairs shortest path algorithms
                  in reconfigurable computing},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {302--305},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188697},
  doi          = {10.1109/FPT.2002.1188697},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/MakL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Makimoto02,
  author       = {Tsugio Makimoto},
  title        = {The hot decade of field programmable technologies},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {3--6},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188657},
  doi          = {10.1109/FPT.2002.1188657},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Makimoto02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MalikSD02,
  author       = {Usama Malik and
                  Keith So and
                  Oliver Diessel},
  title        = {Resource-aware run-time elaboration of behavioural {FPGA} specifications},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {68--75},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188666},
  doi          = {10.1109/FPT.2002.1188666},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/MalikSD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Master02,
  author       = {Paul Master},
  title        = {The next big leap in reconfigurable systems},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {17--22},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188660},
  doi          = {10.1109/FPT.2002.1188660},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Master02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/McLooneM02,
  author       = {M{\'{a}}ire McLoone and
                  John V. McCanny},
  title        = {Efficient single-chip implementation of {SHA-384} and {SHA-512}},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {311--314},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188699},
  doi          = {10.1109/FPT.2002.1188699},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/McLooneM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MeiVVML02,
  author       = {Bingfeng Mei and
                  Serge Vernalde and
                  Diederik Verkest and
                  Hugo De Man and
                  Rudy Lauwereins},
  title        = {{DRESC:} a retargetable compiler for coarse-grained reconfigurable
                  architectures},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {166--173},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188678},
  doi          = {10.1109/FPT.2002.1188678},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/MeiVVML02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MelnikoffQR02,
  author       = {Stephen J. Melnikoff and
                  Steven F. Quigley and
                  Martin J. Russell},
  title        = {Performing speech recognition on multiple parallel files using continuous
                  hidden Markov models on an {FPGA}},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {399--402},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188720},
  doi          = {10.1109/FPT.2002.1188720},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/MelnikoffQR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MiremadiSA02,
  author       = {Seyed Ghassem Miremadi and
                  Siavash Bayat Sarmadi and
                  Ghazanfar Asadi},
  title        = {Speedup analysis in simulation-emulation co-operation},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {394--398},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188719},
  doi          = {10.1109/FPT.2002.1188719},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/MiremadiSA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MiyazakiMTH02,
  author       = {Toshiaki Miyazaki and
                  Takahiro Murooka and
                  Noriyuki Takahashi and
                  Masashi Hashimoto},
  title        = {Real-time packet editing using reconfigurable hardware for active
                  networking},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {26--33},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188661},
  doi          = {10.1109/FPT.2002.1188661},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/MiyazakiMTH02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/MuthukaruppanSK02,
  author       = {Annamalai Muthukaruppan and
                  Sivaprakasam Suresh and
                  V. Kamakoti},
  title        = {A novel three phase parallel genetic approach to routing for field
                  programmable gate arrays},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {336--339},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188705},
  doi          = {10.1109/FPT.2002.1188705},
  timestamp    = {Thu, 10 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/MuthukaruppanSK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/NunezJ02,
  author       = {Jos{\'{e}} Luis N{\'{u}}{\~{n}}ez and
                  Simon Jones},
  title        = {Lossless data compression programmable hardware for high-speed data
                  networks},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {290--293},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188694},
  doi          = {10.1109/FPT.2002.1188694},
  timestamp    = {Wed, 14 Aug 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/NunezJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/PoonW02,
  author       = {Kara K. W. Poon and
                  Steven J. E. Wilton},
  title        = {Sensitivity of {FPGA} power evaluation},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {441--442},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188730},
  doi          = {10.1109/FPT.2002.1188730},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/PoonW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/RambabuCM02,
  author       = {C. Rambabu and
                  L. Chakrabarti and
                  Anil Mahanta},
  title        = {An efficient architecture for an improved watershed algorithm and
                  its {FPGA} implementation},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {370--373},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188713},
  doi          = {10.1109/FPT.2002.1188713},
  timestamp    = {Thu, 08 Sep 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/RambabuCM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/RissaUN02,
  author       = {Tero Rissa and
                  Riku Uusikartano and
                  Jarkko Niittylahti},
  title        = {Adaptive {FIR} filter architectures for run-time reconfigurable FPGAs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {52--59},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188664},
  doi          = {10.1109/FPT.2002.1188664},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/RissaUN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/RodriguezCS02,
  author       = {Francisco Rodr{\'{\i}}guez and
                  Jos{\'{e}} Carlos Campelo and
                  Juan Jos{\'{e}} Serrano},
  title        = {Delivering error detection capabilities into a field programmable
                  device: the {HORUS} processor case study},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {418--421},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188724},
  doi          = {10.1109/FPT.2002.1188724},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/RodriguezCS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/RoeslerN02,
  author       = {Eric Roesler and
                  Brent E. Nelson},
  title        = {Debug methods for hybrid {CPU/FPGA} systems},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {243--250},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188688},
  doi          = {10.1109/FPT.2002.1188688},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/RoeslerN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Sancho-PradelJG02,
  author       = {Dario L. Sancho{-}Pradel and
                  Simon R. Jones and
                  Roger Goodall},
  title        = {System on programmable chip for real-time control implementations},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {276--283},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188692},
  doi          = {10.1109/FPT.2002.1188692},
  timestamp    = {Sat, 31 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Sancho-PradelJG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/SantiniAPVS02,
  author       = {Cristina Costa Santini and
                  Jos{\'{e}} F. M. do Amaral and
                  Marco Aur{\'{e}}lio Cavalcanti Pacheco and
                  Marley M. B. R. Vellasco and
                  Mois{\'{e}}s H. Szwarcman},
  title        = {Evolutionary analog circuit design on a programmable analog multiplexer
                  array},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {189--196},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188681},
  doi          = {10.1109/FPT.2002.1188681},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/SantiniAPVS02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ScrofanoCP02,
  author       = {Ronald Scrofano and
                  Seonil Choi and
                  Viktor K. Prasanna},
  title        = {Energy efficiency of FPGAs and programmable processors for matrix
                  multiplication},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {422--425},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188725},
  doi          = {10.1109/FPT.2002.1188725},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/ScrofanoCP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/SengPRWLC02,
  author       = {Shay Ping Seng and
                  Krishna V. Palem and
                  Rodric M. Rabbah and
                  Weng{-}Fai Wong and
                  Wayne Luk and
                  Peter Y. K. Cheung},
  title        = {{PD-XML:} extensible markup language for processor description},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {437--440},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188729},
  doi          = {10.1109/FPT.2002.1188729},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/SengPRWLC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/StoicaGZFK02,
  author       = {Adrian Stoica and
                  Xin Guo and
                  Ricardo Salem Zebulum and
                  Michael I. Ferguson and
                  Didier Keymeulen},
  title        = {Evolution-based automated reconfiguration of field programmable analog
                  devices},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {403--406},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188721},
  doi          = {10.1109/FPT.2002.1188721},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/StoicaGZFK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Tahoori02,
  author       = {Mehdi Baradaran Tahoori},
  title        = {Diagnosis of open defects in {FPGA} interconnect},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {328--331},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188703},
  doi          = {10.1109/FPT.2002.1188703},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Tahoori02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Tahoori02a,
  author       = {Mehdi Baradaran Tahoori},
  title        = {Testing for resistive open defects in FPGAs},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {332--335},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188704},
  doi          = {10.1109/FPT.2002.1188704},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Tahoori02a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Tomashau02,
  author       = {Valeri F. Tomashau},
  title        = {Efficient 4-input LUTs {FPGA} implementation of combinatorial multiplier
                  over canonical base {GF(16)}},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {318--321},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188701},
  doi          = {10.1109/FPT.2002.1188701},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Tomashau02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/TongLLL02,
  author       = {Dennis K. Y. Tong and
                  Pui Sze Lo and
                  Kin{-}Hong Lee and
                  Philip Heng Wai Leong},
  title        = {A system level implementation of Rijndael on a memory-slot based {FPGA}
                  card},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {102--109},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188670},
  doi          = {10.1109/FPT.2002.1188670},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/TongLLL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Torres-HuitzilMA02,
  author       = {C{\'{e}}sar Torres{-}Huitzil and
                  Selene Maya{-}Rueda and
                  Miguel O. Arias{-}Estrada},
  title        = {A reconfigurable vision system for real-time applications},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {286--289},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188693},
  doi          = {10.1109/FPT.2002.1188693},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Torres-HuitzilMA02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/TuZW02,
  author       = {Lei Tu and
                  Ming{-}Cheng Zhu and
                  Jing{-}Xia Wang},
  title        = {The hardware implementation of a genetic algorithm model with {FPGA}},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {374--377},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188714},
  doi          = {10.1109/FPT.2002.1188714},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/TuZW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/VisserDW02,
  author       = {Stephen J. Visser and
                  Anwar S. Dawood and
                  John A. Williams},
  title        = {{FPGA} based real-time adaptive filtering for space applications},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {322--326},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188702},
  doi          = {10.1109/FPT.2002.1188702},
  timestamp    = {Fri, 22 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/VisserDW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WatanabeK02,
  author       = {Minoru Watanabe and
                  Fuminori Kobayashi},
  title        = {An optically differential reconfigurable gate array and its power
                  consumption estimation},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {197--202},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188682},
  doi          = {10.1109/FPT.2002.1188682},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/WatanabeK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WilliamsDV02,
  author       = {John A. Williams and
                  Anwar S. Dawood and
                  Stephen J. Visser},
  title        = {FPGA-based cloud detection for real-time onboard remote sensing},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {110--116},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188671},
  doi          = {10.1109/FPT.2002.1188671},
  timestamp    = {Fri, 22 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/WilliamsDV02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Wilton02,
  author       = {Steven J. E. Wilton},
  title        = {Implementing logic in {FPGA} memory arrays: heterogeneous memory architectures},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {142--147},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188675},
  doi          = {10.1109/FPT.2002.1188675},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/Wilton02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WongSC02,
  author       = {Stephan Wong and
                  Bastiaan Stougie and
                  Sorin Cotofana},
  title        = {Alternatives in FPGA-based {SAD} implementations},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {449--452},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188733},
  doi          = {10.1109/FPT.2002.1188733},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/WongSC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/WuCJJ02,
  author       = {Gwo{-}Yang Wu and
                  Liang{-}Bi Chen and
                  Yuan{-}Long Jeang and
                  Gwo{-}Jia Jong},
  title        = {An optimal {PCM} codec soft {IP} generator and its application},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {315--317},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188700},
  doi          = {10.1109/FPT.2002.1188700},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/WuCJJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YamaguchiHO02,
  author       = {Teruyoshi Yamaguchi and
                  Tomonori Hashiyama and
                  Shigeru Okuma},
  title        = {Dynamic reconfiguration for the common key encryption using {FPGA}},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {378--381},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188715},
  doi          = {10.1109/FPT.2002.1188715},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/YamaguchiHO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YeRL02,
  author       = {Andy Gean Ye and
                  Jonathan Rose and
                  David M. Lewis},
  title        = {Synthesizing datapath circuits for FPGAs with emphasis on area minimization},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {219--226},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188685},
  doi          = {10.1109/FPT.2002.1188685},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/YeRL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YeungC02,
  author       = {K. S. Yeung and
                  S. C. Chan},
  title        = {Multiplier-less {FIR} digital filters using programmable sum-of-power-of-two
                  {(SOPOT)} coefficients},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {78--84},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188667},
  doi          = {10.1109/FPT.2002.1188667},
  timestamp    = {Tue, 02 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/YeungC02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/YiW02,
  author       = {Ying Yi and
                  Roger F. Woods},
  title        = {FPGA-based system-level design framework based on the {IRIS} synthesis
                  tool and System Generator},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {85--92},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188668},
  doi          = {10.1109/FPT.2002.1188668},
  timestamp    = {Sat, 16 Sep 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/YiW02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/ZhouCCGLKYM02,
  author       = {Kuan Zhou and
                  Channakeshav and
                  Michael Chu and
                  Jong{-}Ru Guo and
                  S.{-}C. Liu and
                  Russell P. Kraft and
                  Chao You and
                  John F. McDonald},
  title        = {Gigahertz SiGe BiCMOS FPGAs with new architectures and novel power
                  management schemes},
  booktitle    = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  pages        = {182--188},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/FPT.2002.1188680},
  doi          = {10.1109/FPT.2002.1188680},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/ZhouCCGLKYM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/fpt/2002,
  title        = {Proceedings of the 2002 {IEEE} International Conference on Field-Programmable
                  Technology, {FPT} 2002, Hong Kong, China, December 16-18, 2002},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8456/proceeding},
  isbn         = {0-7803-7574-2},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/2002.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics