Search dblp for Publications

export results for "interconnect wire"

 download as .bib file

@article{DBLP:journals/micro/Lee24a,
  author       = {Hsien{-}Hsin S. Lee},
  title        = {Beyond Wires: The Future of Interconnects},
  journal      = {{IEEE} Micro},
  volume       = {44},
  number       = {2},
  pages        = {4--5},
  year         = {2024},
  url          = {https://doi.org/10.1109/MM.2024.3373336},
  doi          = {10.1109/MM.2024.3373336},
  timestamp    = {Sat, 04 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/micro/Lee24a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tim/SunLWWP24,
  author       = {Jiangning Sun and
                  Xinfu Lu and
                  Guanghui Wei and
                  Haojiang Wan and
                  Xiaodong Pan},
  title        = {Equivalent Measurement Method for High-Field-Intensity Radiation Sensitivity
                  of Two-Wire Interconnection System},
  journal      = {{IEEE} Trans. Instrum. Meas.},
  volume       = {73},
  pages        = {1--11},
  year         = {2024},
  url          = {https://doi.org/10.1109/TIM.2023.3346491},
  doi          = {10.1109/TIM.2023.3346491},
  timestamp    = {Fri, 26 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tim/SunLWWP24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/WeerasenaM24,
  author       = {Hansika Weerasena and
                  Prabhat Mishra},
  title        = {Security of Electrical, Optical, and Wireless On-chip Interconnects:
                  {A} Survey},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {29},
  number       = {2},
  pages        = {40:1--40:41},
  year         = {2024},
  url          = {https://doi.org/10.1145/3631117},
  doi          = {10.1145/3631117},
  timestamp    = {Mon, 01 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/todaes/WeerasenaM24.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/dnb/Striegel23,
  author       = {Martin Striegel},
  title        = {Secure and User-Friendly Setup and Maintenance of Wirelessly Interconnected
                  Embedded Systems},
  school       = {Technical University of Munich, Germany},
  year         = {2023},
  url          = {https://nbn-resolving.org/urn:nbn:de:bvb:91-diss-20230119-1660414-1-6},
  urn          = {urn:nbn:de:bvb:91-diss-20230119-1660414-1-6},
  timestamp    = {Tue, 13 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/dnb/Striegel23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicetc/MengLY23,
  author       = {Xiangyu Meng and
                  Yecong Li and
                  Zhiyi Yu},
  title        = {A Low Insertion Loss Wideband Bonding-Wire Based Interconnection for
                  400 Gbps {PAM4} Transceivers},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {106},
  number       = {1},
  pages        = {14--19},
  year         = {2023},
  url          = {https://doi.org/10.1587/transele.2022ecp5011},
  doi          = {10.1587/TRANSELE.2022ECP5011},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ieicetc/MengLY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/BiradarM23,
  author       = {Mallanagouda Biradar and
                  Basavaraj Mathapathi},
  title        = {Security and Energy Aware Clustering-Based Routing in Wireless Sensor
                  Network: Hybrid Nature-Inspired Algorithm for Optimal Cluster Head
                  Selection},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {1},
  pages        = {2150039:1--2150039:24},
  year         = {2023},
  url          = {https://doi.org/10.1142/S0219265921500390},
  doi          = {10.1142/S0219265921500390},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/BiradarM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ManoharanBP23,
  author       = {Maravarman Manoharan and
                  S. Babu and
                  R. Pitchai},
  title        = {Wireless Sensor Network Security Analysis for Data and Aggregation},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {2},
  pages        = {2250002:1--2250002:28},
  year         = {2023},
  url          = {https://doi.org/10.1142/S0219265922500025},
  doi          = {10.1142/S0219265922500025},
  timestamp    = {Fri, 21 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/ManoharanBP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/PatilKP23,
  author       = {Kavita K. Patil and
                  T. Senthil Kumaran and
                  A. Y. Prasad},
  title        = {Improved Congestion Control in Wireless Sensor Networks Using Clustering
                  with Metaheuristic Approach},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {2},
  pages        = {2250005:1--2250005:19},
  year         = {2023},
  url          = {https://doi.org/10.1142/S0219265922500050},
  doi          = {10.1142/S0219265922500050},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/join/PatilKP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/SumeshM23,
  author       = {J. J. Sumesh and
                  C. P. Maheswaran},
  title        = {Energy Efficient Secure-Trust-Based Ring Cluster Routing in Wireless
                  Sensor Network},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {2},
  pages        = {2250004:1--2250004:26},
  year         = {2023},
  url          = {https://doi.org/10.1142/S0219265922500049},
  doi          = {10.1142/S0219265922500049},
  timestamp    = {Fri, 21 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/SumeshM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ThirunavukkarasuB23,
  author       = {Ramya Thirunavukkarasu and
                  Ramachandran Balasubramanian},
  title        = {An Enhanced Probabilistic-Shaped {SCMA} {NOMA} for Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {4},
  pages        = {2350003:1--2350003:13},
  year         = {2023},
  url          = {https://doi.org/10.1142/S0219265923500032},
  doi          = {10.1142/S0219265923500032},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/ThirunavukkarasuB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/YadawadJ23,
  author       = {Shreedhar Yadawad and
                  S. M. Joshi},
  title        = {Energy-Efficient Data Aggregation and Cluster-Based Routing in Wireless
                  Sensor Networks Using Tasmanian Fully Recurrent Deep Learning Network
                  with Pelican Variable Marine Predators Algorithm},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {4},
  pages        = {2350005:1--2350005:21},
  year         = {2023},
  url          = {https://doi.org/10.1142/S0219265923500056},
  doi          = {10.1142/S0219265923500056},
  timestamp    = {Thu, 29 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/YadawadJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/LiuDD23,
  author       = {Qian Liu and
                  Li Du and
                  Yuan Du},
  title        = {A 0.90-Tb/s/in 1.29-pJ/b Wireline Transceiver With Single-Ended Crosstalk
                  Cancellation Coding Scheme for High-Density Interconnects},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {58},
  number       = {8},
  pages        = {2326--2336},
  year         = {2023},
  url          = {https://doi.org/10.1109/JSSC.2023.3261125},
  doi          = {10.1109/JSSC.2023.3261125},
  timestamp    = {Sat, 05 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/LiuDD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wc/AbadalGTJSBSNWWLKZLARCDKBS23,
  author       = {Sergi Abadal and
                  Robert Guirado and
                  Hamidreza Taghvaee and
                  Akshay Jain and
                  Elana Pereira de Santana and
                  Peter Haring Bol{\'{\i}}var and
                  Mohamed Saeed and
                  Renato Negra and
                  Zhenxing Wang and
                  Kun{-}Ta Wang and
                  Max C. Lemme and
                  Joshua Klein and
                  Marina Zapater and
                  Alexandre Levisse and
                  David Atienza and
                  Davide Rossi and
                  Francesco Conti and
                  Martino Dazzi and
                  Geethan Karunaratne and
                  Irem Boybat and
                  Abu Sebastian},
  title        = {Graphene-Based Wireless Agile Interconnects for Massive Heterogeneous
                  Multi-Chip Processors},
  journal      = {{IEEE} Wirel. Commun.},
  volume       = {30},
  number       = {4},
  pages        = {162--169},
  year         = {2023},
  url          = {https://doi.org/10.1109/MWC.010.2100561},
  doi          = {10.1109/MWC.010.2100561},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wc/AbadalGTJSBSNWWLKZLARCDKBS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/newcas/RiazPHWE23,
  author       = {Rabia Fatima Riaz and
                  Florian Protze and
                  Christian Hoyer and
                  Jens Wagner and
                  Frank Ellinger},
  title        = {Design and Experimental Evaluation of 60 GHz Self-compensating Bond-wire
                  Interconnect},
  booktitle    = {21st {IEEE} Interregional {NEWCAS} Conference, {NEWCAS} 2023, Edinburgh,
                  United Kingdom, June 26-28, 2023},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/NEWCAS57931.2023.10198099},
  doi          = {10.1109/NEWCAS57931.2023.10198099},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/newcas/RiazPHWE23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2301-09738,
  author       = {Hansika Weerasena and
                  Prabhat Mishra},
  title        = {Security of Electrical, Optical and Wireless On-Chip Interconnects:
                  {A} Survey},
  journal      = {CoRR},
  volume       = {abs/2301.09738},
  year         = {2023},
  url          = {https://doi.org/10.48550/arXiv.2301.09738},
  doi          = {10.48550/ARXIV.2301.09738},
  eprinttype    = {arXiv},
  eprint       = {2301.09738},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2301-09738.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ChenthilJ22,
  author       = {T. R. Chenthil and
                  P. Jesu Jayarin},
  title        = {An Energy Aware Multi Slot Scheduling with Two-Layer Hexagonal Based
                  Integrated Aggregation Approach for Underwater Wireless Sensor Networks
                  {(UWSN)}},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {4},
  pages        = {2150027:1--2150027:28},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921500274},
  doi          = {10.1142/S0219265921500274},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/ChenthilJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/Ji22,
  author       = {Jingchan Ji},
  title        = {Secure Vertical Handoff in Mobile Wireless Network Based on Secure
                  Location Algorithm},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {3},
  pages        = {2145009:1--2145009:14},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921450092},
  doi          = {10.1142/S0219265921450092},
  timestamp    = {Mon, 08 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/Ji22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/LiuH22,
  author       = {Baojing Liu and
                  Chenye Han},
  title        = {Research on Wireless Network Virtualization Positioning Technology
                  Based on Next-Generation Agile IoT Technology},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {4},
  pages        = {2150029:1--2150029:22},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921500298},
  doi          = {10.1142/S0219265921500298},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/LiuH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/RaoLRVRP22,
  author       = {R. Chinna Rao and
                  K. Mohana Lakshmi and
                  Ch. Raja and
                  P. Bharat Siva Varma and
                  Ganga Rama Koteswara Rao and
                  Anitha Patibandla},
  title        = {Real-Time Implementation and Testing of VoIP Vocoders with Asterisk
                  {PBX} Using Wireshark Packet Analyzer},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-01},
  pages        = {2141030:1--2141030:16},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921410309},
  doi          = {10.1142/S0219265921410309},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/RaoLRVRP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/SatyanarayanaMR22,
  author       = {P. Satyanarayana and
                  T. Mahalakshmi and
                  Pamarthi Rama Koteswara Rao and
                  Adlin Sheeba and
                  Jampani Ravi and
                  J. Nageswara Rao},
  title        = {Enhancement of Energy Efficiency and Network Lifetime Using Modified
                  {MPCT} Algorithm in Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-03},
  pages        = {2144012:1--2144012:22},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921440126},
  doi          = {10.1142/S0219265921440126},
  timestamp    = {Mon, 27 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/join/SatyanarayanaMR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ShaoL22,
  author       = {Junya Shao and
                  Xin Li},
  title        = {Design of Distance Learning System for Dance Movement Based on Wireless
                  Network Communication Technology},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-05},
  pages        = {2147008:1--2147008:14},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921470083},
  doi          = {10.1142/S0219265921470083},
  timestamp    = {Mon, 19 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/join/ShaoL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ThejaswiniM22,
  author       = {N. Raja Thejaswini and
                  G. Muthupandi},
  title        = {Dynamic Noisy Measurement Aware Localization Model for Wireless Sensor
                  Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {4},
  pages        = {2150032:1--2150032:15},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921500328},
  doi          = {10.1142/S0219265921500328},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/ThejaswiniM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/WeiL22,
  author       = {Hong Wei and
                  Zhiyong Li},
  title        = {Anycast Service Grooming Algorithm of Cloud Computing Based on Wireless
                  Communication Network},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-01},
  pages        = {2141029:1--2141029:20},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921410292},
  doi          = {10.1142/S0219265921410292},
  timestamp    = {Mon, 19 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/join/WeiL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/YangJLZGB22,
  author       = {Di Yang and
                  Ming Ji and
                  Yuntong Lv and
                  Fangchu Zhao and
                  Quanfeng Geng and
                  Jyoti Bhola},
  title        = {Research on Zoning, Optimization, Stability, and Nonlinear Control
                  of Wireless Network in Power Grid Communication},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-06},
  pages        = {2148004:1--2148004:11},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921480042},
  doi          = {10.1142/S0219265921480042},
  timestamp    = {Tue, 18 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/YangJLZGB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/YuXW22,
  author       = {Qi Yu and
                  Feng Xiong and
                  Yiran Wang},
  title        = {Integration of Wireless Sensor Network and IoT for Smart Environment
                  Monitoring System},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-02},
  pages        = {2143010:1--2143010:21},
  year         = {2022},
  url          = {https://doi.org/10.1142/S0219265921430106},
  doi          = {10.1142/S0219265921430106},
  timestamp    = {Mon, 19 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/join/YuXW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/PuDWXC22,
  author       = {Chenggen Pu and
                  Xiwu Ding and
                  Ping Wang and
                  Shunji Xie and
                  Junhua Chen},
  title        = {Semantic Interconnection Scheme for Industrial Wireless Sensor Networks
                  and Industrial Internet with {OPC} {UA} Pub/Sub},
  journal      = {Sensors},
  volume       = {22},
  number       = {20},
  pages        = {7762},
  year         = {2022},
  url          = {https://doi.org/10.3390/s22207762},
  doi          = {10.3390/S22207762},
  timestamp    = {Tue, 26 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sensors/PuDWXC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/AhmedMG22,
  author       = {M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {An Asymmetric, One-To-Many Traffic-Aware mm-Wave Wireless Interconnection
                  Architecture for Multichip Systems},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {10},
  number       = {1},
  pages        = {324--338},
  year         = {2022},
  url          = {https://doi.org/10.1109/TETC.2020.3020615},
  doi          = {10.1109/TETC.2020.3020615},
  timestamp    = {Fri, 01 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tetc/AhmedMG22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/winet/SunOL22,
  author       = {Chenglong Sun and
                  Yiming Ouyang and
                  Yingchun Lu},
  title        = {DCBuf: a high-performance wireless network-on-chip architecture with
                  distributed wireless interconnects and centralized buffer sharing},
  journal      = {Wirel. Networks},
  volume       = {28},
  number       = {2},
  pages        = {505--520},
  year         = {2022},
  url          = {https://doi.org/10.1007/s11276-021-02882-x},
  doi          = {10.1007/S11276-021-02882-X},
  timestamp    = {Tue, 15 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/winet/SunOL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/Saikia21,
  author       = {Monjul Saikia},
  title        = {Delaunay Triangulation Based Key Distribution for Three-Dimensional
                  Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {21},
  number       = {1},
  pages        = {2150009:1--2150009:13},
  year         = {2021},
  url          = {https://doi.org/10.1142/S0219265921500092},
  doi          = {10.1142/S0219265921500092},
  timestamp    = {Tue, 15 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/Saikia21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/suscom/AhmedGVD21,
  author       = {M. Meraj Ahmed and
                  Amlan Ganguly and
                  Abhishek Vashist and
                  Sai Manoj Pudukotai Dinakarrao},
  title        = {AWARe-Wi: {A} jamming-aware reconfigurable wireless interconnection
                  using adversarial learning for multichip systems},
  journal      = {Sustain. Comput. Informatics Syst.},
  volume       = {29},
  number       = {Part},
  pages        = {100470},
  year         = {2021},
  url          = {https://doi.org/10.1016/j.suscom.2020.100470},
  doi          = {10.1016/J.SUSCOM.2020.100470},
  timestamp    = {Thu, 29 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/suscom/AhmedGVD21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/winet/RoychowdhuryAL21,
  author       = {Priyankar Roychowdhury and
                  Jaafar M. Alghazo and
                  Ghazanfar Latif},
  title        = {{POID:} a passive all-optical inter-rack interconnect for data-centers},
  journal      = {Wirel. Networks},
  volume       = {27},
  number       = {1},
  pages        = {781--793},
  year         = {2021},
  url          = {https://doi.org/10.1007/s11276-020-02476-z},
  doi          = {10.1007/S11276-020-02476-Z},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/winet/RoychowdhuryAL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/LiuKKLK21,
  author       = {Siqin Liu and
                  Sushanth Karmunchi and
                  Avinash Karanth and
                  Soumyasanta Laha and
                  Savas Kaya},
  title        = {WiNN: Wireless Interconnect based Neural Network Accelerator},
  booktitle    = {39th {IEEE} International Conference on Computer Design, {ICCD} 2021,
                  Storrs, CT, USA, October 24-27, 2021},
  pages        = {277--284},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ICCD53106.2021.00052},
  doi          = {10.1109/ICCD53106.2021.00052},
  timestamp    = {Tue, 28 Dec 2021 14:09:48 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/LiuKKLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iecon/ZhouLLC21,
  author       = {Minghua Zhou and
                  Fuxin Liu and
                  Kelin Lei and
                  Xuling Chen},
  title        = {A Modular Stacked Architecture with Virtual {AC} Bus for Multi-port
                  Wireless Energy Interconnection System},
  booktitle    = {{IECON} 2021 - 47th Annual Conference of the {IEEE} Industrial Electronics
                  Society, Toronto, ON, Canada, October 13-16, 2021},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/IECON48115.2021.9589238},
  doi          = {10.1109/IECON48115.2021.9589238},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iecon/ZhouLLC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/CaloBBBFTSP21,
  author       = {Giovanna Cal{\`{o}} and
                  Marina Barbiroli and
                  Gaetano Bellanca and
                  Davide Bertozzi and
                  Franco Fuschini and
                  Velio Tralli and
                  Giovanni Serafino and
                  Vincenzo Petruzzelli},
  title        = {Reconfigurable on-chip wireless interconnections through optical phased
                  arrays (Invited)},
  booktitle    = {{ACM/IEEE} International Workshop on System Level Interconnect Prediction,
                  {SLIP} 2021, Munich, Germany, November 4, 2021},
  pages        = {33--40},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/SLIP52707.2021.00014},
  doi          = {10.1109/SLIP52707.2021.00014},
  timestamp    = {Fri, 21 Jan 2022 09:18:37 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/CaloBBBFTSP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2102-05694,
  author       = {Sanaa Hamid Mohamed and
                  Osama Zwaid Alsulami and
                  Taisir E. H. El{-}Gorashi and
                  Mohammed T. Alresheedi and
                  Jaafar M. H. Elmirghani},
  title        = {Resilient Architectures for Free Space Optical Wireless Interconnection
                  Systems},
  journal      = {CoRR},
  volume       = {abs/2102.05694},
  year         = {2021},
  url          = {https://arxiv.org/abs/2102.05694},
  eprinttype    = {arXiv},
  eprint       = {2102.05694},
  timestamp    = {Thu, 18 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2102-05694.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/MahendruSP20,
  author       = {Garima Mahendru and
                  Anil K. Shukla and
                  L. M. Patnaik},
  title        = {Novel Spectrum Sensing Technique and Its Evaluation for Cognitive
                  Radio Wireless Sensor Network},
  journal      = {J. Interconnect. Networks},
  volume       = {20},
  number       = {3},
  pages        = {2050009:1--2050009:13},
  year         = {2020},
  url          = {https://doi.org/10.1142/S0219265920500097},
  doi          = {10.1142/S0219265920500097},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/MahendruSP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/SheikhiB20,
  author       = {Hemmat Sheikhi and
                  Wafa Barkhoda},
  title        = {Solving the k-Coverage and m-Connected Problem in Wireless Sensor
                  Networks through the Imperialist Competitive Algorithm},
  journal      = {J. Interconnect. Networks},
  volume       = {20},
  number       = {1},
  pages        = {2050002:1--2050002:18},
  year         = {2020},
  url          = {https://doi.org/10.1142/S0219265920500024},
  doi          = {10.1142/S0219265920500024},
  timestamp    = {Tue, 20 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/SheikhiB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/YuGY20,
  author       = {Hewei Yu and
                  Meiyuan Guo and
                  Jingxi Yu},
  title        = {A Novel Heterogeneous Wireless Network Selection Algorithm Based on
                  {INFAHP} and {IGRA}},
  journal      = {J. Interconnect. Networks},
  volume       = {20},
  number       = {3},
  pages        = {2050010:1--2050010:19},
  year         = {2020},
  url          = {https://doi.org/10.1142/S0219265920500103},
  doi          = {10.1142/S0219265920500103},
  timestamp    = {Fri, 04 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/join/YuGY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jpdc/SaxenaMMG20,
  author       = {Sagar Saxena and
                  Deekshith Shenoy Manur and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {Scalable and energy efficient wireless inter chip interconnection
                  fabrics using THz-band antennas},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {139},
  pages        = {148--160},
  year         = {2020},
  url          = {https://doi.org/10.1016/j.jpdc.2020.02.002},
  doi          = {10.1016/J.JPDC.2020.02.002},
  timestamp    = {Wed, 01 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jpdc/SaxenaMMG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/suscom/AhmedMG20,
  author       = {M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {A one-to-many traffic-oriented mm-wave wireless network-in-package
                  interconnection architecture for multichip computing systems},
  journal      = {Sustain. Comput. Informatics Syst.},
  volume       = {26},
  pages        = {100379},
  year         = {2020},
  url          = {https://doi.org/10.1016/j.suscom.2020.100379},
  doi          = {10.1016/J.SUSCOM.2020.100379},
  timestamp    = {Wed, 01 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/suscom/AhmedMG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/ChenTSPTM20,
  author       = {Liang Chen and
                  Sheldon X.{-}D. Tan and
                  Zeyu Sun and
                  Shaoyi Peng and
                  Min Tang and
                  Junfa Mao},
  title        = {Fast Analytic Electromigration Analysis for General Multisegment Interconnect
                  Wires},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {2},
  pages        = {421--432},
  year         = {2020},
  url          = {https://doi.org/10.1109/TVLSI.2019.2940197},
  doi          = {10.1109/TVLSI.2019.2940197},
  timestamp    = {Tue, 19 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/ChenTSPTM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wcl/LiuQCZS20,
  author       = {Gang Liu and
                  Wei Quan and
                  Nan Cheng and
                  Hongke Zhang and
                  Xuemin Shen},
  title        = {{VLI:} Variable-Length Identifier for Interconnecting Heterogeneous
                  IoT Networks},
  journal      = {{IEEE} Wirel. Commun. Lett.},
  volume       = {9},
  number       = {8},
  pages        = {1146--1149},
  year         = {2020},
  url          = {https://doi.org/10.1109/LWC.2020.2982641},
  doi          = {10.1109/LWC.2020.2982641},
  timestamp    = {Fri, 26 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/wcl/LiuQCZS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wpc/PackianathanA20,
  author       = {Rajeswari Packianathan and
                  Gobinath Arumugam},
  title        = {Performance Analysis of Microstriplines Interconnect Structure with
                  Novel Guard Trace as Parallel Links for High Speed Dram Interfaces},
  journal      = {Wirel. Pers. Commun.},
  volume       = {112},
  number       = {1},
  pages        = {261--271},
  year         = {2020},
  url          = {https://doi.org/10.1007/s11277-020-07025-7},
  doi          = {10.1007/S11277-020-07025-7},
  timestamp    = {Thu, 20 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wpc/PackianathanA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wpc/XiaoZYGMP20,
  author       = {Yanjun Xiao and
                  Heng Zhang and
                  Chenghao Yuan and
                  Nan Gao and
                  Zhaozong Meng and
                  Kai Peng},
  title        = {The Design of an Intelligent High-Speed Loom Industry Interconnection
                  Remote Monitoring System},
  journal      = {Wirel. Pers. Commun.},
  volume       = {113},
  number       = {4},
  pages        = {2167--2187},
  year         = {2020},
  url          = {https://doi.org/10.1007/s11277-020-07317-y},
  doi          = {10.1007/S11277-020-07317-Y},
  timestamp    = {Thu, 15 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/wpc/XiaoZYGMP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aina/MnejjaAAMPP20,
  author       = {Sirine Mnejja and
                  Yassine Aydi and
                  Mohamed Abid and
                  Salvatore Monteleone and
                  Maurizio Palesi and
                  Davide Patti},
  editor       = {Leonard Barolli and
                  Flora Amato and
                  Francesco Moscato and
                  Tomoya Enokido and
                  Makoto Takizawa},
  title        = {Implementing On-Chip Wireless Communication in Multi-stage Interconnection
                  NoCs},
  booktitle    = {Advanced Information Networking and Applications - Proceedings of
                  the 34th International Conference on Advanced Information Networking
                  and Applications, AINA-2020, Caserta, Italy, 15-17 April},
  series       = {Advances in Intelligent Systems and Computing},
  volume       = {1151},
  pages        = {533--546},
  publisher    = {Springer},
  year         = {2020},
  url          = {https://doi.org/10.1007/978-3-030-44041-1\_48},
  doi          = {10.1007/978-3-030-44041-1\_48},
  timestamp    = {Thu, 04 Apr 2024 17:08:28 +0200},
  biburl       = {https://dblp.org/rec/conf/aina/MnejjaAAMPP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apccas/LiuCH20,
  author       = {Zaiyong Liu and
                  Hai{-}Bao Chen and
                  Tianshu Hou},
  title        = {Stress Evolution Analysis of EM-Induced Void Growth for Multi-Segment
                  Interconnect Wires},
  booktitle    = {2020 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS}
                  2020, Ha Long, Vietnam, December 8-10, 2020},
  pages        = {62--65},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/APCCAS50809.2020.9301690},
  doi          = {10.1109/APCCAS50809.2020.9301690},
  timestamp    = {Wed, 27 Jan 2021 14:35:03 +0100},
  biburl       = {https://dblp.org/rec/conf/apccas/LiuCH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asianhost/AhmedVDG20,
  author       = {M. Meraj Ahmed and
                  Abhishek Vashist and
                  Sai Manoj Pudukotai Dinakarrao and
                  Amlan Ganguly},
  title        = {Architecting a Secure Wireless Interconnect for Multichip Communication:
                  An {ML} Approach},
  booktitle    = {Asian Hardware Oriented Security and Trust Symposium, AsianHOST 2020,
                  Kolkata, India, December 15-17, 2020},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/AsianHOST51057.2020.9358256},
  doi          = {10.1109/ASIANHOST51057.2020.9358256},
  timestamp    = {Thu, 04 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asianhost/AhmedVDG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/ArdalanCFKPSV20,
  author       = {Shahab Ardalan and
                  Halil Cirit and
                  Ramin Farjad{-}Rad and
                  Mark Kuemerle and
                  Ken Poulton and
                  Suresh Subramanian and
                  Bapiraju Vinnakota},
  title        = {Bunch of Wires: An Open Die-to-Die Interface},
  booktitle    = {{IEEE} Symposium on High-Performance Interconnects, {HOTI} 2020, Piscataway,
                  NJ, USA, August 19-21, 2020},
  pages        = {9--16},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HOTI51249.2020.00017},
  doi          = {10.1109/HOTI51249.2020.00017},
  timestamp    = {Wed, 23 Sep 2020 16:07:07 +0200},
  biburl       = {https://dblp.org/rec/conf/hoti/ArdalanCFKPSV20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hpcc/LiQJMN20,
  author       = {Kangkang Li and
                  Yitao Qiu and
                  Congfeng Jiang and
                  Maciej Malawski and
                  Jarek Nabrzyski},
  title        = {Improving System Utilization on Wireless {HPC} Systems with Torus
                  Interconnects},
  booktitle    = {22nd {IEEE} International Conference on High Performance Computing
                  and Communications; 18th {IEEE} International Conference on Smart
                  City; 6th {IEEE} International Conference on Data Science and Systems,
                  HPCC/SmartCity/DSS 2020, Yanuca Island, Cuvu, Fiji, December 14-16,
                  2020},
  pages        = {60--69},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/HPCC-SmartCity-DSS50907.2020.00009},
  doi          = {10.1109/HPCC-SMARTCITY-DSS50907.2020.00009},
  timestamp    = {Sun, 24 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/hpcc/LiQJMN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi-dat/LinCC20,
  author       = {Yong{-}Yu Lin and
                  Fan{-}ta Chen and
                  Wei{-}Zen Chen},
  title        = {A Millimeter-Wave Frequency Synthesizer for 60 GHz Wireless Interconnect},
  booktitle    = {2020 International Symposium on {VLSI} Design, Automation and Test,
                  {VLSI-DAT} 2020, Hsinchu, Taiwan, August 10-13, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/VLSI-DAT49148.2020.9196262},
  doi          = {10.1109/VLSI-DAT49148.2020.9196262},
  timestamp    = {Tue, 29 Sep 2020 11:35:15 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi-dat/LinCC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-2011-04107,
  author       = {Sergi Abadal and
                  Robert Guirado and
                  Hamidreza Taghvaee and
                  Akshay Jain and
                  Elana Pereira de Santana and
                  Peter Haring Bol{\'{\i}}var and
                  Mohamed Saeed Elsayed and
                  Renato Negra and
                  Zhenxing Wang and
                  Kun{-}Ta Wang and
                  Max C. Lemme and
                  Joshua Klein and
                  Marina Zapater and
                  Alexandre Levisse and
                  David Atienza and
                  Davide Rossi and
                  Francesco Conti and
                  Martino Dazzi and
                  Geethan Karunaratne and
                  Irem Boybat and
                  Abu Sebastian},
  title        = {Graphene-based Wireless Agile Interconnects for Massive Heterogeneous
                  Multi-chip Processors},
  journal      = {CoRR},
  volume       = {abs/2011.04107},
  year         = {2020},
  url          = {https://arxiv.org/abs/2011.04107},
  eprinttype    = {arXiv},
  eprint       = {2011.04107},
  timestamp    = {Thu, 17 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-2011-04107.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/cem/DiasMOB19,
  author       = {Gabriel Martins Dias and
                  C{\'{\i}}ntia Borges Margi and
                  Filipe C. P. de Oliveira and
                  Boris Bellalta},
  title        = {Cloud-Empowered, Self-Managing Wireless Sensor Networks: Interconnecting
                  Management Operations at the Application Layer},
  journal      = {{IEEE} Consumer Electron. Mag.},
  volume       = {8},
  number       = {1},
  pages        = {55--60},
  year         = {2019},
  url          = {https://doi.org/10.1109/MCE.2018.2868110},
  doi          = {10.1109/MCE.2018.2868110},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/cem/DiasMOB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/GadeRD19,
  author       = {Sri Harsha Gade and
                  Shobha Sundar Ram and
                  Sujay Deb},
  title        = {Millimeter wave wireless interconnects in deep submicron chips: Challenges
                  and opportunities},
  journal      = {Integr.},
  volume       = {64},
  pages        = {127--136},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2018.09.004},
  doi          = {10.1016/J.VLSI.2018.09.004},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/GadeRD19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/TalpurSBFKA19,
  author       = {Anum Talpur and
                  Faisal Karim Shaikh and
                  Natasha Baloch and
                  Emad A. Felemban and
                  Abdelmajid Khelil and
                  Muhammad Mahtab Alam},
  title        = {Validation of Wired and Wireless Interconnected Body Sensor Networks},
  journal      = {Sensors},
  volume       = {19},
  number       = {17},
  pages        = {3697},
  year         = {2019},
  url          = {https://doi.org/10.3390/s19173697},
  doi          = {10.3390/S19173697},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/sensors/TalpurSBFKA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/GadeADG19,
  author       = {Sri Harsha Gade and
                  M. Meraj Ahmed and
                  Sujay Deb and
                  Amlan Ganguly},
  title        = {Energy Efficient Chip-to-Chip Wireless Interconnection for Heterogeneous
                  Architectures},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {24},
  number       = {5},
  pages        = {55:1--55:27},
  year         = {2019},
  url          = {https://doi.org/10.1145/3340109},
  doi          = {10.1145/3340109},
  timestamp    = {Sat, 08 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/GadeADG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tvlsi/SunSZT19,
  author       = {Zeyu Sun and
                  Sheriff Sadiqbatcha and
                  Hengyang Zhao and
                  Sheldon X.{-}D. Tan},
  title        = {Saturation-Volume Estimation for Multisegment Copper Interconnect
                  Wires},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {7},
  pages        = {1666--1674},
  year         = {2019},
  url          = {https://doi.org/10.1109/TVLSI.2019.2901824},
  doi          = {10.1109/TVLSI.2019.2901824},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tvlsi/SunSZT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/FarjadradV19,
  author       = {Ramin Farjadrad and
                  Bapiraju Vinnakota},
  title        = {A Bunch of Wires (BoW) Interface for Inter-Chiplet Communication},
  booktitle    = {2019 {IEEE} Symposium on High-Performance Interconnects, {HOTI} 2019,
                  Santa Clara, CA, USA, August 14-16, 2019},
  pages        = {27--273},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/HOTI.2019.00020},
  doi          = {10.1109/HOTI.2019.00020},
  timestamp    = {Mon, 04 May 2020 17:39:37 +0200},
  biburl       = {https://dblp.org/rec/conf/hoti/FarjadradV19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/Taskin19,
  author       = {Baris Taskin},
  editor       = {Kun{-}Chih Jimmy Chen and
                  Sergi Abadal and
                  Salvatore Monteleone},
  title        = {On-chip wireless interconnect paradigm},
  booktitle    = {Proceedings of the 12th International Workshop on Network on Chip
                  Architectures, NoCArc@MICRO 2019, Columbus, OH, USA, October 13, 2019},
  pages        = {1:1},
  publisher    = {{ACM}},
  year         = {2019},
  url          = {https://doi.org/10.1145/3356045.3365384},
  doi          = {10.1145/3356045.3365384},
  timestamp    = {Sun, 10 Nov 2019 16:12:18 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/Taskin19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/itl/Sanchez-AgueroN18,
  author       = {Victor Sanchez{-}Ag{\"{u}}ero and
                  Borja Nogales and
                  Francisco Valera and
                  Iv{\'{a}}n Vidal},
  title        = {Investigating the deployability of VoIP services over wireless interconnected
                  micro aerial vehicles},
  journal      = {Internet Technol. Lett.},
  volume       = {1},
  number       = {5},
  year         = {2018},
  url          = {https://doi.org/10.1002/itl2.40},
  doi          = {10.1002/ITL2.40},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/itl/Sanchez-AgueroN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/SunDSCT18,
  author       = {Zeyu Sun and
                  Ertugrul Demircan and
                  Mehul D. Shroff and
                  Chase Cook and
                  Sheldon X.{-}D. Tan},
  title        = {Fast Electromigration Immortality Analysis for Multisegment Copper
                  Interconnect Wires},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {12},
  pages        = {3137--3150},
  year         = {2018},
  url          = {https://doi.org/10.1109/TCAD.2018.2801221},
  doi          = {10.1109/TCAD.2018.2801221},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tcad/SunDSCT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tetc/KarkarMDATY18,
  author       = {Ammar Karkar and
                  Terrence S. T. Mak and
                  Nizar Dahir and
                  Ra'ed Al{-}Dujaily and
                  Kin{-}Fai Tong and
                  Alex Yakovlev},
  title        = {Network-on-Chip Multicast Architectures Using Hybrid Wire and Surface-Wave
                  Interconnects},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {6},
  number       = {3},
  pages        = {357--369},
  year         = {2018},
  url          = {https://doi.org/10.1109/TETC.2016.2551043},
  doi          = {10.1109/TETC.2016.2551043},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tetc/KarkarMDATY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/SinhaGSD18,
  author       = {Mitali Sinha and
                  Sri Harsha Gade and
                  Wazir Singh and
                  Sujay Deb},
  title        = {Data-flow Aware {CNN} Accelerator with Hybrid Wireless Interconnection},
  booktitle    = {29th {IEEE} International Conference on Application-specific Systems,
                  Architectures and Processors, {ASAP} 2018, Milano, Italy, July 10-12,
                  2018},
  pages        = {1--4},
  publisher    = {{IEEE} Computer Society},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASAP.2018.8445126},
  doi          = {10.1109/ASAP.2018.8445126},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/SinhaGSD18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ZhouSSZT18,
  author       = {Han Zhou and
                  Yijing Sun and
                  Zeyu Sun and
                  Hengyang Zhao and
                  Sheldon X.{-}D. Tan},
  editor       = {Youngsoo Shin},
  title        = {Electromigration-lifetime constrained power grid optimization considering
                  multi-segment interconnect wires},
  booktitle    = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC}
                  2018, Jeju, Korea (South), January 22-25, 2018},
  pages        = {399--404},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ASPDAC.2018.8297356},
  doi          = {10.1109/ASPDAC.2018.8297356},
  timestamp    = {Wed, 28 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/aspdac/ZhouSSZT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ShindeSDAIG18,
  author       = {Tanmay Shinde and
                  Suryanarayanan Subramaniam and
                  Padmanabh Deshmukh and
                  M. Meraj Ahmed and
                  Mark A. Indovina and
                  Amlan Ganguly},
  editor       = {Deming Chen and
                  Houman Homayoun and
                  Baris Taskin},
  title        = {A 0.24pJ/bit, 16Gbps {OOK} Transmitter Circuit in 45-nm {CMOS} for
                  Inter and Intra-Chip Wireless Interconnects},
  booktitle    = {Proceedings of the 2018 on Great Lakes Symposium on VLSI, {GLSVLSI}
                  2018, Chicago, IL, USA, May 23-25, 2018},
  pages        = {69--74},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3194554.3194575},
  doi          = {10.1145/3194554.3194575},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ShindeSDAIG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/green/AhmedMG18,
  author       = {M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {An Asymmetric, Energy Efficient One-to-Many Traffic-Aware Wireless
                  Network-in-Package Interconnection Architecture for Multichip Systems},
  booktitle    = {Ninth International Green and Sustainable Computing Conference, {IGSC}
                  2018, Pittsburgh, PA, USA, October 22-24, 2018},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/IGCC.2018.8752153},
  doi          = {10.1109/IGCC.2018.8752153},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/green/AhmedMG18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanocom/DehkordiBT18,
  author       = {Jinous Shafiei Dehkordi and
                  Gaetano Bellanca and
                  Velio Tralli},
  editor       = {J{\'{o}}n Atli Benediktsson and
                  Falko Dressler},
  title        = {On-chip optical wireless interconnections with plasmonic nanoantennas:
                  cross-link interference analysis},
  booktitle    = {Proceedings of the 5th {ACM} International Conference on Nanoscale
                  Computing and Communication, {NANOCOM} 2018, Reykjavik, Iceland, September
                  05-07, 2018},
  pages        = {36:1--36:2},
  publisher    = {{ACM}},
  year         = {2018},
  url          = {https://doi.org/10.1145/3233188.3233221},
  doi          = {10.1145/3233188.3233221},
  timestamp    = {Mon, 28 Jun 2021 15:15:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nanocom/DehkordiBT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/VashistGI18,
  author       = {Abhishek Vashist and
                  Amlan Ganguly and
                  Mark A. Indovina},
  editor       = {Zhonghai Lu and
                  Sriram R. Vangal and
                  Jiang Xu and
                  Paul Bogdan},
  title        = {Testing WiNoC-Enabled Multicore Chips with {BIST} for Wireless Interconnects},
  booktitle    = {Twelfth {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS}
                  2018, Torino, Italy, October 4-5, 2018},
  pages        = {4:1--4:8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/NOCS.2018.8512156},
  doi          = {10.1109/NOCS.2018.8512156},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/VashistGI18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pimrc/DehkordiT18,
  author       = {Jinous Shafiei Dehkordi and
                  Velio Tralli},
  title        = {Interference Analysis for Optical Wireless Interconnections},
  booktitle    = {29th {IEEE} Annual International Symposium on Personal, Indoor and
                  Mobile Radio Communications, {PIMRC} 2018, Bologna, Italy, September
                  9-12, 2018},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/PIMRC.2018.8580941},
  doi          = {10.1109/PIMRC.2018.8580941},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/pimrc/DehkordiT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/ShamimMNKGV17,
  author       = {Md Shahriar Shamim and
                  Naseef Mansoor and
                  Rounak Singh Narde and
                  Vignesh Kothandapani and
                  Amlan Ganguly and
                  Jayanti Venkataraman},
  title        = {A Wireless Interconnection Framework for Seamless Inter and Intra-Chip
                  Communication in Multichip Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {66},
  number       = {3},
  pages        = {389--402},
  year         = {2017},
  url          = {https://doi.org/10.1109/TC.2016.2605093},
  doi          = {10.1109/TC.2016.2605093},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/tc/ShamimMNKGV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wc/DazaM17,
  author       = {Lennyn Daza and
                  Satyajayant Misra},
  title        = {Beyond the internet of things: everything interconnected: technology,
                  communications and computing [book review]},
  journal      = {{IEEE} Wirel. Commun.},
  volume       = {24},
  number       = {6},
  pages        = {10--11},
  year         = {2017},
  url          = {https://doi.org/10.1109/MWC.2017.8246819},
  doi          = {10.1109/MWC.2017.8246819},
  timestamp    = {Tue, 24 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/wc/DazaM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wicomm/FamorijiYKKFAL17,
  author       = {Oluwole John Famoriji and
                  Xu Yan and
                  Mehdi Khan and
                  Rao Kashif and
                  Akinwale Fadamiro and
                  Md Sadek Ali and
                  Fujiang Lin},
  title        = {Wireless Interconnect in Multilayer Chip-Area-Networks for Future
                  Multimaterial High-Speed Systems Design},
  journal      = {Wirel. Commun. Mob. Comput.},
  volume       = {2017},
  year         = {2017},
  url          = {https://doi.org/10.1155/2017/6083626},
  doi          = {10.1155/2017/6083626},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wicomm/FamorijiYKKFAL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wpc/ArputhamaryM17,
  author       = {I. Annammal Arputhamary and
                  Helda Mercy},
  title        = {An Analytical Discourse on Strong Edge Coloring for Interference-free
                  Channel Assignment in Interconnection Networks},
  journal      = {Wirel. Pers. Commun.},
  volume       = {94},
  number       = {4},
  pages        = {2081--2094},
  year         = {2017},
  url          = {https://doi.org/10.1007/s11277-016-3362-1},
  doi          = {10.1007/S11277-016-3362-1},
  timestamp    = {Sun, 17 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/wpc/ArputhamaryM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isce/ChuangCWC17a,
  author       = {Yue{-}Ru Chuang and
                  Chun{-}Wei Chuang and
                  Ta{-}Wei Wang and
                  Hung{-}Hsuan Chen},
  title        = {Constructing wireless sensor network interconnection system with three-dimensional
                  self-organization mechanism},
  booktitle    = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2017,
                  Kuala Lumpur, Malaysia, November 14-15, 2017},
  pages        = {65--66},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISCE.2017.8355549},
  doi          = {10.1109/ISCE.2017.8355549},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/isce/ChuangCWC17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/GangulyMSANVV17,
  author       = {Amlan Ganguly and
                  Naseef Mansoor and
                  Md Shahriar Shamim and
                  M. Meraj Ahmed and
                  Rounak Singh Narde and
                  Abhishek Vashist and
                  Jayanti Venkataraman},
  title        = {Intra-chip Wireless Interconnect: The Road Ahead},
  booktitle    = {Proceedings of the 10th International Workshop on Network on Chip
                  Architectures, NoCArc@MICRO 2017, Cambridge, MA, USA, October 14-18,
                  2017},
  pages        = {3:1--3:6},
  publisher    = {{ACM}},
  year         = {2017},
  url          = {https://doi.org/10.1145/3139540.3139548},
  doi          = {10.1145/3139540.3139548},
  timestamp    = {Tue, 06 Nov 2018 16:58:27 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/GangulyMSANVV17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ofc/MakinoSSFS17,
  author       = {Shuntaro Makino and
                  Masahiro Suga and
                  Takanori Sato and
                  Takeshi Fujisawa and
                  Kunimasa Saitoh},
  title        = {Ultimately low-loss and compact Si wire 90{\textdegree} waveguide
                  bend composed of clothoid and normal curves for dense optical interconnect
                  PICs},
  booktitle    = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017,
                  Los Angeles, CA, USA, March 19-23, 2017},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7937043},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ofc/MakinoSSFS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/ShamimAMG17,
  author       = {Md Shahriar Shamim and
                  M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  editor       = {Massimo Alioto and
                  Hai Helen Li and
                  J{\"{u}}rgen Becker and
                  Ulf Schlichtmann and
                  Ramalingam Sridhar},
  title        = {Energy-efficient wireless interconnection framework for multichip
                  systems with in-package memory stacks},
  booktitle    = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017,
                  Munich, Germany, September 5-8, 2017},
  pages        = {357--362},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SOCC.2017.8226077},
  doi          = {10.1109/SOCC.2017.8226077},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/ShamimAMG17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/SubramaniamSDSI17,
  author       = {Suryanarayanan Subramaniam and
                  Tanmay Shinde and
                  Padmanabh Deshmukh and
                  Md Shahriar Shamim and
                  Mark A. Indovina and
                  Amlan Ganguly},
  editor       = {Massimo Alioto and
                  Hai Helen Li and
                  J{\"{u}}rgen Becker and
                  Ulf Schlichtmann and
                  Ramalingam Sridhar},
  title        = {A 0.36pJ/bit, 17Gbps {OOK} receiver in 45-nm {CMOS} for inter and
                  intra-chip wireless interconnects},
  booktitle    = {30th {IEEE} International System-on-Chip Conference, {SOCC} 2017,
                  Munich, Germany, September 5-8, 2017},
  pages        = {132--137},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/SOCC.2017.8226023},
  doi          = {10.1109/SOCC.2017.8226023},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/socc/SubramaniamSDSI17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/abs-1709-07529,
  author       = {Md Shahriar Shamim and
                  M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {Energy-Efficient Wireless Interconnection Framework for Multichip
                  Systems with In-package Memory Stacks},
  journal      = {CoRR},
  volume       = {abs/1709.07529},
  year         = {2017},
  url          = {http://arxiv.org/abs/1709.07529},
  eprinttype    = {arXiv},
  eprint       = {1709.07529},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/abs-1709-07529.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mam/ChtourouMAPAM16,
  author       = {Sonda Chtourou and
                  Zied Marrakchi and
                  Emna Amouri and
                  Vinod Pangracious and
                  Mohamed Abid and
                  Habib Mehrez},
  title        = {Improvement of cluster-based Mesh {FPGA} architecture using novel
                  hierarchical interconnect topology and long routing wires},
  journal      = {Microprocess. Microsystems},
  volume       = {40},
  pages        = {16--26},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.micpro.2015.11.011},
  doi          = {10.1016/J.MICPRO.2015.11.011},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mam/ChtourouMAPAM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/SikderKRDMK16,
  author       = {Md. Ashif I. Sikder and
                  Avinash Kodi and
                  William Rayess and
                  Dominic DiTomaso and
                  David W. Matolak and
                  Savas Kaya},
  title        = {Exploring Wireless Technology for Off-Chip Memory Access},
  booktitle    = {24th {IEEE} Annual Symposium on High-Performance Interconnects, {HOTI}
                  2016, Santa Clara, CA, USA, August 24-26, 2016},
  pages        = {92--99},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/HOTI.2016.026},
  doi          = {10.1109/HOTI.2016.026},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/SikderKRDMK16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nanocom/LiuLLFYC16,
  author       = {Zichuan Liu and
                  Yuan Liang and
                  Nan Li and
                  Guangyin Feng and
                  Hao Yu and
                  Shaojie Chen},
  editor       = {Wolfgang H. Gerstacker and
                  Yevgeni Koucheryavy and
                  Giacomo Morabito},
  title        = {An Energy-efficient Adaptive Sub-THz Wireless Interconnect with MIMO-Beamforming
                  between Cores and DRAMs},
  booktitle    = {Proceedings of the 3rd {ACM} International Conference on Nanoscale
                  Computing and Communication, {NANOCOM} 2016, New York, NY, USA, September
                  28-30, 2016},
  pages        = {26:1--26:6},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2967446.2967454},
  doi          = {10.1145/2967446.2967454},
  timestamp    = {Sun, 13 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nanocom/LiuLLFYC16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ofc/JeongSTSTM16,
  author       = {Seok{-}Hwan Jeong and
                  Yohei Sobu and
                  Shinsuke Tanaka and
                  Takasi Simoyama and
                  Yu Tanaka and
                  Ken Morito},
  title        = {{WDM} interconnect targeted Si-wire optical demultiplexers for large
                  manufacturing tolerance, low voltage tunability and polarization diversified
                  operability},
  booktitle    = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016,
                  Anaheim, CA, USA, March 20-24, 2016},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537539},
  timestamp    = {Wed, 11 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/ofc/JeongSTSTM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sas2/SinghanatHM16,
  author       = {Krongboon Singhanat and
                  Nick R. Harris and
                  Geoff V. Merrett},
  title        = {Experimental validation of opportunistic direct interconnection between
                  different Wireless Sensor Networks},
  booktitle    = {{IEEE} Sensors Applications Symposium, {SAS} 2016, Catania, Italy,
                  April 20-22, 2016},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016},
  url          = {https://doi.org/10.1109/SAS.2016.7479814},
  doi          = {10.1109/SAS.2016.7479814},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/sas2/SinghanatHM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/ShreedharD16,
  author       = {Tanya Shreedhar and
                  Sujay Deb},
  title        = {Hierarchical Cluster Based NoC Design Using Wireless Interconnects
                  for Coherence Support},
  booktitle    = {29th International Conference on {VLSI} Design and 15th International
                  Conference on Embedded Systems, {VLSID} 2016, Kolkata, India, January
                  4-8, 2016},
  pages        = {63--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2016},
  url          = {https://doi.org/10.1109/VLSID.2016.54},
  doi          = {10.1109/VLSID.2016.54},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/ShreedharD16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/ethos/Jiang15a,
  author       = {Teng Jiang},
  title        = {Opportunistic direct interconnection and cooperation between co-located
                  wireless sensor networks},
  school       = {University of Southampton, {UK}},
  year         = {2015},
  url          = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.813938},
  timestamp    = {Wed, 10 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/ethos/Jiang15a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/WuDSHLISOM15,
  author       = {Rui Wu and
                  Wei Deng and
                  Shinji Sato and
                  Takuichi Hirano and
                  Ning Li and
                  Takeshi Inoue and
                  Hitoshi Sakane and
                  Kenichi Okada and
                  Akira Matsuzawa},
  title        = {A 60-GHz {CMOS} Transmitter with Gain-Enhanced On-Chip Antenna for
                  Short-Range Wireless Interconnections},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {98-C},
  number       = {4},
  pages        = {304--314},
  year         = {2015},
  url          = {https://doi.org/10.1587/transele.E98.C.304},
  doi          = {10.1587/TRANSELE.E98.C.304},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/WuDSHLISOM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jocnet/RofoeeZ0ATPNS15,
  author       = {Bijan Rahimzadeh Rofoee and
                  Georgios Zervas and
                  Yan Yan and
                  Markos P. Anastasopoulos and
                  Anna Tzanakaki and
                  Shuping Peng and
                  Reza Nejabati and
                  Dimitra Simeonidou},
  title        = {Hardware Virtualized Flexible Network for Wireless Data-Center Optical
                  Interconnects [Invited]},
  journal      = {{JOCN}},
  volume       = {7},
  number       = {3},
  pages        = {A526--A536},
  year         = {2015},
  url          = {https://doi.org/10.1364/jocn.7.00a526},
  doi          = {10.1364/JOCN.7.00A526},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jocnet/RofoeeZ0ATPNS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/SongL15,
  author       = {Xiao{-}ou Song and
                  Zong{-}Qiang Li},
  title        = {A Green Geographic Routing in Wireless Sensor Network},
  journal      = {J. Interconnect. Networks},
  volume       = {15},
  number       = {3-4},
  pages        = {1540003:1--1540003:14},
  year         = {2015},
  url          = {https://doi.org/10.1142/S0219265915400034},
  doi          = {10.1142/S0219265915400034},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/SongL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/WangWW15,
  author       = {Fenghe Wang and
                  Xu An Wang and
                  Chunxiao Wang},
  title        = {Lattice-based Dynamical and Anonymous Broadcast Encryption Scheme
                  for Wireless Ad Hoc Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {15},
  number       = {3-4},
  pages        = {1540005:1--1540005:14},
  year         = {2015},
  url          = {https://doi.org/10.1142/S0219265915400058},
  doi          = {10.1142/S0219265915400058},
  timestamp    = {Sun, 22 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/WangWW15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/MirgkizoudiLCR15,
  author       = {Maria Mirgkizoudi and
                  Changqing Liu and
                  Paul P. Conway and
                  Steve Riches},
  title        = {Mechanical and electrical characterisation of Au wire interconnects
                  in electronic packages under the combined vibration and thermal testing
                  conditions},
  journal      = {Microelectron. Reliab.},
  volume       = {55},
  number       = {6},
  pages        = {952--960},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.microrel.2015.02.023},
  doi          = {10.1016/J.MICROREL.2015.02.023},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/MirgkizoudiLCR15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/SanfinsRRBCB15,
  author       = {William Sanfins and
                  Damien Risaletto and
                  Fr{\'{e}}d{\'{e}}ric Richardeau and
                  G. Blondel and
                  M. Chemin and
                  Philippe Baudesson},
  title        = {Preliminary failure-mode characterization of emerging direct-lead-bonding
                  power module. Comparison with standard wire-bonding interconnection},
  journal      = {Microelectron. Reliab.},
  volume       = {55},
  number       = {9-10},
  pages        = {1956--1960},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.microrel.2015.06.006},
  doi          = {10.1016/J.MICROREL.2015.06.006},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mr/SanfinsRRBCB15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sensors/LudoviciC15,
  author       = {Alessandro Ludovici and
                  Anna Calveras},
  title        = {A Proxy Design to Leverage the Interconnection of CoAP Wireless Sensor
                  Networks with Web Applications},
  journal      = {Sensors},
  volume       = {15},
  number       = {1},
  pages        = {1217--1244},
  year         = {2015},
  url          = {https://doi.org/10.3390/s150101217},
  doi          = {10.3390/S150101217},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sensors/LudoviciC15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/LahaKMRDK15,
  author       = {Soumyasanta Laha and
                  Savas Kaya and
                  David W. Matolak and
                  William Rayess and
                  Dominic DiTomaso and
                  Avinash Karanth Kodi},
  title        = {A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and
                  Chip-to-Chip Interconnects},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {2},
  pages        = {186--198},
  year         = {2015},
  url          = {https://doi.org/10.1109/TCAD.2014.2379640},
  doi          = {10.1109/TCAD.2014.2379640},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/LahaKMRDK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asmta/GelenbeM15,
  author       = {Erol Gelenbe and
                  Andrea Marin},
  editor       = {Marco Gribaudo and
                  Daniele Manini and
                  Anne Remke},
  title        = {Interconnected Wireless Sensors with Energy Harvesting},
  booktitle    = {Analytical and Stochastic Modelling Techniques and Applications -
                  22nd International Conference, {ASMTA} 2015, Albena, Bulgaria, May
                  26-29, 2015. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {9081},
  pages        = {87--99},
  publisher    = {Springer},
  year         = {2015},
  url          = {https://doi.org/10.1007/978-3-319-18579-8\_7},
  doi          = {10.1007/978-3-319-18579-8\_7},
  timestamp    = {Sun, 25 Oct 2020 22:45:32 +0100},
  biburl       = {https://dblp.org/rec/conf/asmta/GelenbeM15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/ChenD15,
  author       = {Jenhui Chen and
                  Peng Dai},
  title        = {Multicast Transmission with Energy-Proportional Power-Gating Scheme
                  for Wireless Interconnects NoC},
  booktitle    = {2015 {IEEE} Globecom Workshops, San Diego, CA, USA, December 6-10,
                  2015},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/GLOCOMW.2015.7413962},
  doi          = {10.1109/GLOCOMW.2015.7413962},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/globecom/ChenD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/SikderKKKL15,
  author       = {Md. Ashif I. Sikder and
                  Avinash Karanth Kodi and
                  Matthew Kennedy and
                  Savas Kaya and
                  Ahmed Louri},
  title        = {{OWN:} Optical and Wireless Network-on-Chip for Kilo-core Architectures},
  booktitle    = {23rd {IEEE} Annual Symposium on High-Performance Interconnects, {HOTI}
                  2015, Santa Clara, CA, USA, August 26-28, 2015},
  pages        = {44--51},
  publisher    = {{IEEE} Computer Society},
  year         = {2015},
  url          = {https://doi.org/10.1109/HOTI.2015.14},
  doi          = {10.1109/HOTI.2015.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/SikderKKKL15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/GadeD15,
  author       = {Sri Harsha Gade and
                  Sujay Deb},
  editor       = {Andr{\'{e}} Ivanov and
                  Diana Marculescu and
                  Partha Pratim Pande and
                  Jos{\'{e}} Flich and
                  Karthik Pattabiraman},
  title        = {Achievable Performance Enhancements with mm-Wave Wireless Interconnects
                  in NoC},
  booktitle    = {Proceedings of the 9th International Symposium on Networks-on-Chip,
                  {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015},
  pages        = {29:1--29:2},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2786572.2786584},
  doi          = {10.1145/2786572.2786584},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/GadeD15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/ShamimMG15,
  author       = {Md Shahriar Shamim and
                  Jagan Muralidharan and
                  Amlan Ganguly},
  editor       = {Andr{\'{e}} Ivanov and
                  Diana Marculescu and
                  Partha Pratim Pande and
                  Jos{\'{e}} Flich and
                  Karthik Pattabiraman},
  title        = {An Interconnection Architecture for Seamless Inter and Intra-Chip
                  Communication Using Wireless Links},
  booktitle    = {Proceedings of the 9th International Symposium on Networks-on-Chip,
                  {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015},
  pages        = {2:1--2:8},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2786572.2786581},
  doi          = {10.1145/2786572.2786581},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/nocs/ShamimMG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/notere/HormatiBKG15,
  author       = {Mohammadmajid Hormati and
                  Fatna Belqasmi and
                  Ferhat Khendek and
                  Roch H. Glitho},
  title        = {Overlay interconnection for end-user applications and wireless sensor
                  networks in MANETs},
  booktitle    = {International Conference on Protocol Engineering, {ICPE} 2015, and
                  International Conference on New Technologies of Distributed Systems,
                  {NTDS} 2015 {[CFIP} (Colloque francophone sur l'ing{\'{e}}nierie
                  des protocoles) / {NOTERE} (Nouvelles Technologies de la R{\'{e}}partition)],
                  Paris, France, July 22-24, 2015},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/NOTERE.2015.7293484},
  doi          = {10.1109/NOTERE.2015.7293484},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/notere/HormatiBKG15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sas2/SinghanatJMH15,
  author       = {Krongboon Singhanat and
                  Teng Jiang and
                  Geoff V. Merrett and
                  Nick R. Harris},
  title        = {Empirical evaluation of {OI-MAC:} Direct interconnection between wireless
                  sensor networks for collaborative monitoring},
  booktitle    = {{IEEE} Sensors Applications Symposium, {SAS} 2015, Zadar, Croatia,
                  April 13-15, 2015},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/SAS.2015.7133594},
  doi          = {10.1109/SAS.2015.7133594},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/sas2/SinghanatJMH15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sensys/LeeJRCK15,
  author       = {Changwon Lee and
                  Hoon Jeong and
                  Jae Hong Ryu and
                  Byeong{-}cheol Choi and
                  JeongGil Ko},
  editor       = {Junehwa Song and
                  Tarek F. Abdelzaher and
                  Cecilia Mascolo},
  title        = {Demo: Bringing Down Wires in Vehicles: Interconnecting ECUs using
                  Wireless Connectivity},
  booktitle    = {Proceedings of the 13th {ACM} Conference on Embedded Networked Sensor
                  Systems, SenSys 2015, Seoul, South Korea, November 1-4, 2015},
  pages        = {465--466},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2809695.2817856},
  doi          = {10.1145/2809695.2817856},
  timestamp    = {Tue, 06 Nov 2018 16:57:58 +0100},
  biburl       = {https://dblp.org/rec/conf/sensys/LeeJRCK15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/sensys/SuttonZFLGGFBT15a,
  author       = {Felix Sutton and
                  Marco Zimmerling and
                  Reto Da Forno and
                  Roman Lim and
                  Tonio Gsell and
                  Georgia Giannopoulou and
                  Federico Ferrari and
                  Jan Beutel and
                  Lothar Thiele},
  editor       = {Junehwa Song and
                  Tarek F. Abdelzaher and
                  Cecilia Mascolo},
  title        = {Demo: Building Reliable Wireless Embedded Platforms using the Bolt
                  Processor Interconnect},
  booktitle    = {Proceedings of the 13th {ACM} Conference on Embedded Networked Sensor
                  Systems, SenSys 2015, Seoul, South Korea, November 1-4, 2015},
  pages        = {461--462},
  publisher    = {{ACM}},
  year         = {2015},
  url          = {https://doi.org/10.1145/2809695.2817854},
  doi          = {10.1145/2809695.2817854},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/sensys/SuttonZFLGGFBT15a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/corr/KhonsariSDS15,
  author       = {Ahmad Khonsari and
                  Seyed Pooya Shariatpanahi and
                  Abolfazl Diyanat and
                  Hossein Shafiei},
  title        = {On the Feasibility of Wireless Interconnects for High-throughput Data
                  Centers},
  journal      = {CoRR},
  volume       = {abs/1506.03551},
  year         = {2015},
  url          = {http://arxiv.org/abs/1506.03551},
  eprinttype    = {arXiv},
  eprint       = {1506.03551},
  timestamp    = {Mon, 13 Aug 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/corr/KhonsariSDS15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/LuLJ14,
  author       = {Julia Hsin{-}Lin Lu and
                  Wing{-}Fai Loke and
                  Byunghoo Jung},
  title        = {Millimeter-Wave Wireless Interconnect for 3-D {SIC} Testing},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {6},
  pages        = {29--37},
  year         = {2014},
  url          = {https://doi.org/10.1109/MDAT.2014.2343191},
  doi          = {10.1109/MDAT.2014.2343191},
  timestamp    = {Fri, 13 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/dt/LuLJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ejwcn/VodopivecHBK14,
  author       = {Samo Vodopivec and
                  Melita Hajdinjak and
                  Janez Bester and
                  Andrej Kos},
  title        = {Vehicle interconnection metric and clustering protocol for improved
                  connectivity in vehicular ad hoc networks},
  journal      = {{EURASIP} J. Wirel. Commun. Netw.},
  volume       = {2014},
  pages        = {170},
  year         = {2014},
  url          = {https://doi.org/10.1186/1687-1499-2014-170},
  doi          = {10.1186/1687-1499-2014-170},
  timestamp    = {Thu, 27 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ejwcn/VodopivecHBK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/MavinkurveGORF14,
  author       = {Amar Mavinkurve and
                  Leon Goumans and
                  G. M. O'Halloran and
                  Rene T. H. Rongen and
                  Mark{-}Luke Farrugia},
  title        = {Copper wire interconnect reliability evaluation using in-situ High
                  Temperature Storage Life {(HTSL)} tests},
  journal      = {Microelectron. Reliab.},
  volume       = {54},
  number       = {9-10},
  pages        = {1661--1665},
  year         = {2014},
  url          = {https://doi.org/10.1016/j.microrel.2014.07.026},
  doi          = {10.1016/J.MICROREL.2014.07.026},
  timestamp    = {Wed, 18 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mr/MavinkurveGORF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iwqos/ChenHJ14,
  author       = {Hanhua Chen and
                  Qiong Hu and
                  Hai Jin},
  title        = {Incremental design of scalable wireless interconnection structure
                  for CMPs},
  booktitle    = {{IEEE} 22nd International Symposium of Quality of Service, IWQoS 2014,
                  Hong Kong, China, May 26-27, 2014},
  pages        = {296--301},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/IWQoS.2014.6914331},
  doi          = {10.1109/IWQOS.2014.6914331},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/iwqos/ChenHJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nocs/MarculescuPHM14,
  author       = {Radu Marculescu and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Hiroki Matsutani},
  editor       = {Davide Bertozzi and
                  Luca Benini and
                  Sudhakar Yalamanchili and
                  J{\"{o}}rg Henkel},
  title        = {Introduction to the special session on "Interconnect enhances architecture:
                  Evolution of wireless NoC from planar to 3D"},
  booktitle    = {Eighth {IEEE/ACM} International Symposium on Networks-on-Chip, NoCS
                  2014, Ferrara, Italy, September 17-19, 2014},
  pages        = {174--175},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/NOCS.2014.7008780},
  doi          = {10.1109/NOCS.2014.7008780},
  timestamp    = {Wed, 16 Oct 2019 14:14:48 +0200},
  biburl       = {https://dblp.org/rec/conf/nocs/MarculescuPHM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/NojehPI14,
  author       = {Alireza Nojeh and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov},
  editor       = {Kaijian Shi and
                  Thomas B{\"{u}}chner and
                  Danella Zhao and
                  Ramalingam Sridhar},
  title        = {{T2B:} Carbon nanotubes and opportunities for wireless on-chip interconnect},
  booktitle    = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014,
                  Las Vegas, NV, USA, September 2-5, 2014},
  pages        = {xxxix--xli},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/SOCC.2014.6948884},
  doi          = {10.1109/SOCC.2014.6948884},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/NojehPI14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/PandeNI14,
  author       = {Partha Pratim Pande and
                  Alireza Nojeh and
                  Andr{\'{e}} Ivanov},
  editor       = {Kaijian Shi and
                  Thomas B{\"{u}}chner and
                  Danella Zhao and
                  Ramalingam Sridhar},
  title        = {{T1B:} Wireless NoC as interconnection backbone for multicore chips:
                  Promises and challenges},
  booktitle    = {27th {IEEE} International System-on-Chip Conference, {SOCC} 2014,
                  Las Vegas, NV, USA, September 2-5, 2014},
  pages        = {xxxvii--xxxviii},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/SOCC.2014.6948883},
  doi          = {10.1109/SOCC.2014.6948883},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/PandeNI14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/basesearch/Wang13d,
  author       = {Ke Wang},
  title        = {Optical wireless technologies for high-speed indoor communications
                  and interconnects},
  school       = {University of Melbourne, Parkville, Victoria, Australia},
  year         = {2013},
  url          = {https://hdl.handle.net/11343/38706},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/basesearch/Wang13d.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/ethos/KamaliSarvestani13,
  author       = {Amir Mansoor Kamali Sarvestani},
  title        = {Evaluating techniques for wireless interconnected 3D processor arrays},
  school       = {University of York, {UK}},
  year         = {2013},
  url          = {http://etheses.whiterose.ac.uk/8395/},
  timestamp    = {Tue, 05 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/ethos/KamaliSarvestani13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/iet-cdt/KarkarTTAMYX13,
  author       = {Ammar Karkar and
                  Janice E. Turner and
                  Kenneth Tong and
                  Ra'ed Al{-}Dujaily and
                  Terrence S. T. Mak and
                  Alex Yakovlev and
                  Fei Xia},
  title        = {Hybrid wire-surface wave interconnects for next-generation networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {294--303},
  year         = {2013},
  url          = {https://doi.org/10.1049/iet-cdt.2013.0030},
  doi          = {10.1049/IET-CDT.2013.0030},
  timestamp    = {Tue, 14 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/iet-cdt/KarkarTTAMYX13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ChenWLHHS13,
  author       = {Tseng{-}Yi Chen and
                  Hsin{-}Wen Wei and
                  Che{-}Rung Lee and
                  Fu{-}Nan Huang and
                  Tsan{-}sheng Hsu and
                  Wei{-}Kuan Shih},
  title        = {Energy Efficient Geographic Routing Algorithms in Wireless Sensor
                  Network},
  journal      = {J. Interconnect. Networks},
  volume       = {14},
  number       = {1},
  year         = {2013},
  url          = {https://doi.org/10.1142/S0219265913500011},
  doi          = {10.1142/S0219265913500011},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/join/ChenWLHHS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/FurqanH13,
  author       = {Fatima Furqan and
                  Doan B. Hoang},
  title        = {Wireless Fair Intelligent Congestion Control - {A} QoS Performance
                  Evaluation},
  journal      = {J. Interconnect. Networks},
  volume       = {14},
  number       = {3},
  year         = {2013},
  url          = {https://doi.org/10.1142/S0219265913600013},
  doi          = {10.1142/S0219265913600013},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/FurqanH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/CzernyPKT13,
  author       = {Bernhard Czerny and
                  I. Paul and
                  Golta Khatibi and
                  M. Thoben},
  title        = {Experimental and analytical study of geometry effects on the fatigue
                  life of Al bond wire interconnects},
  journal      = {Microelectron. Reliab.},
  volume       = {53},
  number       = {9-11},
  pages        = {1558--1562},
  year         = {2013},
  url          = {https://doi.org/10.1016/j.microrel.2013.07.090},
  doi          = {10.1016/J.MICROREL.2013.07.090},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/CzernyPKT13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tc/DebCYSCGPBH13,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Xinmin Yu and
                  Suman Prasad Sah and
                  Miralem Cosic and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Design of an Energy-Efficient CMOS-Compatible NoC Architecture with
                  Millimeter-Wave Wireless Interconnects},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {12},
  pages        = {2382--2396},
  year         = {2013},
  url          = {https://doi.org/10.1109/TC.2012.224},
  doi          = {10.1109/TC.2012.224},
  timestamp    = {Tue, 30 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tc/DebCYSCGPBH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wcl/SipalGSAE13,
  author       = {Vit Sipal and
                  Javier Gelabert and
                  Christopher J. Stevens and
                  Ben Allen and
                  David J. Edwards},
  title        = {Adaptive {OFDM} for Wireless Interconnect in Confined Enclosures},
  journal      = {{IEEE} Wirel. Commun. Lett.},
  volume       = {2},
  number       = {5},
  pages        = {507--510},
  year         = {2013},
  url          = {https://doi.org/10.1109/WCL.2013.061913.130380},
  doi          = {10.1109/WCL.2013.061913.130380},
  timestamp    = {Wed, 01 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wcl/SipalGSAE13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wpc/FurhadHKK13,
  author       = {Hasan Furhad and
                  Mohammad A. Haque and
                  Cheol Hong Kim and
                  Jong{-}Myon Kim},
  title        = {An Analysis of Reducing Communication Delay in Network-on-Chip Interconnect
                  Architecture},
  journal      = {Wirel. Pers. Commun.},
  volume       = {73},
  number       = {4},
  pages        = {1403--1419},
  year         = {2013},
  url          = {https://doi.org/10.1007/s11277-013-1257-y},
  doi          = {10.1007/S11277-013-1257-Y},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/wpc/FurhadHKK13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/biocas/YangBTCKYSHNM13,
  author       = {Jiawei Yang and
                  Shun Bai and
                  Nhan Tran and
                  Hosung Chun and
                  Omid Kavehei and
                  Yuanyuan Yang and
                  Efstratios Skafidas and
                  Mark E. Halpern and
                  David C. Ng and
                  Vijay Muktamath},
  title        = {A charge-balanced 4-wire interface for the interconnections of biomedical
                  implants},
  booktitle    = {2013 {IEEE} Biomedical Circuits and Systems Conference (BioCAS), Rotterdam,
                  The Netherlands, October 31 - Nov. 2, 2013},
  pages        = {202--205},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/BioCAS.2013.6679674},
  doi          = {10.1109/BIOCAS.2013.6679674},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/biocas/YangBTCKYSHNM13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/FettweisHLF13,
  author       = {Gerhard P. Fettweis and
                  Najeeb ul Hassan and
                  Lukas Landau and
                  Erik Fischer},
  editor       = {Enrico Macii},
  title        = {Wireless interconnect for board and chip level},
  booktitle    = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France,
                  March 18-22, 2013},
  pages        = {958--963},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013},
  url          = {https://doi.org/10.7873/DATE.2013.201},
  doi          = {10.7873/DATE.2013.201},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/FettweisHLF13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icccn/JiangMH13,
  author       = {Teng Jiang and
                  Geoff V. Merrett and
                  Nick R. Harris},
  title        = {Opportunistic Direct Interconnection between Co-Located Wireless Sensor
                  Networks},
  booktitle    = {22nd International Conference on Computer Communication and Networks,
                  {ICCCN} 2013, Nassau, Bahamas, July 30 - Aug. 2, 2013},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCCN.2013.6614166},
  doi          = {10.1109/ICCCN.2013.6614166},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/icccn/JiangMH13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccnc/VardhanP13,
  author       = {Hars Vardhan and
                  Ravi Prakash},
  title        = {Towards 60GHz wireless switching interconnect},
  booktitle    = {International Conference on Computing, Networking and Communications,
                  {ICNC} 2013, San Diego, CA, USA, January 28-31, 2013},
  pages        = {594--598},
  publisher    = {{IEEE} Computer Society},
  year         = {2013},
  url          = {https://doi.org/10.1109/ICCNC.2013.6504153},
  doi          = {10.1109/ICCNC.2013.6504153},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccnc/VardhanP13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/idt/MondalD13,
  author       = {Hemanta Kumar Mondal and
                  Sujay Deb},
  title        = {Energy efficient on-chip wireless interconnects with sleepy transceivers},
  booktitle    = {8th International Design and Test Symposium, {IDT} 2013, Marrakesh,
                  Morocco, 16-18 December, 2013},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/IDT.2013.6727078},
  doi          = {10.1109/IDT.2013.6727078},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/idt/MondalD13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/Pande13,
  author       = {Partha Pratim Pande},
  editor       = {Maurizio Palesi and
                  Terrence S. T. Mak and
                  Masoud Daneshtalab},
  title        = {Millimeter (mm)-wave wireless NoC as interconnection backbone for
                  multicore chips: promises and challenges},
  booktitle    = {Network on Chip Architectures, NoCArc '13, in conjunction with the
                  46th Annual {IEEE/ACM} International Symposium on Microarchitecture,
                  MICRO-46, Davis, CA, USA, December 7, 2013},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2013},
  url          = {https://doi.org/10.1145/2536522.2536524},
  doi          = {10.1145/2536522.2536524},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/Pande13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mwscas/KayaLKDMR13,
  author       = {Savas Kaya and
                  Soumyasanta Laha and
                  Avinash Kodi and
                  Dominic DiTomaso and
                  David Matolak and
                  William Rayess},
  title        = {On ultra-short wireless interconnects for NoCs and SoCs: Bridging
                  the 'THz Gap'},
  booktitle    = {{IEEE} 56th International Midwest Symposium on Circuits and Systems,
                  {MWSCAS} 2013, Columbus, OH, USA, August 4-7, 2013},
  pages        = {804--808},
  publisher    = {{IEEE}},
  year         = {2013},
  url          = {https://doi.org/10.1109/MWSCAS.2013.6674771},
  doi          = {10.1109/MWSCAS.2013.6674771},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/mwscas/KayaLKDMR13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/esticas/DebGPBH12,
  author       = {Sujay Deb and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Wireless NoC as Interconnection Backbone for Multicore Chips: Promises
                  and Challenges},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {2},
  number       = {2},
  pages        = {228--239},
  year         = {2012},
  url          = {https://doi.org/10.1109/JETCAS.2012.2193835},
  doi          = {10.1109/JETCAS.2012.2193835},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/esticas/DebGPBH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijdsn/MaharreyLG12,
  author       = {Brandon Keith Maharrey and
                  Alvin S. Lim and
                  Song Gao},
  title        = {Interconnection between {IP} Networks and Wireless Sensor Networks},
  journal      = {Int. J. Distributed Sens. Networks},
  volume       = {8},
  year         = {2012},
  url          = {https://doi.org/10.1155/2012/567687},
  doi          = {10.1155/2012/567687},
  timestamp    = {Sun, 21 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijdsn/MaharreyLG12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/TanLWWL12,
  author       = {Haisheng Tan and
                  Tiancheng Lou and
                  Amy Yuexuan Wang and
                  Yongcai Wang and
                  Francis C. M. Lau},
  title        = {Average Interference Minimization under the Protocol Model in Wireless
                  Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {13},
  number       = {1-2},
  year         = {2012},
  url          = {https://doi.org/10.1142/S0219265912500065},
  doi          = {10.1142/S0219265912500065},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/TanLWWL12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/XieS12,
  author       = {Meng Xie and
                  Hongchi Shi},
  title        = {In-Network Data Aggregation via Ant-Colony Optimization in Wireless
                  Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {13},
  number       = {3-4},
  year         = {2012},
  url          = {https://doi.org/10.1142/S0219265912500132},
  doi          = {10.1142/S0219265912500132},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/XieS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ZhangLS12,
  author       = {Yuhong Zhang and
                  Wei Wayne Li and
                  Ezzatollah Salari},
  title        = {Handoff determination for a Hybrid Channel Allocation Algorithm in
                  Wireless and Mobile Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {13},
  number       = {3-4},
  year         = {2012},
  url          = {https://doi.org/10.1142/S0219265912500144},
  doi          = {10.1142/S0219265912500144},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/ZhangLS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/GambiniCAR12,
  author       = {Simone Gambini and
                  John Crossley and
                  Elad Alon and
                  Jan M. Rabaey},
  title        = {A Fully Integrated, 290 pJ/bit {UWB} Dual-Mode Transceiver for cm-Range
                  Wireless Interconnects},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {586--598},
  year         = {2012},
  url          = {https://doi.org/10.1109/JSSC.2011.2177690},
  doi          = {10.1109/JSSC.2011.2177690},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/GambiniCAR12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/acity/SinghV12,
  author       = {Shikha Singh and
                  V. Sulochana Verma},
  editor       = {Natarajan Meghanathan and
                  Dhinaharan Nagamalai and
                  Nabendu Chaki},
  title        = {Reduction of Crosstalk Noise and Delay in {VLSI} Interconnects Using
                  Schmitt Trigger as a Buffer and Wire Sizing},
  booktitle    = {Advances in Computing and Information Technology - Proceedings of
                  the Second International Conference on Advances in Computing and Information
                  Technology {(ACITY)} July 13-15, 2012, Chennai, India - Volume 3},
  series       = {Advances in Intelligent Systems and Computing},
  volume       = {178},
  pages        = {677--686},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-31600-5\_66},
  doi          = {10.1007/978-3-642-31600-5\_66},
  timestamp    = {Thu, 25 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/acity/SinghV12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/bcfic/SchleissTMJ12,
  author       = {Philipp Schleiss and
                  Nikolaj Torring and
                  S{\o}ren Aagaard Mikkelsen and
                  Rune Hylsberg Jacobsen},
  title        = {Interconnecting IPv6 wireless sensors with an Android smartphone in
                  the Future Internet},
  booktitle    = {2nd Baltic Congress on Future Internet Communications, {BCFIC} 2012,
                  Vilnius, Lithuania, April 25-27, 2012},
  pages        = {14--18},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/BCFIC.2012.6217973},
  doi          = {10.1109/BCFIC.2012.6217973},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/bcfic/SchleissTMJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccnc/SoutoAJMSK12,
  author       = {Eduardo Souto and
                  Rafael Aschoff and
                  Josias Lima Junior and
                  Rodrigo Melo and
                  Djamel Sadok and
                  Judith Kelner},
  title        = {{HTR:} {A} framework for interconnecting wireless heterogeneous devices},
  booktitle    = {2012 {IEEE} Consumer Communications and Networking Conference (CCNC),
                  Las Vegas, NV, USA, January 14-17, 2012},
  pages        = {645--649},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/CCNC.2012.6180984},
  doi          = {10.1109/CCNC.2012.6180984},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/ccnc/SoutoAJMSK12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpl/KoizumiSAMTKSNUKN12,
  author       = {Yusuke Koizumi and
                  Eiichi Sasaki and
                  Hideharu Amano and
                  Hiroki Matsutani and
                  Yasuhiro Take and
                  Tadahiro Kuroda and
                  Ryuichi Sakamoto and
                  Mitaro Namiki and
                  Kimiyoshi Usami and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  editor       = {Dirk Koch and
                  Satnam Singh and
                  Jim T{\o}rresen},
  title        = {CMA-Cube: {A} scalable reconfigurable accelerator with 3-D wireless
                  inductive coupling interconnect},
  booktitle    = {22nd International Conference on Field Programmable Logic and Applications
                  (FPL), Oslo, Norway, August 29-31, 2012},
  pages        = {543--546},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/FPL.2012.6339375},
  doi          = {10.1109/FPL.2012.6339375},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpl/KoizumiSAMTKSNUKN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/KoizumiAMMKSNUKN12,
  author       = {Yusuke Koizumi and
                  Hideharu Amano and
                  Hiroki Matsutani and
                  Noriyuki Miura and
                  Tadahiro Kuroda and
                  Ryuichi Sakamoto and
                  Mitaro Namiki and
                  Kimiyoshi Usami and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  title        = {Dynamic power control with a heterogeneous multi-core system using
                  a 3-D wireless inductive coupling interconnect},
  booktitle    = {2012 International Conference on Field-Programmable Technology, {FPT}
                  2012, Seoul, Korea (South), December 10-12, 2012},
  pages        = {293--296},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/FPT.2012.6412150},
  doi          = {10.1109/FPT.2012.6412150},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/KoizumiAMMKSNUKN12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/SenelY12,
  author       = {Fatih Senel and
                  Mohamed F. Younis},
  title        = {Optimized interconnection of disjoint wireless sensor network segments
                  using {K} mobile data collectors},
  booktitle    = {Proceedings of {IEEE} International Conference on Communications,
                  {ICC} 2012, Ottawa, ON, Canada, June 10-15, 2012},
  pages        = {492--496},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ICC.2012.6364467},
  doi          = {10.1109/ICC.2012.6364467},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/SenelY12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/issoc/WangWJ12,
  author       = {Ling Wang and
                  Zhen Wang and
                  Yingtao Jiang},
  title        = {A hybrid chip interconnection architecture with a global wireless
                  network overlaid on top of a wired network-on-chip},
  booktitle    = {2012 International Symposium on System on Chip, ISSoC 2012, Tampere,
                  Finland, October 10-12, 2012},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ISSoC.2012.6376359},
  doi          = {10.1109/ISSOC.2012.6376359},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/issoc/WangWJ12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/noms/MenezesWWP12,
  author       = {Alexandre Gava Menezes and
                  Carlos Becker Westphall and
                  Carla Merkle Westphall and
                  Eliel Marlon de Lima Pinto},
  editor       = {Filip De Turck and
                  Luciano Paschoal Gaspary and
                  Deep Medhi},
  title        = {Hybrid protocol for group key management and transparent cluster interconnection
                  in a secure wireless sensor network},
  booktitle    = {2012 {IEEE} Network Operations and Management Symposium, {NOMS} 2012,
                  Maui, HI, USA, April 16-20, 2012},
  pages        = {603--606},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/NOMS.2012.6211966},
  doi          = {10.1109/NOMS.2012.6211966},
  timestamp    = {Wed, 16 Oct 2019 14:14:54 +0200},
  biburl       = {https://dblp.org/rec/conf/noms/MenezesWWP12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/robio/YuMWH12,
  author       = {Guoping Yu and
                  Huasong Min and
                  Hongxing Wei and
                  Haojun Huang},
  title        = {Design and implementation of interconnecting IPv6 wireless sensor
                  networks with the Internet},
  booktitle    = {2012 {IEEE} International Conference on Robotics and Biomimetics,
                  {ROBIO} 2012, Guangzhou, China, December 11-14, 2012},
  pages        = {1325--1330},
  publisher    = {{IEEE}},
  year         = {2012},
  url          = {https://doi.org/10.1109/ROBIO.2012.6491152},
  doi          = {10.1109/ROBIO.2012.6491152},
  timestamp    = {Wed, 16 Oct 2019 14:14:57 +0200},
  biburl       = {https://dblp.org/rec/conf/robio/YuMWH12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wwic/LakafosisABS12,
  author       = {Vasileios Lakafosis and
                  Sreenivas Addagatla and
                  Christian Belady and
                  Suyash Sinha},
  editor       = {Yevgeni Koucheryavy and
                  Lefteris Mamatas and
                  Ibrahim Matta and
                  Vassilis Tsaoussidis},
  title        = {Prometheus: {A} Wirelessly Interconnected, Pico-Datacenter Framework
                  for the Developing World},
  booktitle    = {Wired/Wireless Internet Communication - 10th International Conference,
                  {WWIC} 2012, Santorini, Greece, June 6-8, 2012. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {7277},
  pages        = {26--39},
  publisher    = {Springer},
  year         = {2012},
  url          = {https://doi.org/10.1007/978-3-642-30630-3\_3},
  doi          = {10.1007/978-3-642-30630-3\_3},
  timestamp    = {Tue, 14 May 2019 10:00:52 +0200},
  biburl       = {https://dblp.org/rec/conf/wwic/LakafosisABS12.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/adhoc/StasiBACAGKT11,
  author       = {Giovanni Di Stasi and
                  Roberto Bifulco and
                  Stefano Avallone and
                  Roberto Canonico and
                  Apostolos Apostolaras and
                  Nikolaos Giallelis and
                  Thanasis Korakis and
                  Leandros Tassiulas},
  title        = {Interconnection of geographically distributed wireless mesh testbeds:
                  Resource sharing on a large scale},
  journal      = {Ad Hoc Networks},
  volume       = {9},
  number       = {8},
  pages        = {1389--1403},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.adhoc.2011.03.002},
  doi          = {10.1016/J.ADHOC.2011.03.002},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/adhoc/StasiBACAGKT11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/chinaf/TamC11,
  author       = {Sai{-}Wang Tam and
                  Mau{-}Chung Frank Chang},
  title        = {RF/wireless-interconnect: The next wave of connectivity},
  journal      = {Sci. China Inf. Sci.},
  volume       = {54},
  number       = {5},
  pages        = {1026--1038},
  year         = {2011},
  url          = {https://doi.org/10.1007/s11432-011-4225-8},
  doi          = {10.1007/S11432-011-4225-8},
  timestamp    = {Mon, 02 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/chinaf/TamC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ejwcn/SanchezAAV11,
  author       = {David Sanchez Sanchez and
                  Luis Alonso and
                  Pantelis Angelidis and
                  Christos V. Verikoukis},
  title        = {Secure Precise Clock Synchronization for Interconnected Body Area
                  Networks},
  journal      = {{EURASIP} J. Wirel. Commun. Netw.},
  volume       = {2011},
  year         = {2011},
  url          = {https://doi.org/10.1155/2011/797931},
  doi          = {10.1155/2011/797931},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ejwcn/SanchezAAV11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/FunabikiSHTNW11,
  author       = {Nobuo Funabiki and
                  Junki Shimizu and
                  Masaharu Hata and
                  Shigeru Tomisato and
                  Toru Nakanishi and
                  Kan Watanabe},
  title        = {An Active Access-Point Selection Approach for Dependable Wireless
                  Mesh Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {12},
  number       = {3},
  pages        = {137--154},
  year         = {2011},
  url          = {https://doi.org/10.1142/S0219265911002915},
  doi          = {10.1142/S0219265911002915},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/FunabikiSHTNW11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/RajasinghARM11,
  author       = {Indra Rajasingh and
                  Micheal Arockiaraj and
                  Bharati Rajan and
                  Paul D. Manuel},
  title        = {Circular wirelength of Generalized Petersen Graphs},
  journal      = {J. Interconnect. Networks},
  volume       = {12},
  number       = {4},
  pages        = {319--336},
  year         = {2011},
  url          = {https://doi.org/10.1142/S0219265911003027},
  doi          = {10.1142/S0219265911003027},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/RajasinghARM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/lisp/SalamaMTGO11,
  author       = {Cherif R. Salama and
                  Gregory Malecha and
                  Walid Taha and
                  Jim Grundy and
                  John O'Leary},
  title        = {Static consistency checking for Verilog wire interconnects - Using
                  dependent types to check the sanity of Verilog descriptions},
  journal      = {High. Order Symb. Comput.},
  volume       = {24},
  number       = {1-2},
  pages        = {81--114},
  year         = {2011},
  url          = {https://doi.org/10.1007/s10990-011-9072-1},
  doi          = {10.1007/S10990-011-9072-1},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/lisp/SalamaMTGO11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/YangAJ11,
  author       = {Li Yang and
                  Pearl A. Agyakwa and
                  C. Mark Johnson},
  title        = {A time-domain physics-of-failure model for the lifetime prediction
                  of wire bond interconnects},
  journal      = {Microelectron. Reliab.},
  volume       = {51},
  number       = {9-11},
  pages        = {1882--1886},
  year         = {2011},
  url          = {https://doi.org/10.1016/j.microrel.2011.07.052},
  doi          = {10.1016/J.MICROREL.2011.07.052},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mr/YangAJ11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/HuC11,
  author       = {Changhui Hu and
                  Patrick Yin Chiang},
  editor       = {Rakesh Patel and
                  Tom Andre and
                  Aurangzeb Khan},
  title        = {All-digital 3-50 GHz ultra-wideband pulse generator for short-range
                  wireless interconnect in 40nm {CMOS}},
  booktitle    = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San
                  Jose, CA, USA, Sept. 19-21, 2011},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/CICC.2011.6055382},
  doi          = {10.1109/CICC.2011.6055382},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/HuC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/DiTomasoKKM11,
  author       = {Dominic DiTomaso and
                  Avinash Karanth Kodi and
                  Savas Kaya and
                  David W. Matolak},
  title        = {iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips
                  (NoCs) Architecture},
  booktitle    = {{IEEE} 19th Annual Symposium on High Performance Interconnects, {HOTI}
                  2011, Santa Clara, CA, USA, August 24-26, 2011},
  pages        = {11--18},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/HOTI.2011.12},
  doi          = {10.1109/HOTI.2011.12},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/DiTomasoKKM11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pci/AkribopoulosGPC11,
  author       = {Orestis Akribopoulos and
                  Vasileios Georgitzikis and
                  Anastasia Protopapa and
                  Ioannis Chatzigiannakis},
  editor       = {Pantelis Angelidis and
                  Angelos Michalas},
  title        = {Building a Platform-Agnostic Wireless Network of Interconnected Smart
                  Objects},
  booktitle    = {15th Panhellenic Conference on Informatics, {PCI} 2011, Kastoria,
                  Greece, September 30 - October 2, 2011},
  pages        = {277--281},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/PCI.2011.58},
  doi          = {10.1109/PCI.2011.58},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/pci/AkribopoulosGPC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:series/icas/MatsutaniKKA11,
  author       = {Hiroki Matsutani and
                  Michihiro Koibuchi and
                  Tadahiro Kuroda and
                  Hideharu Amano},
  editor       = {Abbas Sheibanyrad and
                  Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot and
                  Axel Jantsch},
  title        = {3-D NoC on Inductive Wireless Interconnect},
  booktitle    = {3D Integration for NoC-based SoC Architectures},
  series       = {Integrated Circuits and Systems},
  pages        = {225--248},
  publisher    = {Springer},
  year         = {2011},
  url          = {https://doi.org/10.1007/978-1-4419-7618-5\_10},
  doi          = {10.1007/978-1-4419-7618-5\_10},
  timestamp    = {Mon, 06 May 2019 18:53:12 +0200},
  biburl       = {https://dblp.org/rec/series/icas/MatsutaniKKA11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/hal/Schiller10,
  author       = {Eryk Schiller},
  title        = {New interconnection architectures for wireless networks. (Les architectures
                  des r{\'{e}}seaux pour des environnements entierement sans fil)},
  school       = {Grenoble Alpes University, France},
  year         = {2010},
  url          = {https://tel.archives-ouvertes.fr/tel-00619262},
  timestamp    = {Tue, 21 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/hal/Schiller10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/comcom/CaponeCDF10,
  author       = {Antonio Capone and
                  Matteo Cesana and
                  Danilo De Donno and
                  Ilario Filippini},
  title        = {Deploying multiple interconnected gateways in heterogeneous wireless
                  sensor networks: An optimization approach},
  journal      = {Comput. Commun.},
  volume       = {33},
  number       = {10},
  pages        = {1151--1161},
  year         = {2010},
  url          = {https://doi.org/10.1016/j.comcom.2010.01.004},
  doi          = {10.1016/J.COMCOM.2010.01.004},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/comcom/CaponeCDF10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/ChiangWHGKNL10,
  author       = {Patrick Yin Chiang and
                  Sirikarn Woracheewan and
                  Changhui Hu and
                  Lei Guo and
                  Rahul Khanna and
                  Jay J. Nejedlo and
                  Huaping Liu},
  title        = {Short-Range, Wireless Interconnect within a Computing Chassis: Design
                  Challenges},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {4},
  pages        = {32--43},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.56},
  doi          = {10.1109/MDT.2010.56},
  timestamp    = {Sun, 17 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/ChiangWHGKNL10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/dt/NojehI10,
  author       = {Alireza Nojeh and
                  Andr{\'{e}} Ivanov},
  title        = {Wireless Interconnect and the Potential for Carbon Nanotubes},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {4},
  pages        = {44--53},
  year         = {2010},
  url          = {https://doi.org/10.1109/MDT.2010.58},
  doi          = {10.1109/MDT.2010.58},
  timestamp    = {Mon, 25 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/dt/NojehI10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/ItoS10,
  author       = {Sadaharu Ito and
                  Michihiko Suhara},
  title        = {Demultiplexing Property Owing to a Composite Right/Left-Handed Transmission
                  Line with Leaky Wave Radiation toward Functional Wireless Interconnects},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {93-C},
  number       = {5},
  pages        = {619--624},
  year         = {2010},
  url          = {https://doi.org/10.1587/transele.E93.C.619},
  doi          = {10.1587/TRANSELE.E93.C.619},
  timestamp    = {Sat, 11 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/ItoS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/KanamotoOFTKHSTNMSH10,
  author       = {Toshiki Kanamoto and
                  Takaaki Okumura and
                  Katsuhiro Furukawa and
                  Hiroshi Takafuji and
                  Atsushi Kurokawa and
                  Koutaro Hachiya and
                  Tsuyoshi Sakata and
                  Masakazu Tanaka and
                  Hidenari Nakashima and
                  Hiroo Masuda and
                  Takashi Sato and
                  Masanori Hashimoto},
  title        = {Impact of Self-Heating in Wire Interconnection on Timing},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {93-C},
  number       = {3},
  pages        = {388--392},
  year         = {2010},
  url          = {https://doi.org/10.1587/transele.E93.C.388},
  doi          = {10.1587/TRANSELE.E93.C.388},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/KanamotoOFTKHSTNMSH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/RahamanC10,
  author       = {Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  title        = {Energy efficiency of error control coding in intra-chip RF/wireless
                  interconnect systems},
  journal      = {Microelectron. J.},
  volume       = {41},
  number       = {1},
  pages        = {33--40},
  year         = {2010},
  url          = {https://doi.org/10.1016/j.mejo.2009.12.002},
  doi          = {10.1016/J.MEJO.2009.12.002},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mj/RahamanC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wpc/BouckaertPLHMD10,
  author       = {Stefan Bouckaert and
                  Eli De Poorter and
                  Beno{\^{\i}}t Latr{\'{e}} and
                  Jeroen Hoebeke and
                  Ingrid Moerman and
                  Piet Demeester},
  title        = {Strategies and Challenges for Interconnecting Wireless Mesh and Wireless
                  Sensor Networks},
  journal      = {Wirel. Pers. Commun.},
  volume       = {53},
  number       = {3},
  pages        = {443--463},
  year         = {2010},
  url          = {https://doi.org/10.1007/s11277-010-9957-z},
  doi          = {10.1007/S11277-010-9957-Z},
  timestamp    = {Thu, 20 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wpc/BouckaertPLHMD10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/DebGCPBH10,
  author       = {Sujay Deb and
                  Amlan Ganguly and
                  Kevin Chang and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  editor       = {Fran{\c{c}}ois Charot and
                  Frank Hannig and
                  J{\"{u}}rgen Teich and
                  Christophe Wolinski},
  title        = {Enhancing performance of network-on-chip architectures with millimeter-wave
                  wireless interconnects},
  booktitle    = {21st {IEEE} International Conference on Application-specific Systems
                  Architectures and Processors, {ASAP} 2010, Rennes, France, 7-9 July
                  2010},
  pages        = {73--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ASAP.2010.5540799},
  doi          = {10.1109/ASAP.2010.5540799},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/DebGCPBH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/MoreT10,
  author       = {Ankit More and
                  Baris Taskin},
  editor       = {R. Iris Bahar and
                  Fabrizio Lombardi and
                  David Atienza and
                  Erik Brunvand},
  title        = {Electromagnetic interaction of on-chip antennas and {CMOS} metal layers
                  for wireless {IC} interconnects},
  booktitle    = {Proceedings of the 20th {ACM} Great Lakes Symposium on {VLSI} 2009,
                  Providence, Rhode Island, USA, May 16-18 2010},
  pages        = {413--416},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1785481.1785577},
  doi          = {10.1145/1785481.1785577},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/MoreT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/green/YuSBH10,
  author       = {Xinmin Yu and
                  Suman Prasad Sah and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Performance evaluation and receiver front-end design for on-chip millimeter-wave
                  wireless interconnect},
  booktitle    = {International Green Computing Conference 2010, Chicago, IL, USA, 15-18
                  August 2010},
  pages        = {555--560},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/GREENCOMP.2010.5598263},
  doi          = {10.1109/GREENCOMP.2010.5598263},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/green/YuSBH10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChenY10,
  author       = {Zhi{-}Wei Chen and
                  Jin{-}Tai Yan},
  title        = {Width-constrained wire sizing for non-tree interconnections},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2010), May
                  30 - June 2, 2010, Paris, France},
  pages        = {2586--2589},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISCAS.2010.5537104},
  doi          = {10.1109/ISCAS.2010.5537104},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChenY10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MoreT10,
  author       = {Ankit More and
                  Baris Taskin},
  title        = {Leakage current analysis for intra-chip wireless interconnects},
  booktitle    = {11th International Symposium on Quality of Electronic Design {(ISQED}
                  2010), 22-24 March 2010, San Jose, CA, {USA}},
  pages        = {49--53},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISQED.2010.5450405},
  doi          = {10.1109/ISQED.2010.5450405},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MoreT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isvlsi/MoreT10,
  author       = {Ankit More and
                  Baris Taskin},
  title        = {Simulation Based Feasibility Study of Wireless {RF} Interconnects
                  for 3D ICs},
  booktitle    = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2010, 5-7
                  July 2010, Lixouri Kefalonia, Greece},
  pages        = {228--231},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/ISVLSI.2010.33},
  doi          = {10.1109/ISVLSI.2010.33},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isvlsi/MoreT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/MoreT10,
  author       = {Ankit More and
                  Baris Taskin},
  editor       = {Sherief Reda and
                  Janet Meiling Wang},
  title        = {Simulation based study of wireless {RF} interconnects for practical
                  CMOs implementation},
  booktitle    = {International Workshop on System Level Interconnect Prediction Workshop,
                  {SLIP} 2010, Anaheim, CA, USA, June 13, 2010},
  pages        = {35--42},
  publisher    = {{ACM}},
  year         = {2010},
  url          = {https://doi.org/10.1145/1811100.1811111},
  doi          = {10.1145/1811100.1811111},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/MoreT10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsi/CarduFGSCPSC10,
  author       = {Roberto Cardu and
                  Eleonora Franchi and
                  Roberto Guerrieri and
                  Mauro Scandiuzzo and
                  Salvatore Cani and
                  Luca Perugini and
                  Simone Spolzino and
                  Roberto Canegallo},
  title        = {Characterization of chip-to-chip wireless interconnections based on
                  capacitive coupling},
  booktitle    = {18th {IEEE/IFIP} VLSI-SoC 2010, {IEEE/IFIP} {WG} 10.5 International
                  Conference on Very Large Scale Integration of System-on-Chip, Madrid,
                  Spain, 27-29 September 2010},
  pages        = {375--380},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSISOC.2010.5642690},
  doi          = {10.1109/VLSISOC.2010.5642690},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsi/CarduFGSCPSC10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/wd/GranjalMS10,
  author       = {Jorge Granjal and
                  Edmundo Monteiro and
                  Jorge S{\'{a}} Silva},
  title        = {A secure interconnection model for IPv6 enabled wireless sensor networks},
  booktitle    = {Proceedings of the 3rd {IFIP} Wireless Days Conference 2010, Venice,
                  Italy, October 20-22, 2010},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/WD.2010.5657743},
  doi          = {10.1109/WD.2010.5657743},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/wd/GranjalMS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/RahmanE09,
  author       = {Sk. Md. Mizanur Rahman and
                  Khalil El{-}Khatib},
  title        = {Secure Anonymous Communication for Wireless Sensor Networks Based
                  on Pairing over Elliptic Curves},
  journal      = {J. Interconnect. Networks},
  volume       = {10},
  number       = {4},
  pages        = {459--479},
  year         = {2009},
  url          = {https://doi.org/10.1142/S0219265909002662},
  doi          = {10.1142/S0219265909002662},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/RahmanE09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ZaidiHML09,
  author       = {Zainab R. Zaidi and
                  Sara Hakami and
                  Tim Moors and
                  Bj{\"{o}}rn Landfeldt},
  title        = {Detection and Identification of Anomalies in Wireless Mesh Networks
                  Using Principal Component Analysis {(PCA)}},
  journal      = {J. Interconnect. Networks},
  volume       = {10},
  number       = {4},
  pages        = {517--534},
  year         = {2009},
  url          = {https://doi.org/10.1142/S0219265909002698},
  doi          = {10.1142/S0219265909002698},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/ZaidiHML09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/SasakiKMK09,
  author       = {Nobuo Sasaki and
                  Kentaro Kimoto and
                  Wataru Moriyama and
                  Takamaro Kikkawa},
  title        = {A Single-Chip Ultra-Wideband Receiver With Silicon Integrated Antennas
                  for Inter-Chip Wireless Interconnection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {44},
  number       = {2},
  pages        = {382--393},
  year         = {2009},
  url          = {https://doi.org/10.1109/JSSC.2008.2010982},
  doi          = {10.1109/JSSC.2008.2010982},
  timestamp    = {Sun, 30 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/SasakiKMK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/globecom/BouckaertPMMD09,
  author       = {Stefan Bouckaert and
                  Eli De Poorter and
                  Pieter De Mil and
                  Ingrid Moerman and
                  Piet Demeester},
  title        = {Interconnecting Wireless Sensor and Wireless Mesh Networks: Challenges
                  and Strategies},
  booktitle    = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM}
                  2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2009},
  url          = {https://doi.org/10.1109/GLOCOM.2009.5425861},
  doi          = {10.1109/GLOCOM.2009.5425861},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/globecom/BouckaertPMMD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/micro/TeuscherPMHA09,
  author       = {Christof Teuscher and
                  Neha Parashar and
                  Mrugesh Mote and
                  Nolan Hergert and
                  Jonathan Aherne},
  editor       = {Maurizio Palesi and
                  Shashi Kumar},
  title        = {Wire cost and communication analysis of self-assembled interconnect
                  models for Networks-on-Chip},
  booktitle    = {Second International Workshop on Network on Chip Architectures, NoCArc
                  2009, In conjunction with the 42nd Annual {IEEE/ACM} International
                  Symposium on Microarchitecture (MICRO-42), December 12, 2009, New
                  York, NY, {USA}},
  pages        = {83--88},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1645213.1645232},
  doi          = {10.1145/1645213.1645232},
  timestamp    = {Wed, 13 Feb 2019 11:42:26 +0100},
  biburl       = {https://dblp.org/rec/conf/micro/TeuscherPMHA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mobicom/LeeTPLCGRPNZC09,
  author       = {Suk{-}Bok Lee and
                  Sai{-}Wang Tam and
                  Ioannis Pefkianakis and
                  Songwu Lu and
                  M. Frank Chang and
                  Chuanxiong Guo and
                  Glenn Reinman and
                  Chunyi Peng and
                  Mishali Naik and
                  Lixia Zhang and
                  Jason Cong},
  editor       = {Kang G. Shin and
                  Yongguang Zhang and
                  Rajive L. Bagrodia and
                  Ramesh Govindan},
  title        = {A scalable micro wireless interconnect structure for CMPs},
  booktitle    = {Proceedings of the 15th Annual International Conference on Mobile
                  Computing and Networking, {MOBICOM} 2009, Beijing, China, September
                  20-25, 2009},
  pages        = {217--228},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1614320.1614345},
  doi          = {10.1145/1614320.1614345},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mobicom/LeeTPLCGRPNZC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/networking/CaponeCDF09,
  author       = {Antonio Capone and
                  Matteo Cesana and
                  Danilo De Donno and
                  Ilario Filippini},
  editor       = {Luigi Fratta and
                  Henning Schulzrinne and
                  Yutaka Takahashi and
                  Otto Spaniol},
  title        = {Optimal Placement of Multiple Interconnected Gateways in Heterogeneous
                  Wireless Sensor Networks},
  booktitle    = {{NETWORKING} 2009, 8th International {IFIP-TC} 6 Networking Conference,
                  Aachen, Germany, May 11-15, 2009. Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {5550},
  pages        = {442--455},
  publisher    = {Springer},
  year         = {2009},
  url          = {https://doi.org/10.1007/978-3-642-01399-7\_35},
  doi          = {10.1007/978-3-642-01399-7\_35},
  timestamp    = {Tue, 14 May 2019 10:00:44 +0200},
  biburl       = {https://dblp.org/rec/conf/networking/CaponeCDF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pepm/SalamaMTGO09,
  author       = {Cherif R. Salama and
                  Gregory Malecha and
                  Walid Taha and
                  Jim Grundy and
                  John O'Leary},
  editor       = {Germ{\'{a}}n Puebla and
                  Germ{\'{a}}n Vidal},
  title        = {Static consistency checking for verilog wire interconnects: using
                  dependent types to check the sanity of verilog descriptions},
  booktitle    = {Proceedings of the 2009 {ACM} {SIGPLAN} Symposium on Partial Evaluation
                  and Semantics-based Program Manipulation, {PEPM} 2009, Savannah, GA,
                  USA, January 19-20, 2009},
  pages        = {121--130},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1480945.1480963},
  doi          = {10.1145/1480945.1480963},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/pepm/SalamaMTGO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Nayak09,
  author       = {Saroj K. Nayak},
  editor       = {Chung{-}Kuan Cheng and
                  Sherief Reda},
  title        = {Carbon nanotube, graphene and atomic wires as next generation interconnects:
                  current status and future promise},
  booktitle    = {The 11th International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2009), San Francisco, CA, USA, July 26-27, 2009, Proceedings},
  pages        = {109--110},
  publisher    = {{ACM}},
  year         = {2009},
  url          = {https://doi.org/10.1145/1572471.1572492},
  doi          = {10.1145/1572471.1572492},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Nayak09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ejasp/RazaviFG08,
  author       = {Rouzbeh Razavi and
                  Martin Fleury and
                  Mohammed Ghanbari},
  title        = {Power-Constrained Fuzzy Logic Control of Video Streaming over a Wireless
                  Interconnect},
  journal      = {{EURASIP} J. Adv. Signal Process.},
  volume       = {2008},
  year         = {2008},
  url          = {https://doi.org/10.1155/2008/560749},
  doi          = {10.1155/2008/560749},
  timestamp    = {Tue, 01 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ejasp/RazaviFG08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jnca/Ozcelik08,
  author       = {Ibrahim {\"{O}}z{\c{c}}elik},
  title        = {Interconnection of {CAN} segments through {IEEE} 802.16 wireless {MAN}},
  journal      = {J. Netw. Comput. Appl.},
  volume       = {31},
  number       = {4},
  pages        = {879--890},
  year         = {2008},
  url          = {https://doi.org/10.1016/j.jnca.2007.04.003},
  doi          = {10.1016/J.JNCA.2007.04.003},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/jnca/Ozcelik08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/BononiF08,
  author       = {Luciano Bononi and
                  Marco Di Felice},
  title        = {Cross-Layered {MAC} and Multipath Routing Protocols in Multi-Hop Wireless
                  Mobile Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {3},
  pages        = {177--203},
  year         = {2008},
  url          = {https://doi.org/10.1142/S0219265908002230},
  doi          = {10.1142/S0219265908002230},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/BononiF08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/DurresiDB08,
  author       = {Arjan Durresi and
                  Mimoza Durresi and
                  Leonard Barolli},
  title        = {Priority Based Wireless Communications for Health Monitoring on Highways},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {4},
  pages        = {337--349},
  year         = {2008},
  url          = {https://doi.org/10.1142/S021926590800231X},
  doi          = {10.1142/S021926590800231X},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/DurresiDB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/KolarA08,
  author       = {Vinay Kolar and
                  Nael B. Abu{-}Ghazaleh},
  title        = {Globally Aware Routing in Multi-Hop Wireless Networks: a Formulation
                  and Analysis},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {3},
  pages        = {205--230},
  year         = {2008},
  url          = {https://doi.org/10.1142/S0219265908002242},
  doi          = {10.1142/S0219265908002242},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/KolarA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/MahfoudhM08,
  author       = {Saoucene Mahfoudh and
                  Pascale Minet},
  title        = {Eolsr: an Energy Efficient Routing Protocol in Wireless Ad Hoc and
                  Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {4},
  pages        = {389--408},
  year         = {2008},
  url          = {https://doi.org/10.1142/S0219265908002345},
  doi          = {10.1142/S0219265908002345},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/MahfoudhM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/PhuaD08,
  author       = {Valance Phua and
                  Amitava Datta},
  title        = {A Link State Dependent {TDMA} Protocol for Industrial Wireless Sensor
                  Network Applications in Periodically Changing Environments},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {3},
  pages        = {231--254},
  year         = {2008},
  url          = {https://doi.org/10.1142/S0219265908002254},
  doi          = {10.1142/S0219265908002254},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/PhuaD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/SamarahB08,
  author       = {Samer Samarah and
                  Azzedine Boukerche},
  title        = {Chronological Tree - a Compressed Structure for Mining Behavioral
                  Patterns from Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {3},
  pages        = {255--276},
  year         = {2008},
  url          = {https://doi.org/10.1142/S0219265908002266},
  doi          = {10.1142/S0219265908002266},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/SamarahB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcas/DeodharD08,
  author       = {Vinita V. Deodhar and
                  Jeffrey A. Davis},
  title        = {Optimal Voltage Scaling, Repeater Insertion, and Wire Sizing for Wave-Pipelined
                  Global Interconnects},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {55-I},
  number       = {4},
  pages        = {1023--1030},
  year         = {2008},
  url          = {https://doi.org/10.1109/TCSI.2008.916506},
  doi          = {10.1109/TCSI.2008.916506},
  timestamp    = {Fri, 22 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcas/DeodharD08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsisp/LeeLM08,
  author       = {Edmund Lee and
                  Guy Lemieux and
                  Shahriar Mirabbasi},
  title        = {Interconnect Driver Design for Long Wires in Field-Programmable Gate
                  Arrays},
  journal      = {J. Signal Process. Syst.},
  volume       = {51},
  number       = {1},
  pages        = {57--76},
  year         = {2008},
  url          = {https://doi.org/10.1007/s11265-007-0141-y},
  doi          = {10.1007/S11265-007-0141-Y},
  timestamp    = {Thu, 12 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/vlsisp/LeeLM08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wicomm/XieKA08,
  author       = {Bin Xie and
                  Anup Kumar and
                  Dharma P. Agrawal},
  title        = {Secure interconnection protocol for integrated Internet and ad hoc
                  networks},
  journal      = {Wirel. Commun. Mob. Comput.},
  volume       = {8},
  number       = {9},
  pages        = {1129--1148},
  year         = {2008},
  url          = {https://doi.org/10.1002/wcm.557},
  doi          = {10.1002/WCM.557},
  timestamp    = {Thu, 06 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wicomm/XieKA08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/SankaranOWO08,
  author       = {Swaminathan Sankaran and
                  Kyujin Oh and
                  Hsin{-}Ta Wu and
                  Kenneth K. O},
  title        = {Wireless interconnection within a hybrid engine controller board},
  booktitle    = {Proceedings of the {IEEE} 2008 Custom Integrated Circuits Conference,
                  {CICC} 2008, DoubleTree Hotel, San Jose, California, USA, September
                  21-24, 2008},
  pages        = {149--152},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/CICC.2008.4672043},
  doi          = {10.1109/CICC.2008.4672043},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/SankaranOWO08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cisse/LiutkeviciusVK08,
  author       = {Agnius Liutkevicius and
                  Arunas Vrubliauskas and
                  Egidijus Kazanavicius},
  editor       = {Tarek M. Sobh and
                  Khaled M. Elleithy and
                  Ausif Mahmood},
  title        = {A Survey of Wireless Sensor Network Interconnection to External Networks},
  booktitle    = {Novel Algorithms and Techniques in Telecommunications and Networking,
                  proceedings of the 2008 International Conference on Telecommunications
                  and Networking (TeNe), part of the International Joint Conferences
                  on Computer, Information, and Systems Sciences, and Engineering, {CISSE}
                  2008, Bridgeport, Connecticut, {USA}},
  pages        = {41--46},
  publisher    = {Springer},
  year         = {2008},
  url          = {https://doi.org/10.1007/978-90-481-3662-9\_7},
  doi          = {10.1007/978-90-481-3662-9\_7},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/cisse/LiutkeviciusVK08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/eit/RahamanC08,
  author       = {Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  title        = {{BER} performance comparison between {CDMA} and {UWB} for RF/wireless
                  interconnect application},
  booktitle    = {2008 {IEEE} International Conference on Electro/Information Technology,
                  {EIT} 2008, held at Iowa State University, Ames, Iowa, USA, May 18-20,
                  2008},
  pages        = {494--497},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/EIT.2008.4554353},
  doi          = {10.1109/EIT.2008.4554353},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/eit/RahamanC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/DattaB08,
  author       = {Basab Datta and
                  Wayne P. Burleson},
  editor       = {Vijaykrishnan Narayanan and
                  Zhiyuan Yan and
                  Enrico Macii and
                  Sanjukta Bhanja},
  title        = {Collaborative sensing of on-chip wire temperatures using interconnect
                  based ring oscillators},
  booktitle    = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008,
                  Orlando, Florida, USA, May 4-6, 2008},
  pages        = {41--46},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1366110.1366123},
  doi          = {10.1145/1366110.1366123},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/DattaB08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/RahamanC08,
  author       = {Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  editor       = {Vijaykrishnan Narayanan and
                  Zhiyuan Yan and
                  Enrico Macii and
                  Sanjukta Bhanja},
  title        = {Improved ber performance in intra-chip rf/wireless interconnect systems},
  booktitle    = {Proceedings of the 18th {ACM} Great Lakes Symposium on {VLSI} 2008,
                  Orlando, Florida, USA, May 4-6, 2008},
  pages        = {303--308},
  publisher    = {{ACM}},
  year         = {2008},
  url          = {https://doi.org/10.1145/1366110.1366182},
  doi          = {10.1145/1366110.1366182},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/RahamanC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/SamuelZP08,
  author       = {Hany Samuel and
                  Weihua Zhuang and
                  Bruno R. Preiss},
  title        = {Routing over Interconnected Heterogeneous Wireless Networks with Intermittent
                  Connections},
  booktitle    = {Proceedings of {IEEE} International Conference on Communications,
                  {ICC} 2008, Beijing, China, 19-23 May 2008},
  pages        = {2282--2286},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICC.2008.435},
  doi          = {10.1109/ICC.2008.435},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/SamuelZP08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icebe/SapateiroAZBV08,
  author       = {Cl{\'{a}}udio Sapateiro and
                  Pedro Antunes and
                  Gustavo Zurita and
                  Nelson Baloian and
                  Rodrigo Vogt},
  title        = {Supporting Crisis Management Processes by Wirelessly Interconnected
                  Tablet-PCs},
  booktitle    = {2008 {IEEE} International Conference on e-Business Engineering, {ICEBE}
                  2008, Xi'an, China, October 22-24, 2008},
  pages        = {87--93},
  publisher    = {{IEEE} Computer Society},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICEBE.2008.58},
  doi          = {10.1109/ICEBE.2008.58},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/icebe/SapateiroAZBV08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icnsc/JiGHW08,
  author       = {Xiaopeng Ji and
                  Long Ge and
                  Xiaodong Han and
                  Zhiquan Wang},
  title        = {Wire-Sizing for Interconnect Performance Optimization Considering
                  High Inductance Effects},
  booktitle    = {Proceedings of the {IEEE} International Conference on Networking,
                  Sensing and Control, {ICNSC} 2008, Hainan, China, 6-8 April 2008},
  pages        = {1114--1118},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ICNSC.2008.4525383},
  doi          = {10.1109/ICNSC.2008.4525383},
  timestamp    = {Wed, 16 Oct 2019 14:14:51 +0200},
  biburl       = {https://dblp.org/rec/conf/icnsc/JiGHW08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/RahamanC08,
  author       = {Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  title        = {Time diversity approach for intra-chip RF/wireless interconnect systems},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21
                  May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}},
  pages        = {2434--2437},
  publisher    = {{IEEE}},
  year         = {2008},
  url          = {https://doi.org/10.1109/ISCAS.2008.4541947},
  doi          = {10.1109/ISCAS.2008.4541947},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/RahamanC08.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/El-MoursyF07,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  title        = {Wire shaping of {RLC} interconnects},
  journal      = {Integr.},
  volume       = {40},
  number       = {4},
  pages        = {461--472},
  year         = {2007},
  url          = {https://doi.org/10.1016/j.vlsi.2006.06.002},
  doi          = {10.1016/J.VLSI.2006.06.002},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/El-MoursyF07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/HuangTL07,
  author       = {Chi{-}Fu Huang and
                  Yu{-}Chee Tseng and
                  Li{-}Chu Lo},
  title        = {The Coverage Problem in Three-Dimensional Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {8},
  number       = {3},
  pages        = {209--227},
  year         = {2007},
  url          = {https://doi.org/10.1142/S0219265907001990},
  doi          = {10.1142/S0219265907001990},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/HuangTL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/HussainR07,
  author       = {Sajid Hussain and
                  Md. Ashiqur Rahman},
  title        = {Energy Efficient Data Dissemination for Uniform Coverage in Wireless
                  Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {8},
  number       = {4},
  pages        = {355--367},
  year         = {2007},
  url          = {https://doi.org/10.1142/S0219265907002077},
  doi          = {10.1142/S0219265907002077},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/HussainR07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/MaD07,
  author       = {Liang Ma and
                  Mieso K. Denko},
  title        = {Enhanced Routing Metric for Load-Balancing in Wireless Mesh Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {8},
  number       = {4},
  pages        = {407--426},
  year         = {2007},
  url          = {https://doi.org/10.1142/S0219265907002107},
  doi          = {10.1142/S0219265907002107},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/MaD07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccnc/SongH07,
  author       = {Liang Song and
                  Dimitrios Hatzinakos},
  title        = {Embedded Wireless Interconnect for Sensor Networks: Concept and Example},
  booktitle    = {4th {IEEE} Consumer Communications and Networking Conference, {CCNC}
                  2007, Las Vegas, NV, USA, January 11-13, 2007},
  pages        = {850--854},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/CCNC.2007.172},
  doi          = {10.1109/CCNC.2007.172},
  timestamp    = {Mon, 09 Aug 2021 14:54:01 +0200},
  biburl       = {https://dblp.org/rec/conf/ccnc/SongH07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/FernandezRB07,
  author       = {Carlos Fern{\'{a}}ndez and
                  Rajkumar K. Raval and
                  Chris J. Bleakley},
  editor       = {Hai Zhou and
                  Enrico Macii and
                  Zhiyuan Yan and
                  Yehia Massoud},
  title        = {{GALS} SoC interconnect bus for wireless sensor network processor
                  platforms},
  booktitle    = {Proceedings of the 17th {ACM} Great Lakes Symposium on {VLSI} 2007,
                  Stresa, Lago Maggiore, Italy, March 11-13, 2007},
  pages        = {132--137},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1228784.1228819},
  doi          = {10.1145/1228784.1228819},
  timestamp    = {Wed, 16 Aug 2023 21:16:32 +0200},
  biburl       = {https://dblp.org/rec/conf/glvlsi/FernandezRB07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ChoiHPPOC07,
  author       = {Boo{-}Young Choi and
                  Jung{-}Won Han and
                  Sung Min Park and
                  Kang{-}Yeob Park and
                  Wonseok Oh and
                  J.{-}C. Choi},
  title        = {A 1Gb/s Optical Transceiver Array Chipset for Automotive Wired Interconnects},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20
                  May 2007, New Orleans, Louisiana, {USA}},
  pages        = {181--184},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/ISCAS.2007.378306},
  doi          = {10.1109/ISCAS.2007.378306},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ChoiHPPOC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pimrc/Mohanty07,
  author       = {Pradeep K. Mohanty},
  title        = {A Framework for Interconnecting Wireless Sensor and {IP} Networks},
  booktitle    = {Proceedings of the {IEEE} 18th International Symposium on Personal,
                  Indoor and Mobile Radio Communications, {PIMRC} 2007, 3-7 September
                  2007, Athens, Greece},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/PIMRC.2007.4394087},
  doi          = {10.1109/PIMRC.2007.4394087},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/pimrc/Mohanty07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/HatirnazBPLMAM07,
  author       = {Ilhan Hatirnaz and
                  St{\'{e}}phane Badel and
                  Nuria Pazos and
                  Yusuf Leblebici and
                  Srinivasan Murali and
                  David Atienza and
                  Giovanni De Micheli},
  editor       = {Andrew A. Kennings and
                  Ion I. Mandoiu},
  title        = {Early wire characterization for predictable network-on-chip global
                  interconnects},
  booktitle    = {The Ninth International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2007), Austin, Texas, USA, March 17-18, 2007, Proceedings},
  pages        = {57--64},
  publisher    = {{ACM}},
  year         = {2007},
  url          = {https://doi.org/10.1145/1231956.1231969},
  doi          = {10.1145/1231956.1231969},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/HatirnazBPLMAM07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/socc/HatyrnazBPL07,
  author       = {I. Hatyrnaz and
                  St{\'{e}}phane Badel and
                  Nuria Pazos and
                  Yusuf Leblebici},
  title        = {Predictable system interconnects through accurate early wire characterization},
  booktitle    = {2007 {IEEE} International {SOC} Conference, Tampere, Finland, November
                  19-21, 2007},
  pages        = {287--290},
  publisher    = {{IEEE}},
  year         = {2007},
  url          = {https://doi.org/10.1109/SOCC.2007.4545476},
  doi          = {10.1109/SOCC.2007.4545476},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/socc/HatyrnazBPL07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijwin/SeahYETT06,
  author       = {Winston Khoon Guan Seah and
                  Lu{-}Yee Yeo and
                  Zhi Ang Eu and
                  Hwee{-}Xian Tan and
                  Kean Soon Tan},
  title        = {Performance Modeling of {MANET} Interconnectivity},
  journal      = {Int. J. Wirel. Inf. Networks},
  volume       = {13},
  number       = {2},
  pages        = {115--126},
  year         = {2006},
  url          = {https://doi.org/10.1007/s10776-006-0029-y},
  doi          = {10.1007/S10776-006-0029-Y},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijwin/SeahYETT06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/DurresiB06,
  author       = {Arjan Durresi and
                  Leonard Barolli},
  title        = {Heterogeneous Wireless Ad Hoc Networks - Foreword by Guest Editors},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {1--4},
  year         = {2006},
  url          = {https://doi.org/10.1142/S0219265906001533},
  doi          = {10.1142/S0219265906001533},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/DurresiB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/DurresiPDB06,
  author       = {Arjan Durresi and
                  Vamsi Paruchuri and
                  Mimoza Durresi and
                  Leonard Barolli},
  title        = {Delay-energy Aware Routing Protocol for Heterogeneous Wireless Ad
                  Hoc Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {37--50},
  year         = {2006},
  url          = {https://doi.org/10.1142/S0219265906001569},
  doi          = {10.1142/S0219265906001569},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/DurresiPDB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/GranelliK06,
  author       = {Fabrizio Granelli and
                  Dzmitry Kliazovich},
  title        = {Cross-layering for Performance Improvement in Multi-hop Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {51--62},
  year         = {2006},
  url          = {https://doi.org/10.1142/S0219265906001570},
  doi          = {10.1142/S0219265906001570},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/GranelliK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/HellmanC06,
  author       = {Keith Hellman and
                  Michael Colagrosso},
  title        = {Investigating a Wireless Sensor Network Optimal Lifetime Solution
                  for Linear Topologies},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {91--100},
  year         = {2006},
  url          = {https://doi.org/10.1142/S0219265906001600},
  doi          = {10.1142/S0219265906001600},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/HellmanC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/YarAW06,
  author       = {Asfand{-}E. Yar and
                  Irfan{-}Ullah Awan and
                  Michael E. Woodward},
  title        = {Performance Modelling of Traffic Congestion in Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {163--178},
  year         = {2006},
  url          = {https://doi.org/10.1142/S021926590600165X},
  doi          = {10.1142/S021926590600165X},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/YarAW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ZhangF06,
  author       = {Ying Zhang and
                  Markus P. J. Fromherz},
  title        = {A Robust and Efficient Flooding-Based Routing for Wireless Sensor
                  Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {4},
  pages        = {549--568},
  year         = {2006},
  url          = {https://doi.org/10.1142/S0219265906001855},
  doi          = {10.1142/S0219265906001855},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/ZhangF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/HanchateR06,
  author       = {Narender Hanchate and
                  Nagarajan Ranganathan},
  title        = {A game-theoretic framework for multimetric optimization of interconnect
                  delay, power, and crosstalk noise during wire sizing},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {11},
  number       = {3},
  pages        = {711--739},
  year         = {2006},
  url          = {https://doi.org/10.1145/1142980.1142988},
  doi          = {10.1145/1142980.1142988},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/HanchateR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/apnoms/MenezesW06,
  author       = {Alexandre Gava Menezes and
                  Carlos Becker Westphall},
  editor       = {Young{-}Tak Kim and
                  Makoto Takano},
  title        = {Security Approaches for Cluster Interconnection in a Wireless Sensor
                  Network},
  booktitle    = {Management of Convergence Networks and Services, 9th Asia-Pacific
                  Network Operations and Management Symposium, {APNOMS} 2006 Busan,
                  Korea, September 27-29, 2006, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {4238},
  pages        = {542--545},
  publisher    = {Springer},
  year         = {2006},
  url          = {https://doi.org/10.1007/11876601\_61},
  doi          = {10.1007/11876601\_61},
  timestamp    = {Sun, 25 Jul 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/apnoms/MenezesW06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/ShiP06,
  author       = {Sean X. Shi and
                  David Z. Pan},
  editor       = {Fumiyasu Hirose},
  title        = {Wire sizing with scattering effect for nanoscale interconnection},
  booktitle    = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation:
                  {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006},
  pages        = {503--508},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASPDAC.2006.1594735},
  doi          = {10.1109/ASPDAC.2006.1594735},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/ShiP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccece/WangMM06,
  author       = {Yuxin Wang and
                  D. Makadia and
                  Martin Margala},
  title        = {On-Chip Integrated Antennas - The First Challenge for Reliable on-Chip
                  Wireless Interconnects},
  booktitle    = {Proceedings of the Canadian Conference on Electrical and Computer
                  Engineering, {CCECE} 2006, May 7-10, 2006, Ottawa Congress Centre,
                  Ottawa, Canada},
  pages        = {2322--2325},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/CCECE.2006.277353},
  doi          = {10.1109/CCECE.2006.277353},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/ccece/WangMM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/LeeKKCY06,
  author       = {Se{-}Joong Lee and
                  Kwanho Kim and
                  Hyejung Kim and
                  Namjun Cho and
                  Hoi{-}Jun Yoo},
  editor       = {Georges G. E. Gielen},
  title        = {A network-on-chip with 3Gbps/wire serialized on-chip interconnect
                  using adaptive control schemes},
  booktitle    = {Proceedings of the Conference on Design, Automation and Test in Europe,
                  {DATE} 2006, Munich, Germany, March 6-10, 2006},
  pages        = {79--80},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006},
  url          = {https://doi.org/10.1109/DATE.2006.243986},
  doi          = {10.1109/DATE.2006.243986},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/LeeKKCY06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/0002LM06,
  author       = {Edmund Lee and
                  Guy Lemieux and
                  Shahriar Mirabbasi},
  editor       = {George A. Constantinides and
                  Wai{-}Kei Mak and
                  Phaophak Sirisuk and
                  Theerayod Wiangtong},
  title        = {Interconnect driver design for long wires in field-programmable gate
                  arrays},
  booktitle    = {2006 {IEEE} International Conference on Field Programmable Technology,
                  {FPT} 2006, Bangkok, Thailand, December 13-15, 2006},
  pages        = {89--96},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/FPT.2006.270299},
  doi          = {10.1109/FPT.2006.270299},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/fpt/0002LM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/JuR06,
  author       = {Laura Huei{-}jiun Ju and
                  Izhak Rubin},
  title        = {Mesh Backbone Topology Synthesis for Multi-Radio Interconnected Wireless
                  LANs},
  booktitle    = {Proceedings of {IEEE} International Conference on Communications,
                  {ICC} 2006, Istanbul, Turkey, 11-15 June 2006},
  pages        = {417--422},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICC.2006.254763},
  doi          = {10.1109/ICC.2006.254763},
  timestamp    = {Wed, 16 Oct 2019 14:14:50 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/JuR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/OgasaharaHO06,
  author       = {Yasuhiro Ogasahara and
                  Masanori Hashimoto and
                  Takao Onoye},
  title        = {Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk
                  Noise and Discussion on Wire Cross-Sectional Area Toward Inductive
                  Crosstalk Free Interconnects},
  booktitle    = {24th International Conference on Computer Design {(ICCD} 2006), 1-4
                  October 2006, San Jose, CA, {USA}},
  pages        = {70--75},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ICCD.2006.4380796},
  doi          = {10.1109/ICCD.2006.4380796},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/OgasaharaHO06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/El-MoursyF06,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  title        = {Optimum wire tapering for minimum power dissipation in {RLC} interconnects},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1692628},
  doi          = {10.1109/ISCAS.2006.1692628},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/El-MoursyF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/MoiseevWK06,
  author       = {Konstantin Moiseev and
                  Shmuel Wimer and
                  Avinoam Kolodny},
  title        = {Timing optimization of interconnect by simultaneous net-ordering,
                  wire sizing and spacing},
  booktitle    = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24
                  May 2006, Island of Kos, Greece},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/ISCAS.2006.1692589},
  doi          = {10.1109/ISCAS.2006.1692589},
  timestamp    = {Fri, 26 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/MoiseevWK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/HanchateR06,
  author       = {Narender Hanchate and
                  Nagarajan Ranganathan},
  title        = {A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization
                  of Interconnect Delay and Crosstalk Noise},
  booktitle    = {19th International Conference on {VLSI} Design {(VLSI} Design 2006),
                  3-7 January 2006, Hyderabad, India},
  pages        = {283--290},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VLSID.2006.11},
  doi          = {10.1109/VLSID.2006.11},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/HanchateR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieicet/IdaSMT05,
  author       = {Tsukasa Ida and
                  Shinsaku Shimizu and
                  Toshimasa Matsuoka and
                  Kenji Taniguchi},
  title        = {Wired {CDMA} Interface with Adaptivity for Interconnect Capacitances},
  journal      = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.},
  volume       = {88-A},
  number       = {10},
  pages        = {2702--2706},
  year         = {2005},
  url          = {https://doi.org/10.1093/ietfec/e88-a.10.2702},
  doi          = {10.1093/IETFEC/E88-A.10.2702},
  timestamp    = {Sat, 11 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieicet/IdaSMT05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/WaluyoST05,
  author       = {Agustinus Borgy Waluyo and
                  Bala Srinivasan and
                  David Taniar},
  title        = {Efficient Broadcast Indexing Scheme for Location-dependent Queries
                  in Multi Channels Wireless Environment},
  journal      = {J. Interconnect. Networks},
  volume       = {6},
  number       = {3},
  pages        = {303--322},
  year         = {2005},
  url          = {https://doi.org/10.1142/S0219265905001447},
  doi          = {10.1142/S0219265905001447},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/WaluyoST05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/BalachandranB05,
  author       = {Shankar Balachandran and
                  Dinesh Bhatia},
  title        = {A priori wirelength and interconnect estimation based on circuit characteristic},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {24},
  number       = {7},
  pages        = {1054--1065},
  year         = {2005},
  url          = {https://doi.org/10.1109/TCAD.2005.850868},
  doi          = {10.1109/TCAD.2005.850868},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/BalachandranB05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/wpc/PopovskiYGGP05,
  author       = {Petar Popovski and
                  Hiroyuki Yomo and
                  Liljana Gavrilovska and
                  Sergio Guarracino and
                  Ramjee Prasad},
  title        = {Energy-Efficient Operation through Interference Avoidance for Interconnected
                  Bluetooth WPANs},
  journal      = {Wirel. Pers. Commun.},
  volume       = {34},
  number       = {1-2},
  pages        = {163--187},
  year         = {2005},
  url          = {https://doi.org/10.1007/s11277-005-8732-z},
  doi          = {10.1007/S11277-005-8732-Z},
  timestamp    = {Thu, 20 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/wpc/PopovskiYGGP05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/InoueIGKUOM05,
  author       = {Junpei Inoue and
                  Hiroyuki Ito and
                  Shinichiro Gomi and
                  Takanori Kyogoku and
                  Takumi Uezono and
                  Kenichi Okada and
                  Kazuya Masu},
  editor       = {Tingao Tang},
  title        = {Evaluation of on-chip transmission line interconnect using wire length
                  distribution},
  booktitle    = {Proceedings of the 2005 Conference on Asia South Pacific Design Automation,
                  {ASP-DAC} 2005, Shanghai, China, January 18-21, 2005},
  pages        = {133--138},
  publisher    = {{ACM} Press},
  year         = {2005},
  url          = {https://doi.org/10.1145/1120725.1120789},
  doi          = {10.1145/1120725.1120789},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/InoueIGKUOM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DeodharD05,
  author       = {Vinita V. Deodhar and
                  Jeffrey A. Davis},
  title        = {Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for
                  Wave-Pipelined {VLSI} Global Interconnect Circuits},
  booktitle    = {6th International Symposium on Quality of Electronic Design {(ISQED}
                  2005), 21-23 March 2005, San Jose, CA, {USA}},
  pages        = {592--597},
  publisher    = {{IEEE} Computer Society},
  year         = {2005},
  url          = {https://doi.org/10.1109/ISQED.2005.128},
  doi          = {10.1109/ISQED.2005.128},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DeodharD05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/secon/JuR05,
  author       = {Laura Huei{-}jiun Ju and
                  Izhak Rubin},
  title        = {Mesh topology construction for interconnected wireless LANs},
  booktitle    = {Proceedings of the Second Annual {IEEE} Communications Society Conference
                  on Sensor and Ad Hoc Communications and Networks, {SECON} 2005, September
                  26-29, 2005, Santa Clara, CA, {USA}},
  pages        = {284--294},
  publisher    = {{IEEE}},
  year         = {2005},
  url          = {https://doi.org/10.1109/SAHCN.2005.1557083},
  doi          = {10.1109/SAHCN.2005.1557083},
  timestamp    = {Fri, 30 Apr 2021 18:04:33 +0200},
  biburl       = {https://dblp.org/rec/conf/secon/JuR05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@incollection{DBLP:books/crc/IIR2005/Decotignie05a,
  author       = {Jean{-}Dominique Decotignie},
  editor       = {Richard Zurawski},
  title        = {Interconnection of Wireline and Wireless Fieldbusses},
  booktitle    = {The Industrial Information Technology Handbook},
  pages        = {1--13},
  publisher    = {{CRC} Press},
  year         = {2005},
  timestamp    = {Fri, 04 Mar 2005 13:41:15 +0100},
  biburl       = {https://dblp.org/rec/books/crc/IIR2005/Decotignie05a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ce/ZuritaN04,
  author       = {Gustavo Zurita and
                  Miguel Nussbaum},
  title        = {Computer supported collaborative learning using wirelessly interconnected
                  handheld computers},
  journal      = {Comput. Educ.},
  volume       = {42},
  number       = {3},
  pages        = {289--314},
  year         = {2004},
  url          = {https://doi.org/10.1016/j.compedu.2003.08.005},
  doi          = {10.1016/J.COMPEDU.2003.08.005},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ce/ZuritaN04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/icl/Zhang04,
  author       = {Y. P. Zhang},
  title        = {Bit-error-rate performance of intra-chip wireless interconnect systems},
  journal      = {{IEEE} Commun. Lett.},
  volume       = {8},
  number       = {1},
  pages        = {39--41},
  year         = {2004},
  url          = {https://doi.org/10.1109/LCOMM.2003.822514},
  doi          = {10.1109/LCOMM.2003.822514},
  timestamp    = {Thu, 20 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/icl/Zhang04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/El-MoursyF04,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  title        = {Optimum wire sizing of \emph{RLC} interconnect with repeaters},
  journal      = {Integr.},
  volume       = {38},
  number       = {2},
  pages        = {205--225},
  year         = {2004},
  url          = {https://doi.org/10.1016/j.vlsi.2004.04.001},
  doi          = {10.1016/J.VLSI.2004.04.001},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/El-MoursyF04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/fpt/Lemieux0TY04,
  author       = {Guy Lemieux and
                  Edmund Lee and
                  Marvin Tom and
                  Anthony J. Yu},
  editor       = {Oliver Diessel and
                  John Williams},
  title        = {Directional and single-driver wires in {FPGA} interconnect},
  booktitle    = {Proceedings of the 2004 {IEEE} International Conference on Field-Programmable
                  Technology, Brisbane, Australia, December 6-8, 2004},
  pages        = {41--48},
  publisher    = {{IEEE}},
  year         = {2004},
  url          = {https://doi.org/10.1109/FPT.2004.1393249},
  doi          = {10.1109/FPT.2004.1393249},
  timestamp    = {Fri, 22 Nov 2019 15:44:53 +0100},
  biburl       = {https://dblp.org/rec/conf/fpt/Lemieux0TY04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/RaniwalaC04,
  author       = {Ashish Raniwala and
                  Tzi{-}cker Chiueh},
  title        = {Evaluation of a wireless enterprise backbone network architecture},
  booktitle    = {Proceedings of the 12th Annual {IEEE} Symposium on High Performance
                  Interconnects, {HOTIC} 2004, August 22-24, 2004, Stanford, CA, {USA}},
  pages        = {98--104},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/CONECT.2004.1375211},
  doi          = {10.1109/CONECT.2004.1375211},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/RaniwalaC04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/ZhongKR03,
  author       = {Guoan Zhong and
                  Cheng{-}Kok Koh and
                  Kaushik Roy},
  title        = {On-chip interconnect modeling by wire duplication},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {22},
  number       = {11},
  pages        = {1521--1532},
  year         = {2003},
  url          = {https://doi.org/10.1109/TCAD.2003.818303},
  doi          = {10.1109/TCAD.2003.818303},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/ZhongKR03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/El-MoursyF03,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  editor       = {Mircea R. Stan and
                  David Garrett and
                  Kazuo Nakajima},
  title        = {Optimum wire sizing of {RLC} interconnect with repeaters},
  booktitle    = {Proceedings of the 13th {ACM} Great Lakes Symposium on {VLSI} 2003,
                  Washington, DC, USA, April 28-29, 2003},
  pages        = {27--32},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/764808.764816},
  doi          = {10.1145/764808.764816},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/El-MoursyF03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/BalachandranB03,
  author       = {Shankar Balachandran and
                  Dinesh Bhatia},
  editor       = {Dennis Sylvester and
                  Dirk Stroobandt and
                  Louis Scheffer and
                  Payman Zarkesh{-}Ha},
  title        = {A-priori wirelength and interconnect estimation based on circuit characteristics},
  booktitle    = {The 5th International Workshop on System-Level Interconnect Prediction
                  {(SLIP} 2003), Monterey, CA, USA, April 5-6, 2003, Proceedings},
  pages        = {77--84},
  publisher    = {{ACM}},
  year         = {2003},
  url          = {https://doi.org/10.1145/639929.639945},
  doi          = {10.1145/639929.639945},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/BalachandranB03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/BianGRL02,
  author       = {Fang Bian and
                  Ashish Goel and
                  Cauligi S. Raghavendra and
                  Xin Li},
  title        = {Energy-Efficient Broadcasting in Wireless ad hoc Networks Lower Bounds
                  and Algorithms},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {149--166},
  year         = {2002},
  url          = {https://doi.org/10.1142/S0219265902000604},
  doi          = {10.1142/S0219265902000604},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/BianGRL02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/BordimHN02,
  author       = {Jacir Luiz Bordim and
                  Frank Hsu and
                  Koji Nakano},
  title        = {Identifying Faulty Nodes in Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {197--211},
  year         = {2002},
  url          = {https://doi.org/10.1142/S0219265902000628},
  doi          = {10.1142/S0219265902000628},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/BordimHN02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/CardeiMCMJLD02,
  author       = {Mihaela Cardei and
                  David MacCallum and
                  Maggie Xiaoyan Cheng and
                  Manki Min and
                  Xiaohua Jia and
                  Deying Li and
                  Ding{-}Zhu Du},
  title        = {Wireless Sensor Networks with Energy Efficient Organization},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {213--229},
  year         = {2002},
  url          = {https://doi.org/10.1142/S021926590200063X},
  doi          = {10.1142/S021926590200063X},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/CardeiMCMJLD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/LimM02,
  author       = {Alvin S. Lim and
                  Kui W. Mok},
  title        = {Performance Evaluation of Adaptive Media Access Control Protocols
                  for Pervasive Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {253--272},
  year         = {2002},
  url          = {https://doi.org/10.1142/S0219265902000665},
  doi          = {10.1142/S0219265902000665},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/LimM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/StineVGD02,
  author       = {John A. Stine and
                  Gustavo de Veciana and
                  Kevin H. Grace and
                  Robert C. Durst},
  title        = {Orchestrating Spatial Reuse in Wireless ad hoc Networks Using Synchronous
                  Collision Resolution {(SCR)}},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {167--195},
  year         = {2002},
  url          = {https://doi.org/10.1142/S0219265902000616},
  doi          = {10.1142/S0219265902000616},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/StineVGD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/FloydHO02,
  author       = {Brian A. Floyd and
                  Chih{-}Ming Hung and
                  Kenneth K. O},
  title        = {Intra-chip wireless interconnect for clock distribution implemented
                  with integrated antennas, receivers, and transmitters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {37},
  number       = {5},
  pages        = {543--552},
  year         = {2002},
  url          = {https://doi.org/10.1109/4.997846},
  doi          = {10.1109/4.997846},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/FloydHO02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/StrandjordPJ02,
  author       = {Andrew J. G. Strandjord and
                  Scott Popelar and
                  Christine Jauernig},
  title        = {Interconnecting to aluminum- and copper-based semiconductors (electroless-nickel/gold
                  for solder bumping and wire bonding)},
  journal      = {Microelectron. Reliab.},
  volume       = {42},
  number       = {2},
  pages        = {265--283},
  year         = {2002},
  url          = {https://doi.org/10.1016/S0026-2714(01)00236-0},
  doi          = {10.1016/S0026-2714(01)00236-0},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/StrandjordPJ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AlpertDFQ02,
  author       = {Charles J. Alpert and
                  Anirudh Devgan and
                  John P. Fishburn and
                  Stephen T. Quay},
  title        = {Correction to "interconnect synthesis without wire tapering"},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {21},
  number       = {4},
  pages        = {497--497},
  year         = {2002},
  url          = {https://doi.org/10.1109/TCAD.2002.992775},
  doi          = {10.1109/TCAD.2002.992775},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AlpertDFQ02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/CongP02,
  author       = {Jason Cong and
                  David Zhigang Pan},
  title        = {Wire width planning for interconnect performance optimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {21},
  number       = {3},
  pages        = {319--329},
  year         = {2002},
  url          = {https://doi.org/10.1109/43.986425},
  doi          = {10.1109/43.986425},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/CongP02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/LeeG02,
  author       = {Sangho Lee and
                  Edwin W. Greeneich},
  title        = {{CMOS} Delay and Power Model Equations for Simultaneous Transistor
                  and Interconnect Wire Analysis and Optimization},
  journal      = {{VLSI} Design},
  volume       = {15},
  number       = {3},
  pages        = {619--628},
  year         = {2002},
  url          = {https://doi.org/10.1080/1065514021000012237},
  doi          = {10.1080/1065514021000012237},
  timestamp    = {Mon, 08 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/LeeG02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/Liddle02,
  author       = {Dave Liddle},
  title        = {Wireless Wars: Wi-Fi vs. {GPRS} vs. 3G},
  booktitle    = {10th Annual {IEEE} Symposium on High Performance Interconnects {(HOTIC}
                  2002), August 21 - 23, 2002, Stanford, CA, {USA}},
  pages        = {83},
  publisher    = {{IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.ieeecomputersociety.org/10.1109/HOTI.2002.10010},
  doi          = {10.1109/HOTI.2002.10010},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/Liddle02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShahSBASD02,
  author       = {Harshit K. Shah and
                  Pun H. Shiu and
                  Brian Bell and
                  Mamie Aldredge and
                  Namarata Sopory and
                  Jeff Davis},
  editor       = {Lawrence T. Pileggi and
                  Andreas Kuehlmann},
  title        = {Repeater insertion and wire sizing optimization for throughput-centric
                  {VLSI} global interconnects},
  booktitle    = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided
                  Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002},
  pages        = {280--284},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1145/774572.774614},
  doi          = {10.1145/774572.774614},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ShahSBASD02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhongKR02,
  author       = {Guoan Zhong and
                  Cheng{-}Kok Koh and
                  Kaushik Roy},
  editor       = {Lawrence T. Pileggi and
                  Andreas Kuehlmann},
  title        = {On-chip interconnect modeling by wire duplication},
  booktitle    = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided
                  Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002},
  pages        = {341--346},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002},
  url          = {https://doi.org/10.1145/774572.774623},
  doi          = {10.1145/774572.774623},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhongKR02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/PapanikolaouMCCMRSM02,
  author       = {Antonis Papanikolaou and
                  Miguel Miranda and
                  Francky Catthoor and
                  Henk Corporaal and
                  Hugo De Man and
                  David De Roest and
                  Michele Stucchi and
                  Karen Maex},
  title        = {Interconnect exploration for future wire dominated technologies},
  booktitle    = {The Fourth {IEEE/ACM} International Workshop on System-Level Interconnect
                  Prediction {(SLIP} 2002), April 6-7, 2002, San Diego, California,
                  USA, Proceedings},
  pages        = {105--106},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/505348.505370},
  doi          = {10.1145/505348.505370},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/PapanikolaouMCCMRSM02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/tau/FloydGCDHKK02,
  author       = {Brian A. Floyd and
                  Xiaoling Guo and
                  James Caserta and
                  Timothy O. Dickson and
                  Chih{-}Ming Hung and
                  Kihong Kim and
                  Kenneth K. O},
  editor       = {David P. LaPotin and
                  Charles J. Alpert and
                  John Lillis},
  title        = {Wireless interconnects for clock distribution},
  booktitle    = {Proceedings of the 8th {ACM/IEEE} International Workshop on Timing
                  Issues in the Specification and Synthesis of Digital Systems, Monterey,
                  California, USA, December 2-3, 2002},
  pages        = {105--108},
  publisher    = {{ACM}},
  year         = {2002},
  url          = {https://doi.org/10.1145/589411.589433},
  doi          = {10.1145/589411.589433},
  timestamp    = {Fri, 25 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/tau/FloydGCDHKK02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/internet/Metz01,
  author       = {Christopher Metz},
  title        = {On the Wire: Interconnecting {ISP} Networks},
  journal      = {{IEEE} Internet Comput.},
  volume       = {5},
  number       = {2},
  pages        = {74--80},
  year         = {2001},
  url          = {https://doi.org/10.1109/4236.914650},
  doi          = {10.1109/4236.914650},
  timestamp    = {Mon, 11 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/internet/Metz01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/BorstCGWW01,
  author       = {Sem C. Borst and
                  Edward G. Coffman Jr. and
                  Edgar N. Gilbert and
                  Philip A. Whiting and
                  Peter M. Winkler},
  title        = {Optimal Carrier Sharing in Wireless {TDMA}},
  journal      = {J. Interconnect. Networks},
  volume       = {2},
  number       = {2},
  pages        = {189--211},
  year         = {2001},
  url          = {https://doi.org/10.1142/S0219265901000324},
  doi          = {10.1142/S0219265901000324},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/BorstCGWW01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/ChatterjeeD01,
  author       = {Mainak Chatterjee and
                  Sajal K. Das},
  title        = {Performance Evaluation of a Request-TDMA/CDMA Protocol for Wireless
                  Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {2},
  number       = {1},
  pages        = {49--67},
  year         = {2001},
  url          = {https://doi.org/10.1142/S0219265901000257},
  doi          = {10.1142/S0219265901000257},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/ChatterjeeD01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/HoudtBCG01,
  author       = {Benny Van Houdt and
                  Chris Blondia and
                  Olga Casals and
                  Jorge Garc{\'{\i}}a{-}Vidal},
  title        = {Performance Analysis of a {MAC} Protocol for Broadband Wireless {ATM}
                  Networks with Quality of Service Provisioning},
  journal      = {J. Interconnect. Networks},
  volume       = {2},
  number       = {1},
  pages        = {103--130},
  year         = {2001},
  url          = {https://doi.org/10.1142/S0219265901000282},
  doi          = {10.1142/S0219265901000282},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/HoudtBCG01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/Lee01,
  author       = {DongChun Lee},
  title        = {Performance Analysis of Static {RA} Grouping to Reduce the Location
                  Traffic in Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {2},
  number       = {1},
  pages        = {131--146},
  year         = {2001},
  url          = {https://doi.org/10.1142/S0219265901000294},
  doi          = {10.1142/S0219265901000294},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/Lee01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/pieee/ChangRZSQ01,
  author       = {Mau{-}Chung Frank Chang and
                  Vwani P. Roychowdhury and
                  Liyang Zhang and
                  Hyunchol Shin and
                  Yongxi Qian},
  title        = {RF/wireless interconnect for inter- and intra-chip communications},
  journal      = {Proc. {IEEE}},
  volume       = {89},
  number       = {4},
  pages        = {456--466},
  year         = {2001},
  url          = {https://doi.org/10.1109/5.920578},
  doi          = {10.1109/5.920578},
  timestamp    = {Wed, 16 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/pieee/ChangRZSQ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/tcad/AlpertDFQ01,
  author       = {Charles J. Alpert and
                  Anirudh Devgan and
                  John P. Fishburn and
                  Stephen T. Quay},
  title        = {Interconnect synthesis without wire tapering},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {20},
  number       = {1},
  pages        = {90--104},
  year         = {2001},
  url          = {https://doi.org/10.1109/43.905678},
  doi          = {10.1109/43.905678},
  timestamp    = {Thu, 24 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/tcad/AlpertDFQ01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/DallyT01,
  author       = {William J. Dally and
                  Brian Towles},
  title        = {Route Packets, Not Wires: On-Chip Interconnection Networks},
  booktitle    = {Proceedings of the 38th Design Automation Conference, {DAC} 2001,
                  Las Vegas, NV, USA, June 18-22, 2001},
  pages        = {684--689},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/378239.379048},
  doi          = {10.1145/378239.379048},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/DallyT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dsd/MarczynskiT01,
  author       = {Jacek Marczynski and
                  Daniel Tabak},
  title        = {A Wireless Interconnection Network for Parallel Processing},
  booktitle    = {Euromicro Symposium on Digital Systems Design 2001 (Euro-DSD 2001),
                  4-6 September 2001, Warsaw, Poland},
  pages        = {386--389},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/DSD.2001.952342},
  doi          = {10.1109/DSD.2001.952342},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dsd/MarczynskiT01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/hoti/BilicBCM01,
  author       = {Hrvoje Bilic and
                  Yitzhak Birk and
                  Igor Chirashnya and
                  Zorik Machulsky},
  title        = {Deferred segmentation for wire-speed transmission of large {TCP} frames
                  over standard GbE networks},
  booktitle    = {The Ninth Symposium on High Performance Interconnects, {HOTI} '01,
                  Stanford, CA, USA, August 22-24, 2001},
  pages        = {81--85},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/HIS.2001.946697},
  doi          = {10.1109/HIS.2001.946697},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/hoti/BilicBCM01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/lcn/ZiouvaA01a,
  author       = {Eustathia Ziouva and
                  Theodore Antonakopoulos},
  title        = {Voice Communications over {IEEE} 802.11 Wireless LANs Interconnected
                  Using {ATM} Links},
  booktitle    = {26th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2001),
                  14-16 November 2001, Tampa, Florida, USA, Proceedings},
  pages        = {620--629},
  publisher    = {{IEEE} Computer Society},
  year         = {2001},
  url          = {https://doi.org/10.1109/LCN.2001.990843},
  doi          = {10.1109/LCN.2001.990843},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/lcn/ZiouvaA01a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/Stroobandt01,
  author       = {Dirk Stroobandt},
  title        = {A priori system-level interconnect prediction: Rent's rule and wire
                  length distribution models},
  booktitle    = {The Third {IEEE/ACM} International Workshop on System-Level Interconnect
                  Prediction {(SLIP} 2001), March 31 - April 1, 2001, DoubleTree Hotel,
                  Rohnert Park, CA, USA, Proceedings},
  pages        = {3--21},
  publisher    = {{ACM}},
  year         = {2001},
  url          = {https://doi.org/10.1145/368640.368645},
  doi          = {10.1145/368640.368645},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/Stroobandt01.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/join/HossainB00,
  author       = {A. Z. M. Ekram Hossain and
                  Vijay K. Bhargava},
  title        = {Link-state Aware Dynamic Traffic Scheduling for Providing Predictive
                  QoS in Wireless Mobile Multimedia Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {1},
  number       = {3},
  pages        = {221--245},
  year         = {2000},
  url          = {https://doi.org/10.1142/S0219265900000147},
  doi          = {10.1142/S0219265900000147},
  timestamp    = {Fri, 05 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/join/HossainB00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/winet/StamatelosK00,
  author       = {George M. Stamatelos and
                  Vassilios N. Koukoulidis},
  title        = {{LMDS/LMCS} hub interconnection alternatives and multiple access issues},
  journal      = {Wirel. Networks},
  volume       = {6},
  number       = {3},
  pages        = {201--209},
  year         = {2000},
  url          = {https://doi.org/10.1023/A:1019137530714},
  doi          = {10.1023/A:1019137530714},
  timestamp    = {Thu, 18 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/winet/StamatelosK00.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijwin/StanleyR99,
  author       = {Richard A. Stanley and
                  Samuel Resheff},
  title        = {Optimizing Wireless Interconnection Network Costs},
  journal      = {Int. J. Wirel. Inf. Networks},
  volume       = {6},
  number       = {2},
  pages        = {93--106},
  year         = {1999},
  url          = {https://doi.org/10.1023/A:1018839502329},
  doi          = {10.1023/A:1018839502329},
  timestamp    = {Thu, 21 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijwin/StanleyR99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/BetzR99,
  author       = {Yaughn Betz and
                  Jonathain Rose},
  title        = {Circuit design, transistor sizing and wire layout of {FPGA} interconnect},
  booktitle    = {Proceedings of the {IEEE} 1999 Custom Integrated Circuits Conference,
                  {CICC} 1999, San Diego, CA, USA, May 16-19, 1999},
  pages        = {171--174},
  publisher    = {{IEEE}},
  year         = {1999},
  url          = {https://doi.org/10.1109/CICC.1999.777267},
  doi          = {10.1109/CICC.1999.777267},
  timestamp    = {Fri, 07 Jul 2023 11:00:51 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/BetzR99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/ChenM99,
  author       = {Chung{-}Ping Chen and
                  Noel Menezes},
  editor       = {Mary Jane Irwin},
  title        = {Noise-Aware Repeater Insertion and Wire-Sizing for On-Chip Interconnect
                  Using Hierarchical Moment-Matching},
  booktitle    = {Proceedings of the 36th Conference on Design Automation, New Orleans,
                  LA, USA, June 21-25, 1999},
  pages        = {502--506},
  publisher    = {{ACM} Press},
  year         = {1999},
  url          = {https://doi.org/10.1145/309847.309987},
  doi          = {10.1145/309847.309987},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/ChenM99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/dac/YimK99,
  author       = {Joon{-}Seo Yim and
                  Chong{-}Min Kyung},
  editor       = {Mary Jane Irwin},
  title        = {Reducing Cross-Coupling Among Interconnect Wires in Deep-Submicron
                  Datapath Design},
  booktitle    = {Proceedings of the 36th Conference on Design Automation, New Orleans,
                  LA, USA, June 21-25, 1999},
  pages        = {485--490},
  publisher    = {{ACM} Press},
  year         = {1999},
  url          = {https://doi.org/10.1145/309847.309984},
  doi          = {10.1145/309847.309984},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/dac/YimK99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/JinLSG99,
  author       = {Zhong{-}Fang Jin and
                  Jean{-}Jacques Laurin and
                  Yvon Savaria and
                  Pierre Garon},
  title        = {A new approach to analyze interconnect delays in {RC} wire models},
  booktitle    = {Proceedings of the 1999 International Symposium on Circuits and Systems,
                  {ISCAS} 1999, Orlando, Florida, USA, May 30 - June 2, 1999},
  pages        = {246--249},
  publisher    = {{IEEE}},
  year         = {1999},
  url          = {https://doi.org/10.1109/ISCAS.1999.780141},
  doi          = {10.1109/ISCAS.1999.780141},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/JinLSG99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/MenezesC99,
  author       = {Noel Menezes and
                  Chung{-}Ping Chen},
  title        = {Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect},
  booktitle    = {12th International Conference on {VLSI} Design {(VLSI} Design 1999),
                  10-13 January 1999, Goa, India},
  pages        = {476},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICVD.1999.745201},
  doi          = {10.1109/ICVD.1999.745201},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/MenezesC99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijait/SaxenaGR98,
  author       = {Ashutosh Saxena and
                  Suju M. George and
                  P. Rambabu},
  title        = {Interconnection Wire-Length Estimation in {VLSI} Standard Cell Placement
                  Via Neural Network},
  journal      = {Int. J. Artif. Intell. Tools},
  volume       = {7},
  number       = {4},
  pages        = {443--451},
  year         = {1998},
  url          = {https://doi.org/10.1142/S0218213098000202},
  doi          = {10.1142/S0218213098000202},
  timestamp    = {Tue, 12 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijait/SaxenaGR98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/vlsi/KempMRR98,
  author       = {Mathieu Kemp and
                  Vladimiro Mujica and
                  Adrian E. Roitberg and
                  Mark A. Ratner},
  title        = {Molecular Wire Interconnects: Chemical Structural Control, Resonant
                  Tunneling and Length Dependence},
  journal      = {{VLSI} Design},
  volume       = {8},
  number       = {1-4},
  pages        = {65--74},
  year         = {1998},
  url          = {https://doi.org/10.1155/1998/73516},
  doi          = {10.1155/1998/73516},
  timestamp    = {Mon, 08 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/vlsi/KempMRR98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pimrc/IshibashiTT98,
  author       = {Yutaka Ishibashi and
                  Shuji Tasaka and
                  Toshihiko Takeo},
  title        = {A performance comparison of media synchronization schemes for collaborative
                  systems in an interconnected ATM-wireless {LAN}},
  booktitle    = {The 9th {IEEE} International Symposium on Personal, Indoor and Mobile
                  Radio Communications, {PIMRC} 1998, Boston, MA, USA, September 8-11,
                  1998},
  pages        = {265--271},
  publisher    = {{IEEE}},
  year         = {1998},
  url          = {https://doi.org/10.1109/PIMRC.1998.733557},
  doi          = {10.1109/PIMRC.1998.733557},
  timestamp    = {Tue, 19 May 2020 15:57:12 +0200},
  biburl       = {https://dblp.org/rec/conf/pimrc/IshibashiTT98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pimrc/StanleyR98,
  author       = {Richard A. Stanley and
                  Samuel Resheff},
  title        = {An analysis of fixed wireless network interconnect costs},
  booktitle    = {The 9th {IEEE} International Symposium on Personal, Indoor and Mobile
                  Radio Communications, {PIMRC} 1998, Boston, MA, USA, September 8-11,
                  1998},
  pages        = {1521--1524},
  publisher    = {{IEEE}},
  year         = {1998},
  url          = {https://doi.org/10.1109/PIMRC.1998.731451},
  doi          = {10.1109/PIMRC.1998.731451},
  timestamp    = {Tue, 19 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/pimrc/StanleyR98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/icc/ChanDG97,
  author       = {Wai Chung Chan and
                  Carine Derrien and
                  Evaggelos Geraniotis},
  title        = {A Medium Access Protocol for Interconnecting {ATM} and Wireless Networks},
  booktitle    = {1997 {IEEE} International Conference on Communications: Towards the
                  Knowledge Millennium, {ICC} 1997, Montr{\'{e}}al, Qu{\'{e}}bec,
                  Canada, June 8-12, 1997},
  pages        = {1448--1453},
  publisher    = {{IEEE}},
  year         = {1997},
  url          = {https://doi.org/10.1109/ICC.1997.595028},
  doi          = {10.1109/ICC.1997.595028},
  timestamp    = {Wed, 22 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/icc/ChanDG97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/pimrc/YanikomerogluS97,
  author       = {Halim Yanikomeroglu and
                  Elvino S. Sousa},
  title        = {Interconnection strategies for wireless access networks},
  booktitle    = {The 8th {IEEE} International Symposium on Personal, Indoor and Mobile
                  Radio Communications, {PIMRC} 1997, Helsinki, Finland, September 1-4,
                  1997},
  pages        = {882--886},
  publisher    = {{IEEE}},
  year         = {1997},
  url          = {https://doi.org/10.1109/PIMRC.1997.627013},
  doi          = {10.1109/PIMRC.1997.627013},
  timestamp    = {Fri, 22 May 2020 16:30:39 +0200},
  biburl       = {https://dblp.org/rec/conf/pimrc/YanikomerogluS97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/todaes/CongH96,
  author       = {Jason Cong and
                  Lei He},
  title        = {Optimal wiresizing for interconnects with multiple sources},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {1},
  number       = {4},
  pages        = {478--511},
  year         = {1996},
  url          = {https://doi.org/10.1145/238997.239018},
  doi          = {10.1145/238997.239018},
  timestamp    = {Tue, 06 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/todaes/CongH96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/OkamotoC96,
  author       = {Takumi Okamoto and
                  Jason Cong},
  editor       = {Rob A. Rutenbar and
                  Ralph H. J. M. Otten},
  title        = {Buffered Steiner tree construction with wire sizing for interconnect
                  layout optimization},
  booktitle    = {Proceedings of the 1996 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1996, San Jose, CA, USA, November 10-14, 1996},
  pages        = {44--49},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1996},
  url          = {https://doi.org/10.1109/ICCAD.1996.568938},
  doi          = {10.1109/ICCAD.1996.568938},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/OkamotoC96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CongH95,
  author       = {Jason Cong and
                  Lei He},
  editor       = {Richard L. Rudell},
  title        = {Optimal wiresizing for interconnects with multiple sources},
  booktitle    = {Proceedings of the 1995 {IEEE/ACM} International Conference on Computer-Aided
                  Design, {ICCAD} 1995, San Jose, California, USA, November 5-9, 1995},
  pages        = {568--574},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1995},
  url          = {https://doi.org/10.1109/ICCAD.1995.480173},
  doi          = {10.1109/ICCAD.1995.480173},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CongH95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/ZhangZ95,
  author       = {Yimin Zhang and
                  Armen H. Zemanian},
  title        = {Contributions of Corner Singularities of the Capacitance of Interconnections
                  Wires},
  booktitle    = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS}
                  1995, Seattle, Washington, USA, April 30 - May 3, 1995},
  pages        = {1420--1423},
  publisher    = {{IEEE}},
  year         = {1995},
  url          = {https://doi.org/10.1109/ISCAS.1995.520414},
  doi          = {10.1109/ISCAS.1995.520414},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/ZhangZ95.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/sigarch/BeigelK91,
  author       = {Richard Beigel and
                  Clyde P. Kruskal},
  title        = {Processor networks and interconnection networks without long wires
                  (extended abstract)},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {19},
  number       = {1},
  pages        = {15--24},
  year         = {1991},
  url          = {https://doi.org/10.1145/121956.121958},
  doi          = {10.1145/121956.121958},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/sigarch/BeigelK91.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/spaa/BeigelK89,
  author       = {C. P. Beigel and
                  Clyde P. Kruskal},
  editor       = {Frank Thomson Leighton},
  title        = {Processor Networks and Interconnection Networks Without Long Wires},
  booktitle    = {Proceedings of the {ACM} Symposium on Parallel Algorithms and Architectures,
                  {SPAA} '89, Santa Fe, New Mexico, USA, June 18-21, 1989},
  pages        = {42--51},
  publisher    = {{ACM}},
  year         = {1989},
  url          = {https://doi.org/10.1145/72935.72940},
  doi          = {10.1145/72935.72940},
  timestamp    = {Wed, 21 Nov 2018 12:27:44 +0100},
  biburl       = {https://dblp.org/rec/conf/spaa/BeigelK89.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/afips/Rutman64,
  author       = {R. A. Rutman},
  editor       = {Herbert R. Roller},
  title        = {An algorithm for placement of interconnected elements based on minimum
                  wire length},
  booktitle    = {Proceedings of the 1964 spring joint computer conference, {AFIPS}
                  1964 (Spring), Washington, D.C., USA, April 21-23, 1964},
  pages        = {477--491},
  publisher    = {{ACM}},
  year         = {1964},
  url          = {https://doi.org/10.1145/1464122.1464169},
  doi          = {10.1145/1464122.1464169},
  timestamp    = {Tue, 20 Apr 2021 12:33:14 +0200},
  biburl       = {https://dblp.org/rec/conf/afips/Rutman64.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics