Search dblp for Publications

export results for "interconnect wire"

 download as .bib file

@article{DBLP:journals/isci/DongNL24,
  author       = {Nguyen Phuong Dong and
                  Long Giang Nguyen and
                  Hoang Viet Long},
  title        = {Interconnected Takagi-Sugeno system and fractional {SIRS} malware
                  propagation model for stabilization of Wireless Sensor Networks},
  journal      = {Inf. Sci.},
  volume       = {670},
  pages        = {120620},
  year         = {2024}
}
@article{DBLP:journals/micro/Lee24a,
  author       = {Hsien{-}Hsin S. Lee},
  title        = {Beyond Wires: The Future of Interconnects},
  journal      = {{IEEE} Micro},
  volume       = {44},
  number       = {2},
  pages        = {4--5},
  year         = {2024}
}
@article{DBLP:journals/tim/SunLWWP24,
  author       = {Jiangning Sun and
                  Xinfu Lu and
                  Guanghui Wei and
                  Haojiang Wan and
                  Xiaodong Pan},
  title        = {Equivalent Measurement Method for High-Field-Intensity Radiation Sensitivity
                  of Two-Wire Interconnection System},
  journal      = {{IEEE} Trans. Instrum. Meas.},
  volume       = {73},
  pages        = {1--11},
  year         = {2024}
}
@article{DBLP:journals/todaes/WeerasenaM24,
  author       = {Hansika Weerasena and
                  Prabhat Mishra},
  title        = {Security of Electrical, Optical, and Wireless On-chip Interconnects:
                  {A} Survey},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {29},
  number       = {2},
  pages        = {40:1--40:41},
  year         = {2024}
}
@phdthesis{DBLP:phd/dnb/Striegel23,
  author       = {Martin Striegel},
  title        = {Secure and User-Friendly Setup and Maintenance of Wirelessly Interconnected
                  Embedded Systems},
  school       = {Technical University of Munich, Germany},
  year         = {2023}
}
@article{DBLP:journals/ieicetc/MengLY23,
  author       = {Xiangyu Meng and
                  Yecong Li and
                  Zhiyi Yu},
  title        = {A Low Insertion Loss Wideband Bonding-Wire Based Interconnection for
                  400 Gbps {PAM4} Transceivers},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {106},
  number       = {1},
  pages        = {14--19},
  year         = {2023}
}
@article{DBLP:journals/join/BiradarM23,
  author       = {Mallanagouda Biradar and
                  Basavaraj Mathapathi},
  title        = {Security and Energy Aware Clustering-Based Routing in Wireless Sensor
                  Network: Hybrid Nature-Inspired Algorithm for Optimal Cluster Head
                  Selection},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {1},
  pages        = {2150039:1--2150039:24},
  year         = {2023}
}
@article{DBLP:journals/join/ManoharanBP23,
  author       = {Maravarman Manoharan and
                  S. Babu and
                  R. Pitchai},
  title        = {Wireless Sensor Network Security Analysis for Data and Aggregation},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {2},
  pages        = {2250002:1--2250002:28},
  year         = {2023}
}
@article{DBLP:journals/join/PatilKP23,
  author       = {Kavita K. Patil and
                  T. Senthil Kumaran and
                  A. Y. Prasad},
  title        = {Improved Congestion Control in Wireless Sensor Networks Using Clustering
                  with Metaheuristic Approach},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {2},
  pages        = {2250005:1--2250005:19},
  year         = {2023}
}
@article{DBLP:journals/join/SumeshM23,
  author       = {J. J. Sumesh and
                  C. P. Maheswaran},
  title        = {Energy Efficient Secure-Trust-Based Ring Cluster Routing in Wireless
                  Sensor Network},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {2},
  pages        = {2250004:1--2250004:26},
  year         = {2023}
}
@article{DBLP:journals/join/ThirunavukkarasuB23,
  author       = {Ramya Thirunavukkarasu and
                  Ramachandran Balasubramanian},
  title        = {An Enhanced Probabilistic-Shaped {SCMA} {NOMA} for Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {4},
  pages        = {2350003:1--2350003:13},
  year         = {2023}
}
@article{DBLP:journals/join/YadawadJ23,
  author       = {Shreedhar Yadawad and
                  S. M. Joshi},
  title        = {Energy-Efficient Data Aggregation and Cluster-Based Routing in Wireless
                  Sensor Networks Using Tasmanian Fully Recurrent Deep Learning Network
                  with Pelican Variable Marine Predators Algorithm},
  journal      = {J. Interconnect. Networks},
  volume       = {23},
  number       = {4},
  pages        = {2350005:1--2350005:21},
  year         = {2023}
}
@article{DBLP:journals/jssc/LiuDD23,
  author       = {Qian Liu and
                  Li Du and
                  Yuan Du},
  title        = {A 0.90-Tb/s/in 1.29-pJ/b Wireline Transceiver With Single-Ended Crosstalk
                  Cancellation Coding Scheme for High-Density Interconnects},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {58},
  number       = {8},
  pages        = {2326--2336},
  year         = {2023}
}
@article{DBLP:journals/wc/AbadalGTJSBSNWWLKZLARCDKBS23,
  author       = {Sergi Abadal and
                  Robert Guirado and
                  Hamidreza Taghvaee and
                  Akshay Jain and
                  Elana Pereira de Santana and
                  Peter Haring Bol{\'{\i}}var and
                  Mohamed Saeed and
                  Renato Negra and
                  Zhenxing Wang and
                  Kun{-}Ta Wang and
                  Max Christian Lemme and
                  Joshua Klein and
                  Marina Zapater and
                  Alexandre Levisse and
                  David Atienza and
                  Davide Rossi and
                  Francesco Conti and
                  Martino Dazzi and
                  Geethan Karunaratne and
                  Irem Boybat and
                  Abu Sebastian},
  title        = {Graphene-Based Wireless Agile Interconnects for Massive Heterogeneous
                  Multi-Chip Processors},
  journal      = {{IEEE} Wirel. Commun.},
  volume       = {30},
  number       = {4},
  pages        = {162--169},
  year         = {2023}
}
@inproceedings{DBLP:conf/newcas/RiazPHWE23,
  author       = {Rabia Fatima Riaz and
                  Florian Protze and
                  Christian Hoyer and
                  Jens Wagner and
                  Frank Ellinger},
  title        = {Design and Experimental Evaluation of 60 GHz Self-compensating Bond-wire
                  Interconnect},
  booktitle    = {{NEWCAS}},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2023}
}
@article{DBLP:journals/corr/abs-2301-09738,
  author       = {Hansika Weerasena and
                  Prabhat Mishra},
  title        = {Security of Electrical, Optical and Wireless On-Chip Interconnects:
                  {A} Survey},
  journal      = {CoRR},
  volume       = {abs/2301.09738},
  year         = {2023}
}
@article{DBLP:journals/join/ChenthilJ22,
  author       = {T. R. Chenthil and
                  P. Jesu Jayarin},
  title        = {An Energy Aware Multi Slot Scheduling with Two-Layer Hexagonal Based
                  Integrated Aggregation Approach for Underwater Wireless Sensor Networks
                  {(UWSN)}},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {4},
  pages        = {2150027:1--2150027:28},
  year         = {2022}
}
@article{DBLP:journals/join/Ji22,
  author       = {Jingchan Ji},
  title        = {Secure Vertical Handoff in Mobile Wireless Network Based on Secure
                  Location Algorithm},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {3},
  pages        = {2145009:1--2145009:14},
  year         = {2022}
}
@article{DBLP:journals/join/LiuH22,
  author       = {Baojing Liu and
                  Chenye Han},
  title        = {Research on Wireless Network Virtualization Positioning Technology
                  Based on Next-Generation Agile IoT Technology},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {4},
  pages        = {2150029:1--2150029:22},
  year         = {2022}
}
@article{DBLP:journals/join/RaoLRVRP22,
  author       = {R. Chinna Rao and
                  K. Mohana Lakshmi and
                  Ch. Raja and
                  P. Bharat Siva Varma and
                  Ganga Rama Koteswara Rao and
                  Anitha Patibandla},
  title        = {Real-Time Implementation and Testing of VoIP Vocoders with Asterisk
                  {PBX} Using Wireshark Packet Analyzer},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-01},
  pages        = {2141030:1--2141030:16},
  year         = {2022}
}
@article{DBLP:journals/join/SatyanarayanaMR22,
  author       = {P. Satyanarayana and
                  T. Mahalakshmi and
                  Pamarthi Rama Koteswara Rao and
                  Adlin Sheeba and
                  Jampani Ravi and
                  J. Nageswara Rao},
  title        = {Enhancement of Energy Efficiency and Network Lifetime Using Modified
                  {MPCT} Algorithm in Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-03},
  pages        = {2144012:1--2144012:22},
  year         = {2022}
}
@article{DBLP:journals/join/ShaoL22,
  author       = {Junya Shao and
                  Xin Li},
  title        = {Design of Distance Learning System for Dance Movement Based on Wireless
                  Network Communication Technology},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-05},
  pages        = {2147008:1--2147008:14},
  year         = {2022}
}
@article{DBLP:journals/join/ThejaswiniM22,
  author       = {N. Raja Thejaswini and
                  G. Muthupandi},
  title        = {Dynamic Noisy Measurement Aware Localization Model for Wireless Sensor
                  Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {4},
  pages        = {2150032:1--2150032:15},
  year         = {2022}
}
@article{DBLP:journals/join/WeiL22,
  author       = {Hong Wei and
                  Zhiyong Li},
  title        = {Anycast Service Grooming Algorithm of Cloud Computing Based on Wireless
                  Communication Network},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-01},
  pages        = {2141029:1--2141029:20},
  year         = {2022}
}
@article{DBLP:journals/join/YangJLZGB22,
  author       = {Di Yang and
                  Ming Ji and
                  Yuntong Lv and
                  Fangchu Zhao and
                  Quanfeng Geng and
                  Jyoti Bhola},
  title        = {Research on Zoning, Optimization, Stability, and Nonlinear Control
                  of Wireless Network in Power Grid Communication},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-06},
  pages        = {2148004:1--2148004:11},
  year         = {2022}
}
@article{DBLP:journals/join/YuXW22,
  author       = {Qi Yu and
                  Feng Xiong and
                  Yiran Wang},
  title        = {Integration of Wireless Sensor Network and IoT for Smart Environment
                  Monitoring System},
  journal      = {J. Interconnect. Networks},
  volume       = {22},
  number       = {Supp-02},
  pages        = {2143010:1--2143010:21},
  year         = {2022}
}
@article{DBLP:journals/sensors/PuDWXC22,
  author       = {Chenggen Pu and
                  Xiwu Ding and
                  Ping Wang and
                  Shunji Xie and
                  Junhua Chen},
  title        = {Semantic Interconnection Scheme for Industrial Wireless Sensor Networks
                  and Industrial Internet with {OPC} {UA} Pub/Sub},
  journal      = {Sensors},
  volume       = {22},
  number       = {20},
  pages        = {7762},
  year         = {2022}
}
@article{DBLP:journals/tetc/AhmedMG22,
  author       = {M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {An Asymmetric, One-To-Many Traffic-Aware mm-Wave Wireless Interconnection
                  Architecture for Multichip Systems},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {10},
  number       = {1},
  pages        = {324--338},
  year         = {2022}
}
@article{DBLP:journals/winet/SunOL22,
  author       = {Chenglong Sun and
                  Yiming Ouyang and
                  Yingchun Lu},
  title        = {DCBuf: a high-performance wireless network-on-chip architecture with
                  distributed wireless interconnects and centralized buffer sharing},
  journal      = {Wirel. Networks},
  volume       = {28},
  number       = {2},
  pages        = {505--520},
  year         = {2022}
}
@article{DBLP:journals/join/Saikia21,
  author       = {Monjul Saikia},
  title        = {Delaunay Triangulation Based Key Distribution for Three-Dimensional
                  Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {21},
  number       = {1},
  pages        = {2150009:1--2150009:13},
  year         = {2021}
}
@article{DBLP:journals/suscom/AhmedGVD21,
  author       = {M. Meraj Ahmed and
                  Amlan Ganguly and
                  Abhishek Vashist and
                  Sai Manoj Pudukotai Dinakarrao},
  title        = {AWARe-Wi: {A} jamming-aware reconfigurable wireless interconnection
                  using adversarial learning for multichip systems},
  journal      = {Sustain. Comput. Informatics Syst.},
  volume       = {29},
  number       = {Part},
  pages        = {100470},
  year         = {2021}
}
@article{DBLP:journals/winet/RoychowdhuryAL21,
  author       = {Priyankar Roychowdhury and
                  Jaafar M. Alghazo and
                  Ghazanfar Latif},
  title        = {{POID:} a passive all-optical inter-rack interconnect for data-centers},
  journal      = {Wirel. Networks},
  volume       = {27},
  number       = {1},
  pages        = {781--793},
  year         = {2021}
}
@inproceedings{DBLP:conf/iccd/LiuKKLK21,
  author       = {Siqin Liu and
                  Sushanth Karmunchi and
                  Avinash Karanth and
                  Soumyasanta Laha and
                  Savas Kaya},
  title        = {WiNN: Wireless Interconnect based Neural Network Accelerator},
  booktitle    = {{ICCD}},
  pages        = {277--284},
  publisher    = {{IEEE}},
  year         = {2021}
}
@inproceedings{DBLP:conf/iecon/ZhouLLC21,
  author       = {Minghua Zhou and
                  Fuxin Liu and
                  Kelin Lei and
                  Xuling Chen},
  title        = {A Modular Stacked Architecture with Virtual {AC} Bus for Multi-port
                  Wireless Energy Interconnection System},
  booktitle    = {{IECON}},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2021}
}
@inproceedings{DBLP:conf/slip/CaloBBBFTSP21,
  author       = {Giovanna Cal{\`{o}} and
                  Marina Barbiroli and
                  Gaetano Bellanca and
                  Davide Bertozzi and
                  Franco Fuschini and
                  Velio Tralli and
                  Giovanni Serafino and
                  Vincenzo Petruzzelli},
  title        = {Reconfigurable on-chip wireless interconnections through optical phased
                  arrays (Invited)},
  booktitle    = {{SLIP}},
  pages        = {33--40},
  publisher    = {{IEEE}},
  year         = {2021}
}
@article{DBLP:journals/corr/abs-2102-05694,
  author       = {Sanaa Hamid Mohamed and
                  Osama Zwaid Alsulami and
                  Taisir E. H. El{-}Gorashi and
                  Mohammed T. Alresheedi and
                  Jaafar M. H. Elmirghani},
  title        = {Resilient Architectures for Free Space Optical Wireless Interconnection
                  Systems},
  journal      = {CoRR},
  volume       = {abs/2102.05694},
  year         = {2021}
}
@article{DBLP:journals/join/MahendruSP20,
  author       = {Garima Mahendru and
                  Anil K. Shukla and
                  L. M. Patnaik},
  title        = {Novel Spectrum Sensing Technique and Its Evaluation for Cognitive
                  Radio Wireless Sensor Network},
  journal      = {J. Interconnect. Networks},
  volume       = {20},
  number       = {3},
  pages        = {2050009:1--2050009:13},
  year         = {2020}
}
@article{DBLP:journals/join/SheikhiB20,
  author       = {Hemmat Sheikhi and
                  Wafa Barkhoda},
  title        = {Solving the k-Coverage and m-Connected Problem in Wireless Sensor
                  Networks through the Imperialist Competitive Algorithm},
  journal      = {J. Interconnect. Networks},
  volume       = {20},
  number       = {1},
  pages        = {2050002:1--2050002:18},
  year         = {2020}
}
@article{DBLP:journals/join/YuGY20,
  author       = {Hewei Yu and
                  Meiyuan Guo and
                  Jingxi Yu},
  title        = {A Novel Heterogeneous Wireless Network Selection Algorithm Based on
                  {INFAHP} and {IGRA}},
  journal      = {J. Interconnect. Networks},
  volume       = {20},
  number       = {3},
  pages        = {2050010:1--2050010:19},
  year         = {2020}
}
@article{DBLP:journals/jpdc/SaxenaMMG20,
  author       = {Sagar Saxena and
                  Deekshith Shenoy Manur and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {Scalable and energy efficient wireless inter chip interconnection
                  fabrics using THz-band antennas},
  journal      = {J. Parallel Distributed Comput.},
  volume       = {139},
  pages        = {148--160},
  year         = {2020}
}
@article{DBLP:journals/suscom/AhmedMG20,
  author       = {M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {A one-to-many traffic-oriented mm-wave wireless network-in-package
                  interconnection architecture for multichip computing systems},
  journal      = {Sustain. Comput. Informatics Syst.},
  volume       = {26},
  pages        = {100379},
  year         = {2020}
}
@article{DBLP:journals/tvlsi/ChenTSPTM20,
  author       = {Liang Chen and
                  Sheldon X.{-}D. Tan and
                  Zeyu Sun and
                  Shaoyi Peng and
                  Min Tang and
                  Junfa Mao},
  title        = {Fast Analytic Electromigration Analysis for General Multisegment Interconnect
                  Wires},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {28},
  number       = {2},
  pages        = {421--432},
  year         = {2020}
}
@article{DBLP:journals/wcl/LiuQCZS20,
  author       = {Gang Liu and
                  Wei Quan and
                  Nan Cheng and
                  Hongke Zhang and
                  Xuemin Shen},
  title        = {{VLI:} Variable-Length Identifier for Interconnecting Heterogeneous
                  IoT Networks},
  journal      = {{IEEE} Wirel. Commun. Lett.},
  volume       = {9},
  number       = {8},
  pages        = {1146--1149},
  year         = {2020}
}
@article{DBLP:journals/wpc/PackianathanA20,
  author       = {Rajeswari Packianathan and
                  Gobinath Arumugam},
  title        = {Performance Analysis of Microstriplines Interconnect Structure with
                  Novel Guard Trace as Parallel Links for High Speed Dram Interfaces},
  journal      = {Wirel. Pers. Commun.},
  volume       = {112},
  number       = {1},
  pages        = {261--271},
  year         = {2020}
}
@article{DBLP:journals/wpc/XiaoZYGMP20,
  author       = {Yanjun Xiao and
                  Heng Zhang and
                  Chenghao Yuan and
                  Nan Gao and
                  Zhaozong Meng and
                  Kai Peng},
  title        = {The Design of an Intelligent High-Speed Loom Industry Interconnection
                  Remote Monitoring System},
  journal      = {Wirel. Pers. Commun.},
  volume       = {113},
  number       = {4},
  pages        = {2167--2187},
  year         = {2020}
}
@inproceedings{DBLP:conf/aina/MnejjaAAMPP20,
  author       = {Sirine Mnejja and
                  Yassine Aydi and
                  Mohamed Abid and
                  Salvatore Monteleone and
                  Maurizio Palesi and
                  Davide Patti},
  title        = {Implementing On-Chip Wireless Communication in Multi-stage Interconnection
                  NoCs},
  booktitle    = {{AINA}},
  series       = {Advances in Intelligent Systems and Computing},
  volume       = {1151},
  pages        = {533--546},
  publisher    = {Springer},
  year         = {2020}
}
@inproceedings{DBLP:conf/apccas/LiuCH20,
  author       = {Zaiyong Liu and
                  Hai{-}Bao Chen and
                  Tianshu Hou},
  title        = {Stress Evolution Analysis of EM-Induced Void Growth for Multi-Segment
                  Interconnect Wires},
  booktitle    = {{APCCAS}},
  pages        = {62--65},
  publisher    = {{IEEE}},
  year         = {2020}
}
@inproceedings{DBLP:conf/asianhost/AhmedVDG20,
  author       = {M. Meraj Ahmed and
                  Abhishek Vashist and
                  Sai Manoj Pudukotai Dinakarrao and
                  Amlan Ganguly},
  title        = {Architecting a Secure Wireless Interconnect for Multichip Communication:
                  An {ML} Approach},
  booktitle    = {AsianHOST},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2020}
}
@inproceedings{DBLP:conf/hoti/ArdalanCFKPSV20,
  author       = {Shahab Ardalan and
                  Halil Cirit and
                  Ramin Farjad{-}Rad and
                  Mark Kuemerle and
                  Ken Poulton and
                  Suresh Subramanian and
                  Bapiraju Vinnakota},
  title        = {Bunch of Wires: An Open Die-to-Die Interface},
  booktitle    = {Hot Interconnects},
  pages        = {9--16},
  publisher    = {{IEEE}},
  year         = {2020}
}
@inproceedings{DBLP:conf/hpcc/LiQJMN20,
  author       = {Kangkang Li and
                  Yitao Qiu and
                  Congfeng Jiang and
                  Maciej Malawski and
                  Jarek Nabrzyski},
  title        = {Improving System Utilization on Wireless {HPC} Systems with Torus
                  Interconnects},
  booktitle    = {HPCC/DSS/SmartCity},
  pages        = {60--69},
  publisher    = {{IEEE}},
  year         = {2020}
}
@inproceedings{DBLP:conf/vlsi-dat/LinCC20,
  author       = {Yong{-}Yu Lin and
                  Fan{-}ta Chen and
                  Wei{-}Zen Chen},
  title        = {A Millimeter-Wave Frequency Synthesizer for 60 GHz Wireless Interconnect},
  booktitle    = {{VLSI-DAT}},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020}
}
@article{DBLP:journals/corr/abs-2011-04107,
  author       = {Sergi Abadal and
                  Robert Guirado and
                  Hamidreza Taghvaee and
                  Akshay Jain and
                  Elana Pereira de Santana and
                  Peter Haring Bol{\'{\i}}var and
                  Mohamed Saeed Elsayed and
                  Renato Negra and
                  Zhenxing Wang and
                  Kun{-}Ta Wang and
                  Max Christian Lemme and
                  Joshua Klein and
                  Marina Zapater and
                  Alexandre Levisse and
                  David Atienza and
                  Davide Rossi and
                  Francesco Conti and
                  Martino Dazzi and
                  Geethan Karunaratne and
                  Irem Boybat and
                  Abu Sebastian},
  title        = {Graphene-based Wireless Agile Interconnects for Massive Heterogeneous
                  Multi-chip Processors},
  journal      = {CoRR},
  volume       = {abs/2011.04107},
  year         = {2020}
}
@article{DBLP:journals/cem/DiasMOB19,
  author       = {Gabriel Martins Dias and
                  C{\'{\i}}ntia Borges Margi and
                  Filipe C. P. de Oliveira and
                  Boris Bellalta},
  title        = {Cloud-Empowered, Self-Managing Wireless Sensor Networks: Interconnecting
                  Management Operations at the Application Layer},
  journal      = {{IEEE} Consumer Electron. Mag.},
  volume       = {8},
  number       = {1},
  pages        = {55--60},
  year         = {2019}
}
@article{DBLP:journals/integration/GadeRD19,
  author       = {Sri Harsha Gade and
                  Shobha Sundar Ram and
                  Sujay Deb},
  title        = {Millimeter wave wireless interconnects in deep submicron chips: Challenges
                  and opportunities},
  journal      = {Integr.},
  volume       = {64},
  pages        = {127--136},
  year         = {2019}
}
@article{DBLP:journals/sensors/TalpurSBFKA19,
  author       = {Anum Talpur and
                  Faisal Karim Shaikh and
                  Natasha Baloch and
                  Emad A. Felemban and
                  Abdelmajid Khelil and
                  Muhammad Mahtab Alam},
  title        = {Validation of Wired and Wireless Interconnected Body Sensor Networks},
  journal      = {Sensors},
  volume       = {19},
  number       = {17},
  pages        = {3697},
  year         = {2019}
}
@article{DBLP:journals/todaes/GadeADG19,
  author       = {Sri Harsha Gade and
                  M. Meraj Ahmed and
                  Sujay Deb and
                  Amlan Ganguly},
  title        = {Energy Efficient Chip-to-Chip Wireless Interconnection for Heterogeneous
                  Architectures},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {24},
  number       = {5},
  pages        = {55:1--55:27},
  year         = {2019}
}
@article{DBLP:journals/tvlsi/SunSZT19,
  author       = {Zeyu Sun and
                  Sheriff Sadiqbatcha and
                  Hengyang Zhao and
                  Sheldon X.{-}D. Tan},
  title        = {Saturation-Volume Estimation for Multisegment Copper Interconnect
                  Wires},
  journal      = {{IEEE} Trans. Very Large Scale Integr. Syst.},
  volume       = {27},
  number       = {7},
  pages        = {1666--1674},
  year         = {2019}
}
@inproceedings{DBLP:conf/hoti/FarjadradV19,
  author       = {Ramin Farjadrad and
                  Bapiraju Vinnakota},
  title        = {A Bunch of Wires (BoW) Interface for Inter-Chiplet Communication},
  booktitle    = {Hot Interconnects},
  pages        = {27--273},
  publisher    = {{IEEE}},
  year         = {2019}
}
@inproceedings{DBLP:conf/micro/Taskin19,
  author       = {Baris Taskin},
  title        = {On-chip wireless interconnect paradigm},
  booktitle    = {NoCArc@MICRO},
  pages        = {1:1},
  publisher    = {{ACM}},
  year         = {2019}
}
@article{DBLP:journals/itl/Sanchez-AgueroN18,
  author       = {Victor Sanchez{-}Ag{\"{u}}ero and
                  Borja Nogales and
                  Francisco Valera and
                  Iv{\'{a}}n Vidal},
  title        = {Investigating the deployability of VoIP services over wireless interconnected
                  micro aerial vehicles},
  journal      = {Internet Technol. Lett.},
  volume       = {1},
  number       = {5},
  year         = {2018}
}
@article{DBLP:journals/tcad/SunDSCT18,
  author       = {Zeyu Sun and
                  Ertugrul Demircan and
                  Mehul D. Shroff and
                  Chase Cook and
                  Sheldon X.{-}D. Tan},
  title        = {Fast Electromigration Immortality Analysis for Multisegment Copper
                  Interconnect Wires},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {37},
  number       = {12},
  pages        = {3137--3150},
  year         = {2018}
}
@article{DBLP:journals/tetc/KarkarMDATY18,
  author       = {Ammar Karkar and
                  Terrence S. T. Mak and
                  Nizar Dahir and
                  Ra'ed Al{-}Dujaily and
                  Kin{-}Fai Tong and
                  Alex Yakovlev},
  title        = {Network-on-Chip Multicast Architectures Using Hybrid Wire and Surface-Wave
                  Interconnects},
  journal      = {{IEEE} Trans. Emerg. Top. Comput.},
  volume       = {6},
  number       = {3},
  pages        = {357--369},
  year         = {2018}
}
@inproceedings{DBLP:conf/asap/SinhaGSD18,
  author       = {Mitali Sinha and
                  Sri Harsha Gade and
                  Wazir Singh and
                  Sujay Deb},
  title        = {Data-flow Aware {CNN} Accelerator with Hybrid Wireless Interconnection},
  booktitle    = {{ASAP}},
  pages        = {1--4},
  publisher    = {{IEEE} Computer Society},
  year         = {2018}
}
@inproceedings{DBLP:conf/aspdac/ZhouSSZT18,
  author       = {Han Zhou and
                  Yijing Sun and
                  Zeyu Sun and
                  Hengyang Zhao and
                  Sheldon X.{-}D. Tan},
  title        = {Electromigration-lifetime constrained power grid optimization considering
                  multi-segment interconnect wires},
  booktitle    = {{ASP-DAC}},
  pages        = {399--404},
  publisher    = {{IEEE}},
  year         = {2018}
}
@inproceedings{DBLP:conf/glvlsi/ShindeSDAIG18,
  author       = {Tanmay Shinde and
                  Suryanarayanan Subramaniam and
                  Padmanabh Deshmukh and
                  M. Meraj Ahmed and
                  Mark A. Indovina and
                  Amlan Ganguly},
  title        = {A 0.24pJ/bit, 16Gbps {OOK} Transmitter Circuit in 45-nm {CMOS} for
                  Inter and Intra-Chip Wireless Interconnects},
  booktitle    = {{ACM} Great Lakes Symposium on {VLSI}},
  pages        = {69--74},
  publisher    = {{ACM}},
  year         = {2018}
}
@inproceedings{DBLP:conf/green/AhmedMG18,
  author       = {M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {An Asymmetric, Energy Efficient One-to-Many Traffic-Aware Wireless
                  Network-in-Package Interconnection Architecture for Multichip Systems},
  booktitle    = {{IGSC}},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2018}
}
@inproceedings{DBLP:conf/nanocom/DehkordiBT18,
  author       = {Jinous Shafiei Dehkordi and
                  Gaetano Bellanca and
                  Velio Tralli},
  title        = {On-chip optical wireless interconnections with plasmonic nanoantennas:
                  cross-link interference analysis},
  booktitle    = {{NANOCOM}},
  pages        = {36:1--36:2},
  publisher    = {{ACM}},
  year         = {2018}
}
@inproceedings{DBLP:conf/nocs/VashistGI18,
  author       = {Abhishek Vashist and
                  Amlan Ganguly and
                  Mark A. Indovina},
  title        = {Testing WiNoC-Enabled Multicore Chips with {BIST} for Wireless Interconnects},
  booktitle    = {{NOCS}},
  pages        = {4:1--4:8},
  publisher    = {{IEEE}},
  year         = {2018}
}
@inproceedings{DBLP:conf/pimrc/DehkordiT18,
  author       = {Jinous Shafiei Dehkordi and
                  Velio Tralli},
  title        = {Interference Analysis for Optical Wireless Interconnections},
  booktitle    = {{PIMRC}},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2018}
}
@article{DBLP:journals/tc/ShamimMNKGV17,
  author       = {Md Shahriar Shamim and
                  Naseef Mansoor and
                  Rounak Singh Narde and
                  Vignesh Kothandapani and
                  Amlan Ganguly and
                  Jayanti Venkataraman},
  title        = {A Wireless Interconnection Framework for Seamless Inter and Intra-Chip
                  Communication in Multichip Systems},
  journal      = {{IEEE} Trans. Computers},
  volume       = {66},
  number       = {3},
  pages        = {389--402},
  year         = {2017}
}
@article{DBLP:journals/wc/DazaM17,
  author       = {Lennyn Daza and
                  Satyajayant Misra},
  title        = {Beyond the internet of things: everything interconnected: technology,
                  communications and computing [book review]},
  journal      = {{IEEE} Wirel. Commun.},
  volume       = {24},
  number       = {6},
  pages        = {10--11},
  year         = {2017}
}
@article{DBLP:journals/wicomm/FamorijiYKKFAL17,
  author       = {Oluwole John Famoriji and
                  Xu Yan and
                  Mehdi Khan and
                  Rao Kashif and
                  Akinwale Fadamiro and
                  Md Sadek Ali and
                  Fujiang Lin},
  title        = {Wireless Interconnect in Multilayer Chip-Area-Networks for Future
                  Multimaterial High-Speed Systems Design},
  journal      = {Wirel. Commun. Mob. Comput.},
  volume       = {2017},
  year         = {2017}
}
@article{DBLP:journals/wpc/ArputhamaryM17,
  author       = {I. Annammal Arputhamary and
                  Helda Mercy},
  title        = {An Analytical Discourse on Strong Edge Coloring for Interference-free
                  Channel Assignment in Interconnection Networks},
  journal      = {Wirel. Pers. Commun.},
  volume       = {94},
  number       = {4},
  pages        = {2081--2094},
  year         = {2017}
}
@inproceedings{DBLP:conf/isce/ChuangCWC17a,
  author       = {Yue{-}Ru Chuang and
                  Chun{-}Wei Chuang and
                  Ta{-}Wei Wang and
                  Hung{-}Hsuan Chen},
  title        = {Constructing wireless sensor network interconnection system with three-dimensional
                  self-organization mechanism},
  booktitle    = {{ISCE}},
  pages        = {65--66},
  publisher    = {{IEEE}},
  year         = {2017}
}
@inproceedings{DBLP:conf/micro/GangulyMSANVV17,
  author       = {Amlan Ganguly and
                  Naseef Mansoor and
                  Md Shahriar Shamim and
                  M. Meraj Ahmed and
                  Rounak Singh Narde and
                  Abhishek Vashist and
                  Jayanti Venkataraman},
  title        = {Intra-chip Wireless Interconnect: The Road Ahead},
  booktitle    = {NoCArc@MICRO},
  pages        = {3:1--3:6},
  publisher    = {{ACM}},
  year         = {2017}
}
@inproceedings{DBLP:conf/ofc/MakinoSSFS17,
  author       = {Shuntaro Makino and
                  Masahiro Suga and
                  Takanori Sato and
                  Takeshi Fujisawa and
                  Kunimasa Saitoh},
  title        = {Ultimately low-loss and compact Si wire 90{\textdegree} waveguide
                  bend composed of clothoid and normal curves for dense optical interconnect
                  PICs},
  booktitle    = {{OFC}},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2017}
}
@inproceedings{DBLP:conf/socc/ShamimAMG17,
  author       = {Md Shahriar Shamim and
                  M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {Energy-efficient wireless interconnection framework for multichip
                  systems with in-package memory stacks},
  booktitle    = {SoCC},
  pages        = {357--362},
  publisher    = {{IEEE}},
  year         = {2017}
}
@inproceedings{DBLP:conf/socc/SubramaniamSDSI17,
  author       = {Suryanarayanan Subramaniam and
                  Tanmay Shinde and
                  Padmanabh Deshmukh and
                  Md Shahriar Shamim and
                  Mark A. Indovina and
                  Amlan Ganguly},
  title        = {A 0.36pJ/bit, 17Gbps {OOK} receiver in 45-nm {CMOS} for inter and
                  intra-chip wireless interconnects},
  booktitle    = {SoCC},
  pages        = {132--137},
  publisher    = {{IEEE}},
  year         = {2017}
}
@article{DBLP:journals/corr/abs-1709-07529,
  author       = {Md Shahriar Shamim and
                  M. Meraj Ahmed and
                  Naseef Mansoor and
                  Amlan Ganguly},
  title        = {Energy-Efficient Wireless Interconnection Framework for Multichip
                  Systems with In-package Memory Stacks},
  journal      = {CoRR},
  volume       = {abs/1709.07529},
  year         = {2017}
}
@article{DBLP:journals/mam/ChtourouMAPAM16,
  author       = {Sonda Chtourou and
                  Zied Marrakchi and
                  Emna Amouri and
                  Vinod Pangracious and
                  Mohamed Abid and
                  Habib Mehrez},
  title        = {Improvement of cluster-based Mesh {FPGA} architecture using novel
                  hierarchical interconnect topology and long routing wires},
  journal      = {Microprocess. Microsystems},
  volume       = {40},
  pages        = {16--26},
  year         = {2016}
}
@inproceedings{DBLP:conf/hoti/SikderKRDMK16,
  author       = {Md. Ashif I. Sikder and
                  Avinash Kodi and
                  William Rayess and
                  Dominic DiTomaso and
                  David W. Matolak and
                  Savas Kaya},
  title        = {Exploring Wireless Technology for Off-Chip Memory Access},
  booktitle    = {Hot Interconnects},
  pages        = {92--99},
  publisher    = {{IEEE} Computer Society},
  year         = {2016}
}
@inproceedings{DBLP:conf/nanocom/LiuLLFYC16,
  author       = {Zichuan Liu and
                  Yuan Liang and
                  Nan Li and
                  Guangyin Feng and
                  Hao Yu and
                  Shaojie Chen},
  title        = {An Energy-efficient Adaptive Sub-THz Wireless Interconnect with MIMO-Beamforming
                  between Cores and DRAMs},
  booktitle    = {{NANOCOM}},
  pages        = {26:1--26:6},
  publisher    = {{ACM}},
  year         = {2016}
}
@inproceedings{DBLP:conf/ofc/JeongSTSTM16,
  author       = {Seok{-}Hwan Jeong and
                  Yohei Sobu and
                  Shinsuke Tanaka and
                  Takasi Simoyama and
                  Yu Tanaka and
                  Ken Morito},
  title        = {{WDM} interconnect targeted Si-wire optical demultiplexers for large
                  manufacturing tolerance, low voltage tunability and polarization diversified
                  operability},
  booktitle    = {{OFC}},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2016}
}
@inproceedings{DBLP:conf/sas2/SinghanatHM16,
  author       = {Krongboon Singhanat and
                  Nick R. Harris and
                  Geoff V. Merrett},
  title        = {Experimental validation of opportunistic direct interconnection between
                  different Wireless Sensor Networks},
  booktitle    = {{SAS}},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2016}
}
@inproceedings{DBLP:conf/vlsid/ShreedharD16,
  author       = {Tanya Shreedhar and
                  Sujay Deb},
  title        = {Hierarchical Cluster Based NoC Design Using Wireless Interconnects
                  for Coherence Support},
  booktitle    = {{VLSID}},
  pages        = {63--68},
  publisher    = {{IEEE} Computer Society},
  year         = {2016}
}
@phdthesis{DBLP:phd/ethos/Jiang15a,
  author       = {Teng Jiang},
  title        = {Opportunistic direct interconnection and cooperation between co-located
                  wireless sensor networks},
  school       = {University of Southampton, {UK}},
  year         = {2015}
}
@article{DBLP:journals/ieicet/WuDSHLISOM15,
  author       = {Rui Wu and
                  Wei Deng and
                  Shinji Sato and
                  Takuichi Hirano and
                  Ning Li and
                  Takeshi Inoue and
                  Hitoshi Sakane and
                  Kenichi Okada and
                  Akira Matsuzawa},
  title        = {A 60-GHz {CMOS} Transmitter with Gain-Enhanced On-Chip Antenna for
                  Short-Range Wireless Interconnections},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {98-C},
  number       = {4},
  pages        = {304--314},
  year         = {2015}
}
@article{DBLP:journals/jocnet/RofoeeZ0ATPNS15,
  author       = {Bijan Rahimzadeh Rofoee and
                  Georgios Zervas and
                  Yan Yan and
                  Markos P. Anastasopoulos and
                  Anna Tzanakaki and
                  Shuping Peng and
                  Reza Nejabati and
                  Dimitra Simeonidou},
  title        = {Hardware Virtualized Flexible Network for Wireless Data-Center Optical
                  Interconnects [Invited]},
  journal      = {{JOCN}},
  volume       = {7},
  number       = {3},
  pages        = {A526--A536},
  year         = {2015}
}
@article{DBLP:journals/join/SongL15,
  author       = {Xiao{-}ou Song and
                  Zong{-}Qiang Li},
  title        = {A Green Geographic Routing in Wireless Sensor Network},
  journal      = {J. Interconnect. Networks},
  volume       = {15},
  number       = {3-4},
  pages        = {1540003:1--1540003:14},
  year         = {2015}
}
@article{DBLP:journals/join/WangWW15,
  author       = {Fenghe Wang and
                  Xu An Wang and
                  Chunxiao Wang},
  title        = {Lattice-based Dynamical and Anonymous Broadcast Encryption Scheme
                  for Wireless Ad Hoc Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {15},
  number       = {3-4},
  pages        = {1540005:1--1540005:14},
  year         = {2015}
}
@article{DBLP:journals/mr/MirgkizoudiLCR15,
  author       = {Maria Mirgkizoudi and
                  Changqing Liu and
                  Paul P. Conway and
                  Steve Riches},
  title        = {Mechanical and electrical characterisation of Au wire interconnects
                  in electronic packages under the combined vibration and thermal testing
                  conditions},
  journal      = {Microelectron. Reliab.},
  volume       = {55},
  number       = {6},
  pages        = {952--960},
  year         = {2015}
}
@article{DBLP:journals/mr/SanfinsRRBCB15,
  author       = {William Sanfins and
                  Damien Risaletto and
                  Fr{\'{e}}d{\'{e}}ric Richardeau and
                  G. Blondel and
                  M. Chemin and
                  Philippe Baudesson},
  title        = {Preliminary failure-mode characterization of emerging direct-lead-bonding
                  power module. Comparison with standard wire-bonding interconnection},
  journal      = {Microelectron. Reliab.},
  volume       = {55},
  number       = {9-10},
  pages        = {1956--1960},
  year         = {2015}
}
@article{DBLP:journals/sensors/LudoviciC15,
  author       = {Alessandro Ludovici and
                  Anna Calveras},
  title        = {A Proxy Design to Leverage the Interconnection of CoAP Wireless Sensor
                  Networks with Web Applications},
  journal      = {Sensors},
  volume       = {15},
  number       = {1},
  pages        = {1217--1244},
  year         = {2015}
}
@article{DBLP:journals/tcad/LahaKMRDK15,
  author       = {Soumyasanta Laha and
                  Savas Kaya and
                  David W. Matolak and
                  William Rayess and
                  Dominic DiTomaso and
                  Avinash Karanth Kodi},
  title        = {A New Frontier in Ultralow Power Wireless Links: Network-on-Chip and
                  Chip-to-Chip Interconnects},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {34},
  number       = {2},
  pages        = {186--198},
  year         = {2015}
}
@inproceedings{DBLP:conf/asmta/GelenbeM15,
  author       = {Erol Gelenbe and
                  Andrea Marin},
  title        = {Interconnected Wireless Sensors with Energy Harvesting},
  booktitle    = {{ASMTA}},
  series       = {Lecture Notes in Computer Science},
  volume       = {9081},
  pages        = {87--99},
  publisher    = {Springer},
  year         = {2015}
}
@inproceedings{DBLP:conf/globecom/ChenD15,
  author       = {Jenhui Chen and
                  Peng Dai},
  title        = {Multicast Transmission with Energy-Proportional Power-Gating Scheme
                  for Wireless Interconnects NoC},
  booktitle    = {{GLOBECOM} Workshops},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015}
}
@inproceedings{DBLP:conf/hoti/SikderKKKL15,
  author       = {Md. Ashif I. Sikder and
                  Avinash Karanth Kodi and
                  Matthew Kennedy and
                  Savas Kaya and
                  Ahmed Louri},
  title        = {{OWN:} Optical and Wireless Network-on-Chip for Kilo-core Architectures},
  booktitle    = {Hot Interconnects},
  pages        = {44--51},
  publisher    = {{IEEE} Computer Society},
  year         = {2015}
}
@inproceedings{DBLP:conf/nocs/GadeD15,
  author       = {Sri Harsha Gade and
                  Sujay Deb},
  title        = {Achievable Performance Enhancements with mm-Wave Wireless Interconnects
                  in NoC},
  booktitle    = {{NOCS}},
  pages        = {29:1--29:2},
  publisher    = {{ACM}},
  year         = {2015}
}
@inproceedings{DBLP:conf/nocs/ShamimMG15,
  author       = {Md Shahriar Shamim and
                  Jagan Muralidharan and
                  Amlan Ganguly},
  title        = {An Interconnection Architecture for Seamless Inter and Intra-Chip
                  Communication Using Wireless Links},
  booktitle    = {{NOCS}},
  pages        = {2:1--2:8},
  publisher    = {{ACM}},
  year         = {2015}
}
@inproceedings{DBLP:conf/notere/HormatiBKG15,
  author       = {Mohammadmajid Hormati and
                  Fatna Belqasmi and
                  Ferhat Khendek and
                  Roch H. Glitho},
  title        = {Overlay interconnection for end-user applications and wireless sensor
                  networks in MANETs},
  booktitle    = {{CFIP/NOTERE}},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2015}
}
@inproceedings{DBLP:conf/sas2/SinghanatJMH15,
  author       = {Krongboon Singhanat and
                  Teng Jiang and
                  Geoff V. Merrett and
                  Nick R. Harris},
  title        = {Empirical evaluation of {OI-MAC:} Direct interconnection between wireless
                  sensor networks for collaborative monitoring},
  booktitle    = {{SAS}},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2015}
}
@inproceedings{DBLP:conf/sensys/LeeJRCK15,
  author       = {Changwon Lee and
                  Hoon Jeong and
                  Jae Hong Ryu and
                  Byeong{-}cheol Choi and
                  JeongGil Ko},
  title        = {Demo: Bringing Down Wires in Vehicles: Interconnecting ECUs using
                  Wireless Connectivity},
  booktitle    = {SenSys},
  pages        = {465--466},
  publisher    = {{ACM}},
  year         = {2015}
}
@inproceedings{DBLP:conf/sensys/SuttonZFLGGFBT15a,
  author       = {Felix Sutton and
                  Marco Zimmerling and
                  Reto Da Forno and
                  Roman Lim and
                  Tonio Gsell and
                  Georgia Giannopoulou and
                  Federico Ferrari and
                  Jan Beutel and
                  Lothar Thiele},
  title        = {Demo: Building Reliable Wireless Embedded Platforms using the Bolt
                  Processor Interconnect},
  booktitle    = {SenSys},
  pages        = {461--462},
  publisher    = {{ACM}},
  year         = {2015}
}
@article{DBLP:journals/corr/KhonsariSDS15,
  author       = {Ahmad Khonsari and
                  Seyed Pooya Shariatpanahi and
                  Abolfazl Diyanat and
                  Hossein Shafiei},
  title        = {On the Feasibility of Wireless Interconnects for High-throughput Data
                  Centers},
  journal      = {CoRR},
  volume       = {abs/1506.03551},
  year         = {2015}
}
@article{DBLP:journals/dt/LuLJ14,
  author       = {Julia Hsin{-}Lin Lu and
                  Wing{-}Fai Loke and
                  Byunghoo Jung},
  title        = {Millimeter-Wave Wireless Interconnect for 3-D {SIC} Testing},
  journal      = {{IEEE} Des. Test},
  volume       = {31},
  number       = {6},
  pages        = {29--37},
  year         = {2014}
}
@article{DBLP:journals/ejwcn/VodopivecHBK14,
  author       = {Samo Vodopivec and
                  Melita Hajdinjak and
                  Janez Bester and
                  Andrej Kos},
  title        = {Vehicle interconnection metric and clustering protocol for improved
                  connectivity in vehicular ad hoc networks},
  journal      = {{EURASIP} J. Wirel. Commun. Netw.},
  volume       = {2014},
  pages        = {170},
  year         = {2014}
}
@article{DBLP:journals/mr/MavinkurveGORF14,
  author       = {Amar Mavinkurve and
                  Leon Goumans and
                  G. M. O'Halloran and
                  Rene T. H. Rongen and
                  Mark{-}Luke Farrugia},
  title        = {Copper wire interconnect reliability evaluation using in-situ High
                  Temperature Storage Life {(HTSL)} tests},
  journal      = {Microelectron. Reliab.},
  volume       = {54},
  number       = {9-10},
  pages        = {1661--1665},
  year         = {2014}
}
@inproceedings{DBLP:conf/iwqos/ChenHJ14,
  author       = {Hanhua Chen and
                  Qiong Hu and
                  Hai Jin},
  title        = {Incremental design of scalable wireless interconnection structure
                  for CMPs},
  booktitle    = {IWQoS},
  pages        = {296--301},
  publisher    = {{IEEE}},
  year         = {2014}
}
@inproceedings{DBLP:conf/nocs/MarculescuPHM14,
  author       = {Radu Marculescu and
                  Partha Pratim Pande and
                  Deuk Hyoun Heo and
                  Hiroki Matsutani},
  title        = {Introduction to the special session on "Interconnect enhances architecture:
                  Evolution of wireless NoC from planar to 3D"},
  booktitle    = {{NOCS}},
  pages        = {174--175},
  publisher    = {{IEEE}},
  year         = {2014}
}
@inproceedings{DBLP:conf/socc/NojehPI14,
  author       = {Alireza Nojeh and
                  Partha Pratim Pande and
                  Andr{\'{e}} Ivanov},
  title        = {{T2B:} Carbon nanotubes and opportunities for wireless on-chip interconnect},
  booktitle    = {SoCC},
  pages        = {xxxix--xli},
  publisher    = {{IEEE}},
  year         = {2014}
}
@inproceedings{DBLP:conf/socc/PandeNI14,
  author       = {Partha Pratim Pande and
                  Alireza Nojeh and
                  Andr{\'{e}} Ivanov},
  title        = {{T1B:} Wireless NoC as interconnection backbone for multicore chips:
                  Promises and challenges},
  booktitle    = {SoCC},
  pages        = {xxxvii--xxxviii},
  publisher    = {{IEEE}},
  year         = {2014}
}
@phdthesis{DBLP:phd/basesearch/Wang13d,
  author       = {Ke Wang},
  title        = {Optical wireless technologies for high-speed indoor communications
                  and interconnects},
  school       = {University of Melbourne, Parkville, Victoria, Australia},
  year         = {2013}
}
@phdthesis{DBLP:phd/ethos/KamaliSarvestani13,
  author       = {Amir Mansoor Kamali Sarvestani},
  title        = {Evaluating techniques for wireless interconnected 3D processor arrays},
  school       = {University of York, {UK}},
  year         = {2013}
}
@article{DBLP:journals/iet-cdt/KarkarTTAMYX13,
  author       = {Ammar Karkar and
                  Janice E. Turner and
                  Kenneth Tong and
                  Ra'ed Al{-}Dujaily and
                  Terrence S. T. Mak and
                  Alex Yakovlev and
                  Fei Xia},
  title        = {Hybrid wire-surface wave interconnects for next-generation networks-on-chip},
  journal      = {{IET} Comput. Digit. Tech.},
  volume       = {7},
  number       = {6},
  pages        = {294--303},
  year         = {2013}
}
@article{DBLP:journals/join/ChenWLHHS13,
  author       = {Tseng{-}Yi Chen and
                  Hsin{-}Wen Wei and
                  Che{-}Rung Lee and
                  Fu{-}Nan Huang and
                  Tsan{-}sheng Hsu and
                  Wei{-}Kuan Shih},
  title        = {Energy Efficient Geographic Routing Algorithms in Wireless Sensor
                  Network},
  journal      = {J. Interconnect. Networks},
  volume       = {14},
  number       = {1},
  year         = {2013}
}
@article{DBLP:journals/join/FurqanH13,
  author       = {Fatima Furqan and
                  Doan B. Hoang},
  title        = {Wireless Fair Intelligent Congestion Control - {A} QoS Performance
                  Evaluation},
  journal      = {J. Interconnect. Networks},
  volume       = {14},
  number       = {3},
  year         = {2013}
}
@article{DBLP:journals/mr/CzernyPKT13,
  author       = {Bernhard Czerny and
                  I. Paul and
                  Golta Khatibi and
                  M. Thoben},
  title        = {Experimental and analytical study of geometry effects on the fatigue
                  life of Al bond wire interconnects},
  journal      = {Microelectron. Reliab.},
  volume       = {53},
  number       = {9-11},
  pages        = {1558--1562},
  year         = {2013}
}
@article{DBLP:journals/tc/DebCYSCGPBH13,
  author       = {Sujay Deb and
                  Kevin Chang and
                  Xinmin Yu and
                  Suman Prasad Sah and
                  Miralem Cosic and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Design of an Energy-Efficient CMOS-Compatible NoC Architecture with
                  Millimeter-Wave Wireless Interconnects},
  journal      = {{IEEE} Trans. Computers},
  volume       = {62},
  number       = {12},
  pages        = {2382--2396},
  year         = {2013}
}
@article{DBLP:journals/wcl/SipalGSAE13,
  author       = {Vit Sipal and
                  Javier Gelabert and
                  Christopher J. Stevens and
                  Ben Allen and
                  David J. Edwards},
  title        = {Adaptive {OFDM} for Wireless Interconnect in Confined Enclosures},
  journal      = {{IEEE} Wirel. Commun. Lett.},
  volume       = {2},
  number       = {5},
  pages        = {507--510},
  year         = {2013}
}
@article{DBLP:journals/wpc/FurhadHKK13,
  author       = {Hasan Furhad and
                  Mohammad A. Haque and
                  Cheol Hong Kim and
                  Jong{-}Myon Kim},
  title        = {An Analysis of Reducing Communication Delay in Network-on-Chip Interconnect
                  Architecture},
  journal      = {Wirel. Pers. Commun.},
  volume       = {73},
  number       = {4},
  pages        = {1403--1419},
  year         = {2013}
}
@inproceedings{DBLP:conf/biocas/YangBTCKYSHNM13,
  author       = {Jiawei Yang and
                  Shun Bai and
                  Nhan Tran and
                  Hosung Chun and
                  Omid Kavehei and
                  Yuanyuan Yang and
                  Efstratios Skafidas and
                  Mark E. Halpern and
                  David C. Ng and
                  Vijay Muktamath},
  title        = {A charge-balanced 4-wire interface for the interconnections of biomedical
                  implants},
  booktitle    = {BioCAS},
  pages        = {202--205},
  publisher    = {{IEEE}},
  year         = {2013}
}
@inproceedings{DBLP:conf/date/FettweisHLF13,
  author       = {Gerhard P. Fettweis and
                  Najeeb ul Hassan and
                  Lukas Landau and
                  Erik Fischer},
  title        = {Wireless interconnect for board and chip level},
  booktitle    = {{DATE}},
  pages        = {958--963},
  publisher    = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}},
  year         = {2013}
}
@inproceedings{DBLP:conf/icccn/JiangMH13,
  author       = {Teng Jiang and
                  Geoff V. Merrett and
                  Nick R. Harris},
  title        = {Opportunistic Direct Interconnection between Co-Located Wireless Sensor
                  Networks},
  booktitle    = {{ICCCN}},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2013}
}
@inproceedings{DBLP:conf/iccnc/VardhanP13,
  author       = {Hars Vardhan and
                  Ravi Prakash},
  title        = {Towards 60GHz wireless switching interconnect},
  booktitle    = {{ICNC}},
  pages        = {594--598},
  publisher    = {{IEEE} Computer Society},
  year         = {2013}
}
@inproceedings{DBLP:conf/idt/MondalD13,
  author       = {Hemanta Kumar Mondal and
                  Sujay Deb},
  title        = {Energy efficient on-chip wireless interconnects with sleepy transceivers},
  booktitle    = {{IDT}},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2013}
}
@inproceedings{DBLP:conf/micro/Pande13,
  author       = {Partha Pratim Pande},
  title        = {Millimeter (mm)-wave wireless NoC as interconnection backbone for
                  multicore chips: promises and challenges},
  booktitle    = {NoCArc@MICRO},
  pages        = {1},
  publisher    = {{ACM}},
  year         = {2013}
}
@inproceedings{DBLP:conf/mwscas/KayaLKDMR13,
  author       = {Savas Kaya and
                  Soumyasanta Laha and
                  Avinash Kodi and
                  Dominic DiTomaso and
                  David Matolak and
                  William Rayess},
  title        = {On ultra-short wireless interconnects for NoCs and SoCs: Bridging
                  the 'THz Gap'},
  booktitle    = {{MWSCAS}},
  pages        = {804--808},
  publisher    = {{IEEE}},
  year         = {2013}
}
@article{DBLP:journals/esticas/DebGPBH12,
  author       = {Sujay Deb and
                  Amlan Ganguly and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Wireless NoC as Interconnection Backbone for Multicore Chips: Promises
                  and Challenges},
  journal      = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.},
  volume       = {2},
  number       = {2},
  pages        = {228--239},
  year         = {2012}
}
@article{DBLP:journals/ijdsn/MaharreyLG12,
  author       = {Brandon Keith Maharrey and
                  Alvin S. Lim and
                  Song Gao},
  title        = {Interconnection between {IP} Networks and Wireless Sensor Networks},
  journal      = {Int. J. Distributed Sens. Networks},
  volume       = {8},
  year         = {2012}
}
@article{DBLP:journals/join/TanLWWL12,
  author       = {Haisheng Tan and
                  Tiancheng Lou and
                  Amy Yuexuan Wang and
                  Yongcai Wang and
                  Francis C. M. Lau},
  title        = {Average Interference Minimization under the Protocol Model in Wireless
                  Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {13},
  number       = {1-2},
  year         = {2012}
}
@article{DBLP:journals/join/XieS12,
  author       = {Meng Xie and
                  Hongchi Shi},
  title        = {In-Network Data Aggregation via Ant-Colony Optimization in Wireless
                  Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {13},
  number       = {3-4},
  year         = {2012}
}
@article{DBLP:journals/join/ZhangLS12,
  author       = {Yuhong Zhang and
                  Wei Wayne Li and
                  Ezzatollah Salari},
  title        = {Handoff determination for a Hybrid Channel Allocation Algorithm in
                  Wireless and Mobile Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {13},
  number       = {3-4},
  year         = {2012}
}
@article{DBLP:journals/jssc/GambiniCAR12,
  author       = {Simone Gambini and
                  John Crossley and
                  Elad Alon and
                  Jan M. Rabaey},
  title        = {A Fully Integrated, 290 pJ/bit {UWB} Dual-Mode Transceiver for cm-Range
                  Wireless Interconnects},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {47},
  number       = {3},
  pages        = {586--598},
  year         = {2012}
}
@inproceedings{DBLP:conf/acity/SinghV12,
  author       = {Shikha Singh and
                  V. Sulochana Verma},
  title        = {Reduction of Crosstalk Noise and Delay in {VLSI} Interconnects Using
                  Schmitt Trigger as a Buffer and Wire Sizing},
  booktitle    = {{ACITY} {(3)}},
  series       = {Advances in Intelligent Systems and Computing},
  volume       = {178},
  pages        = {677--686},
  publisher    = {Springer},
  year         = {2012}
}
@inproceedings{DBLP:conf/bcfic/SchleissTMJ12,
  author       = {Philipp Schleiss and
                  Nikolaj Torring and
                  S{\o}ren Aagaard Mikkelsen and
                  Rune Hylsberg Jacobsen},
  title        = {Interconnecting IPv6 wireless sensors with an Android smartphone in
                  the Future Internet},
  booktitle    = {{BCFIC}},
  pages        = {14--18},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/ccnc/SoutoAJMSK12,
  author       = {Eduardo Souto and
                  Rafael Aschoff and
                  Josias Lima Junior and
                  Rodrigo Melo and
                  Djamel Sadok and
                  Judith Kelner},
  title        = {{HTR:} {A} framework for interconnecting wireless heterogeneous devices},
  booktitle    = {{CCNC}},
  pages        = {645--649},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/fpl/KoizumiSAMTKSNUKN12,
  author       = {Yusuke Koizumi and
                  Eiichi Sasaki and
                  Hideharu Amano and
                  Hiroki Matsutani and
                  Yasuhiro Take and
                  Tadahiro Kuroda and
                  Ryuichi Sakamoto and
                  Mitaro Namiki and
                  Kimiyoshi Usami and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  title        = {CMA-Cube: {A} scalable reconfigurable accelerator with 3-D wireless
                  inductive coupling interconnect},
  booktitle    = {{FPL}},
  pages        = {543--546},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/fpt/KoizumiAMMKSNUKN12,
  author       = {Yusuke Koizumi and
                  Hideharu Amano and
                  Hiroki Matsutani and
                  Noriyuki Miura and
                  Tadahiro Kuroda and
                  Ryuichi Sakamoto and
                  Mitaro Namiki and
                  Kimiyoshi Usami and
                  Masaaki Kondo and
                  Hiroshi Nakamura},
  title        = {Dynamic power control with a heterogeneous multi-core system using
                  a 3-D wireless inductive coupling interconnect},
  booktitle    = {{FPT}},
  pages        = {293--296},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/icc/SenelY12,
  author       = {Fatih Senel and
                  Mohamed F. Younis},
  title        = {Optimized interconnection of disjoint wireless sensor network segments
                  using {K} mobile data collectors},
  booktitle    = {{ICC}},
  pages        = {492--496},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/issoc/WangWJ12,
  author       = {Ling Wang and
                  Zhen Wang and
                  Yingtao Jiang},
  title        = {A hybrid chip interconnection architecture with a global wireless
                  network overlaid on top of a wired network-on-chip},
  booktitle    = {ISSoC},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/noms/MenezesWWP12,
  author       = {Alexandre Gava Menezes and
                  Carlos Becker Westphall and
                  Carla Merkle Westphall and
                  Eliel Marlon de Lima Pinto},
  title        = {Hybrid protocol for group key management and transparent cluster interconnection
                  in a secure wireless sensor network},
  booktitle    = {{NOMS}},
  pages        = {603--606},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/robio/YuMWH12,
  author       = {Guoping Yu and
                  Huasong Min and
                  Hongxing Wei and
                  Haojun Huang},
  title        = {Design and implementation of interconnecting IPv6 wireless sensor
                  networks with the Internet},
  booktitle    = {{ROBIO}},
  pages        = {1325--1330},
  publisher    = {{IEEE}},
  year         = {2012}
}
@inproceedings{DBLP:conf/wwic/LakafosisABS12,
  author       = {Vasileios Lakafosis and
                  Sreenivas Addagatla and
                  Christian Belady and
                  Suyash Sinha},
  title        = {Prometheus: {A} Wirelessly Interconnected, Pico-Datacenter Framework
                  for the Developing World},
  booktitle    = {{WWIC}},
  series       = {Lecture Notes in Computer Science},
  volume       = {7277},
  pages        = {26--39},
  publisher    = {Springer},
  year         = {2012}
}
@article{DBLP:journals/adhoc/StasiBACAGKT11,
  author       = {Giovanni Di Stasi and
                  Roberto Bifulco and
                  Stefano Avallone and
                  Roberto Canonico and
                  Apostolos Apostolaras and
                  Nikolaos Giallelis and
                  Thanasis Korakis and
                  Leandros Tassiulas},
  title        = {Interconnection of geographically distributed wireless mesh testbeds:
                  Resource sharing on a large scale},
  journal      = {Ad Hoc Networks},
  volume       = {9},
  number       = {8},
  pages        = {1389--1403},
  year         = {2011}
}
@article{DBLP:journals/chinaf/TamC11,
  author       = {Sai{-}Wang Tam and
                  Mau{-}Chung Frank Chang},
  title        = {RF/wireless-interconnect: The next wave of connectivity},
  journal      = {Sci. China Inf. Sci.},
  volume       = {54},
  number       = {5},
  pages        = {1026--1038},
  year         = {2011}
}
@article{DBLP:journals/ejwcn/SanchezAAV11,
  author       = {David Sanchez Sanchez and
                  Luis Alonso and
                  Pantelis Angelidis and
                  Christos V. Verikoukis},
  title        = {Secure Precise Clock Synchronization for Interconnected Body Area
                  Networks},
  journal      = {{EURASIP} J. Wirel. Commun. Netw.},
  volume       = {2011},
  year         = {2011}
}
@article{DBLP:journals/join/FunabikiSHTNW11,
  author       = {Nobuo Funabiki and
                  Junki Shimizu and
                  Masaharu Hata and
                  Shigeru Tomisato and
                  Toru Nakanishi and
                  Kan Watanabe},
  title        = {An Active Access-Point Selection Approach for Dependable Wireless
                  Mesh Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {12},
  number       = {3},
  pages        = {137--154},
  year         = {2011}
}
@article{DBLP:journals/join/RajasinghARM11,
  author       = {Indra Rajasingh and
                  Micheal Arockiaraj and
                  Bharati Rajan and
                  Paul D. Manuel},
  title        = {Circular wirelength of Generalized Petersen Graphs},
  journal      = {J. Interconnect. Networks},
  volume       = {12},
  number       = {4},
  pages        = {319--336},
  year         = {2011}
}
@article{DBLP:journals/lisp/SalamaMTGO11,
  author       = {Cherif R. Salama and
                  Gregory Malecha and
                  Walid Taha and
                  Jim Grundy and
                  John O'Leary},
  title        = {Static consistency checking for Verilog wire interconnects - Using
                  dependent types to check the sanity of Verilog descriptions},
  journal      = {High. Order Symb. Comput.},
  volume       = {24},
  number       = {1-2},
  pages        = {81--114},
  year         = {2011}
}
@article{DBLP:journals/mr/YangAJ11,
  author       = {Li Yang and
                  Pearl A. Agyakwa and
                  C. Mark Johnson},
  title        = {A time-domain physics-of-failure model for the lifetime prediction
                  of wire bond interconnects},
  journal      = {Microelectron. Reliab.},
  volume       = {51},
  number       = {9-11},
  pages        = {1882--1886},
  year         = {2011}
}
@inproceedings{DBLP:conf/cicc/HuC11,
  author       = {Changhui Hu and
                  Patrick Yin Chiang},
  title        = {All-digital 3-50 GHz ultra-wideband pulse generator for short-range
                  wireless interconnect in 40nm {CMOS}},
  booktitle    = {{CICC}},
  pages        = {1--4},
  publisher    = {{IEEE}},
  year         = {2011}
}
@inproceedings{DBLP:conf/hoti/DiTomasoKKM11,
  author       = {Dominic DiTomaso and
                  Avinash Karanth Kodi and
                  Savas Kaya and
                  David W. Matolak},
  title        = {iWISE: Inter-router Wireless Scalable Express Channels for Network-on-Chips
                  (NoCs) Architecture},
  booktitle    = {Hot Interconnects},
  pages        = {11--18},
  publisher    = {{IEEE} Computer Society},
  year         = {2011}
}
@inproceedings{DBLP:conf/pci/AkribopoulosGPC11,
  author       = {Orestis Akribopoulos and
                  Vasileios Georgitzikis and
                  Anastasia Protopapa and
                  Ioannis Chatzigiannakis},
  title        = {Building a Platform-Agnostic Wireless Network of Interconnected Smart
                  Objects},
  booktitle    = {Panhellenic Conference on Informatics},
  pages        = {277--281},
  publisher    = {{IEEE} Computer Society},
  year         = {2011}
}
@incollection{DBLP:series/icas/MatsutaniKKA11,
  author       = {Hiroki Matsutani and
                  Michihiro Koibuchi and
                  Tadahiro Kuroda and
                  Hideharu Amano},
  title        = {3-D NoC on Inductive Wireless Interconnect},
  booktitle    = {3D Integration for NoC-based SoC Architectures},
  series       = {Integrated Circuits and Systems},
  pages        = {225--248},
  publisher    = {Springer},
  year         = {2011}
}
@phdthesis{DBLP:phd/hal/Schiller10,
  author       = {Eryk Schiller},
  title        = {New interconnection architectures for wireless networks. (Les architectures
                  des r{\'{e}}seaux pour des environnements entierement sans fil)},
  school       = {Grenoble Alpes University, France},
  year         = {2010}
}
@article{DBLP:journals/comcom/CaponeCDF10,
  author       = {Antonio Capone and
                  Matteo Cesana and
                  Danilo De Donno and
                  Ilario Filippini},
  title        = {Deploying multiple interconnected gateways in heterogeneous wireless
                  sensor networks: An optimization approach},
  journal      = {Comput. Commun.},
  volume       = {33},
  number       = {10},
  pages        = {1151--1161},
  year         = {2010}
}
@article{DBLP:journals/dt/ChiangWHGKNL10,
  author       = {Patrick Yin Chiang and
                  Sirikarn Woracheewan and
                  Changhui Hu and
                  Lei Guo and
                  Rahul Khanna and
                  Jay J. Nejedlo and
                  Huaping Liu},
  title        = {Short-Range, Wireless Interconnect within a Computing Chassis: Design
                  Challenges},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {4},
  pages        = {32--43},
  year         = {2010}
}
@article{DBLP:journals/dt/NojehI10,
  author       = {Alireza Nojeh and
                  Andr{\'{e}} Ivanov},
  title        = {Wireless Interconnect and the Potential for Carbon Nanotubes},
  journal      = {{IEEE} Des. Test Comput.},
  volume       = {27},
  number       = {4},
  pages        = {44--53},
  year         = {2010}
}
@article{DBLP:journals/ieicet/ItoS10,
  author       = {Sadaharu Ito and
                  Michihiko Suhara},
  title        = {Demultiplexing Property Owing to a Composite Right/Left-Handed Transmission
                  Line with Leaky Wave Radiation toward Functional Wireless Interconnects},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {93-C},
  number       = {5},
  pages        = {619--624},
  year         = {2010}
}
@article{DBLP:journals/ieicet/KanamotoOFTKHSTNMSH10,
  author       = {Toshiki Kanamoto and
                  Takaaki Okumura and
                  Katsuhiro Furukawa and
                  Hiroshi Takafuji and
                  Atsushi Kurokawa and
                  Koutaro Hachiya and
                  Tsuyoshi Sakata and
                  Masakazu Tanaka and
                  Hidenari Nakashima and
                  Hiroo Masuda and
                  Takashi Sato and
                  Masanori Hashimoto},
  title        = {Impact of Self-Heating in Wire Interconnection on Timing},
  journal      = {{IEICE} Trans. Electron.},
  volume       = {93-C},
  number       = {3},
  pages        = {388--392},
  year         = {2010}
}
@article{DBLP:journals/mj/RahamanC10,
  author       = {Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  title        = {Energy efficiency of error control coding in intra-chip RF/wireless
                  interconnect systems},
  journal      = {Microelectron. J.},
  volume       = {41},
  number       = {1},
  pages        = {33--40},
  year         = {2010}
}
@article{DBLP:journals/wpc/BouckaertPLHMD10,
  author       = {Stefan Bouckaert and
                  Eli De Poorter and
                  Beno{\^{\i}}t Latr{\'{e}} and
                  Jeroen Hoebeke and
                  Ingrid Moerman and
                  Piet Demeester},
  title        = {Strategies and Challenges for Interconnecting Wireless Mesh and Wireless
                  Sensor Networks},
  journal      = {Wirel. Pers. Commun.},
  volume       = {53},
  number       = {3},
  pages        = {443--463},
  year         = {2010}
}
@inproceedings{DBLP:conf/asap/DebGCPBH10,
  author       = {Sujay Deb and
                  Amlan Ganguly and
                  Kevin Chang and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Enhancing performance of network-on-chip architectures with millimeter-wave
                  wireless interconnects},
  booktitle    = {{ASAP}},
  pages        = {73--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2010}
}
@inproceedings{DBLP:conf/glvlsi/MoreT10,
  author       = {Ankit More and
                  Baris Taskin},
  title        = {Electromagnetic interaction of on-chip antennas and {CMOS} metal layers
                  for wireless {IC} interconnects},
  booktitle    = {{ACM} Great Lakes Symposium on {VLSI}},
  pages        = {413--416},
  publisher    = {{ACM}},
  year         = {2010}
}
@inproceedings{DBLP:conf/green/YuSBH10,
  author       = {Xinmin Yu and
                  Suman Prasad Sah and
                  Benjamin Belzer and
                  Deuk Hyoun Heo},
  title        = {Performance evaluation and receiver front-end design for on-chip millimeter-wave
                  wireless interconnect},
  booktitle    = {Green Computing Conference},
  pages        = {555--560},
  publisher    = {{IEEE} Computer Society},
  year         = {2010}
}
@inproceedings{DBLP:conf/iscas/ChenY10,
  author       = {Zhi{-}Wei Chen and
                  Jin{-}Tai Yan},
  title        = {Width-constrained wire sizing for non-tree interconnections},
  booktitle    = {{ISCAS}},
  pages        = {2586--2589},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/isqed/MoreT10,
  author       = {Ankit More and
                  Baris Taskin},
  title        = {Leakage current analysis for intra-chip wireless interconnects},
  booktitle    = {{ISQED}},
  pages        = {49--53},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/isvlsi/MoreT10,
  author       = {Ankit More and
                  Baris Taskin},
  title        = {Simulation Based Feasibility Study of Wireless {RF} Interconnects
                  for 3D ICs},
  booktitle    = {{ISVLSI}},
  pages        = {228--231},
  publisher    = {{IEEE} Computer Society},
  year         = {2010}
}
@inproceedings{DBLP:conf/slip/MoreT10,
  author       = {Ankit More and
                  Baris Taskin},
  title        = {Simulation based study of wireless {RF} interconnects for practical
                  CMOs implementation},
  booktitle    = {{SLIP}},
  pages        = {35--42},
  publisher    = {{ACM}},
  year         = {2010}
}
@inproceedings{DBLP:conf/vlsi/CarduFGSCPSC10,
  author       = {Roberto Cardu and
                  Eleonora Franchi and
                  Roberto Guerrieri and
                  Mauro Scandiuzzo and
                  Salvatore Cani and
                  Luca Perugini and
                  Simone Spolzino and
                  Roberto Canegallo},
  title        = {Characterization of chip-to-chip wireless interconnections based on
                  capacitive coupling},
  booktitle    = {VLSI-SoC},
  pages        = {375--380},
  publisher    = {{IEEE}},
  year         = {2010}
}
@inproceedings{DBLP:conf/wd/GranjalMS10,
  author       = {Jorge Granjal and
                  Edmundo Monteiro and
                  Jorge S{\'{a}} Silva},
  title        = {A secure interconnection model for IPv6 enabled wireless sensor networks},
  booktitle    = {Wireless Days},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2010}
}
@article{DBLP:journals/join/RahmanE09,
  author       = {Sk. Md. Mizanur Rahman and
                  Khalil El{-}Khatib},
  title        = {Secure Anonymous Communication for Wireless Sensor Networks Based
                  on Pairing over Elliptic Curves},
  journal      = {J. Interconnect. Networks},
  volume       = {10},
  number       = {4},
  pages        = {459--479},
  year         = {2009}
}
@article{DBLP:journals/join/ZaidiHML09,
  author       = {Zainab R. Zaidi and
                  Sara Hakami and
                  Tim Moors and
                  Bj{\"{o}}rn Landfeldt},
  title        = {Detection and Identification of Anomalies in Wireless Mesh Networks
                  Using Principal Component Analysis {(PCA)}},
  journal      = {J. Interconnect. Networks},
  volume       = {10},
  number       = {4},
  pages        = {517--534},
  year         = {2009}
}
@article{DBLP:journals/jssc/SasakiKMK09,
  author       = {Nobuo Sasaki and
                  Kentaro Kimoto and
                  Wataru Moriyama and
                  Takamaro Kikkawa},
  title        = {A Single-Chip Ultra-Wideband Receiver With Silicon Integrated Antennas
                  for Inter-Chip Wireless Interconnection},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {44},
  number       = {2},
  pages        = {382--393},
  year         = {2009}
}
@inproceedings{DBLP:conf/globecom/BouckaertPMMD09,
  author       = {Stefan Bouckaert and
                  Eli De Poorter and
                  Pieter De Mil and
                  Ingrid Moerman and
                  Piet Demeester},
  title        = {Interconnecting Wireless Sensor and Wireless Mesh Networks: Challenges
                  and Strategies},
  booktitle    = {{GLOBECOM}},
  pages        = {1--7},
  publisher    = {{IEEE}},
  year         = {2009}
}
@inproceedings{DBLP:conf/micro/TeuscherPMHA09,
  author       = {Christof Teuscher and
                  Neha Parashar and
                  Mrugesh Mote and
                  Nolan Hergert and
                  Jonathan Aherne},
  title        = {Wire cost and communication analysis of self-assembled interconnect
                  models for Networks-on-Chip},
  booktitle    = {NoCArc@MICRO},
  pages        = {83--88},
  publisher    = {{ACM}},
  year         = {2009}
}
@inproceedings{DBLP:conf/mobicom/LeeTPLCGRPNZC09,
  author       = {Suk{-}Bok Lee and
                  Sai{-}Wang Tam and
                  Ioannis Pefkianakis and
                  Songwu Lu and
                  M. Frank Chang and
                  Chuanxiong Guo and
                  Glenn Reinman and
                  Chunyi Peng and
                  Mishali Naik and
                  Lixia Zhang and
                  Jason Cong},
  title        = {A scalable micro wireless interconnect structure for CMPs},
  booktitle    = {MobiCom},
  pages        = {217--228},
  publisher    = {{ACM}},
  year         = {2009}
}
@inproceedings{DBLP:conf/networking/CaponeCDF09,
  author       = {Antonio Capone and
                  Matteo Cesana and
                  Danilo De Donno and
                  Ilario Filippini},
  title        = {Optimal Placement of Multiple Interconnected Gateways in Heterogeneous
                  Wireless Sensor Networks},
  booktitle    = {Networking},
  series       = {Lecture Notes in Computer Science},
  volume       = {5550},
  pages        = {442--455},
  publisher    = {Springer},
  year         = {2009}
}
@inproceedings{DBLP:conf/pepm/SalamaMTGO09,
  author       = {Cherif R. Salama and
                  Gregory Malecha and
                  Walid Taha and
                  Jim Grundy and
                  John O'Leary},
  title        = {Static consistency checking for verilog wire interconnects: using
                  dependent types to check the sanity of verilog descriptions},
  booktitle    = {{PEPM}},
  pages        = {121--130},
  publisher    = {{ACM}},
  year         = {2009}
}
@inproceedings{DBLP:conf/slip/Nayak09,
  author       = {Saroj K. Nayak},
  title        = {Carbon nanotube, graphene and atomic wires as next generation interconnects:
                  current status and future promise},
  booktitle    = {{SLIP}},
  pages        = {109--110},
  publisher    = {{ACM}},
  year         = {2009}
}
@article{DBLP:journals/ejasp/RazaviFG08,
  author       = {Rouzbeh Razavi and
                  Martin Fleury and
                  Mohammed Ghanbari},
  title        = {Power-Constrained Fuzzy Logic Control of Video Streaming over a Wireless
                  Interconnect},
  journal      = {{EURASIP} J. Adv. Signal Process.},
  volume       = {2008},
  year         = {2008}
}
@article{DBLP:journals/jnca/Ozcelik08,
  author       = {Ibrahim {\"{O}}z{\c{c}}elik},
  title        = {Interconnection of {CAN} segments through {IEEE} 802.16 wireless {MAN}},
  journal      = {J. Netw. Comput. Appl.},
  volume       = {31},
  number       = {4},
  pages        = {879--890},
  year         = {2008}
}
@article{DBLP:journals/join/BononiF08,
  author       = {Luciano Bononi and
                  Marco Di Felice},
  title        = {Cross-Layered {MAC} and Multipath Routing Protocols in Multi-Hop Wireless
                  Mobile Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {3},
  pages        = {177--203},
  year         = {2008}
}
@article{DBLP:journals/join/DurresiDB08,
  author       = {Arjan Durresi and
                  Mimoza Durresi and
                  Leonard Barolli},
  title        = {Priority Based Wireless Communications for Health Monitoring on Highways},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {4},
  pages        = {337--349},
  year         = {2008}
}
@article{DBLP:journals/join/KolarA08,
  author       = {Vinay Kolar and
                  Nael B. Abu{-}Ghazaleh},
  title        = {Globally Aware Routing in Multi-Hop Wireless Networks: a Formulation
                  and Analysis},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {3},
  pages        = {205--230},
  year         = {2008}
}
@article{DBLP:journals/join/MahfoudhM08,
  author       = {Saoucene Mahfoudh and
                  Pascale Minet},
  title        = {Eolsr: an Energy Efficient Routing Protocol in Wireless Ad Hoc and
                  Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {4},
  pages        = {389--408},
  year         = {2008}
}
@article{DBLP:journals/join/PhuaD08,
  author       = {Valance Phua and
                  Amitava Datta},
  title        = {A Link State Dependent {TDMA} Protocol for Industrial Wireless Sensor
                  Network Applications in Periodically Changing Environments},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {3},
  pages        = {231--254},
  year         = {2008}
}
@article{DBLP:journals/join/SamarahB08,
  author       = {Samer Samarah and
                  Azzedine Boukerche},
  title        = {Chronological Tree - a Compressed Structure for Mining Behavioral
                  Patterns from Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {9},
  number       = {3},
  pages        = {255--276},
  year         = {2008}
}
@article{DBLP:journals/tcas/DeodharD08,
  author       = {Vinita V. Deodhar and
                  Jeffrey A. Davis},
  title        = {Optimal Voltage Scaling, Repeater Insertion, and Wire Sizing for Wave-Pipelined
                  Global Interconnects},
  journal      = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.},
  volume       = {55-I},
  number       = {4},
  pages        = {1023--1030},
  year         = {2008}
}
@article{DBLP:journals/vlsisp/LeeLM08,
  author       = {Edmund Lee and
                  Guy Lemieux and
                  Shahriar Mirabbasi},
  title        = {Interconnect Driver Design for Long Wires in Field-Programmable Gate
                  Arrays},
  journal      = {J. Signal Process. Syst.},
  volume       = {51},
  number       = {1},
  pages        = {57--76},
  year         = {2008}
}
@article{DBLP:journals/wicomm/XieKA08,
  author       = {Bin Xie and
                  Anup Kumar and
                  Dharma P. Agrawal},
  title        = {Secure interconnection protocol for integrated Internet and ad hoc
                  networks},
  journal      = {Wirel. Commun. Mob. Comput.},
  volume       = {8},
  number       = {9},
  pages        = {1129--1148},
  year         = {2008}
}
@inproceedings{DBLP:conf/cicc/SankaranOWO08,
  author       = {Swaminathan Sankaran and
                  Kyujin Oh and
                  Hsin{-}Ta Wu and
                  Kenneth K. O},
  title        = {Wireless interconnection within a hybrid engine controller board},
  booktitle    = {{CICC}},
  pages        = {149--152},
  publisher    = {{IEEE}},
  year         = {2008}
}
@inproceedings{DBLP:conf/cisse/LiutkeviciusVK08,
  author       = {Agnius Liutkevicius and
                  Arunas Vrubliauskas and
                  Egidijus Kazanavicius},
  title        = {A Survey of Wireless Sensor Network Interconnection to External Networks},
  booktitle    = {TeNe},
  pages        = {41--46},
  publisher    = {Springer},
  year         = {2008}
}
@inproceedings{DBLP:conf/eit/RahamanC08,
  author       = {Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  title        = {{BER} performance comparison between {CDMA} and {UWB} for RF/wireless
                  interconnect application},
  booktitle    = {{EIT}},
  pages        = {494--497},
  publisher    = {{IEEE}},
  year         = {2008}
}
@inproceedings{DBLP:conf/glvlsi/DattaB08,
  author       = {Basab Datta and
                  Wayne P. Burleson},
  title        = {Collaborative sensing of on-chip wire temperatures using interconnect
                  based ring oscillators},
  booktitle    = {{ACM} Great Lakes Symposium on {VLSI}},
  pages        = {41--46},
  publisher    = {{ACM}},
  year         = {2008}
}
@inproceedings{DBLP:conf/glvlsi/RahamanC08,
  author       = {Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  title        = {Improved ber performance in intra-chip rf/wireless interconnect systems},
  booktitle    = {{ACM} Great Lakes Symposium on {VLSI}},
  pages        = {303--308},
  publisher    = {{ACM}},
  year         = {2008}
}
@inproceedings{DBLP:conf/icc/SamuelZP08,
  author       = {Hany Samuel and
                  Weihua Zhuang and
                  Bruno R. Preiss},
  title        = {Routing over Interconnected Heterogeneous Wireless Networks with Intermittent
                  Connections},
  booktitle    = {{ICC}},
  pages        = {2282--2286},
  publisher    = {{IEEE}},
  year         = {2008}
}
@inproceedings{DBLP:conf/icebe/SapateiroAZBV08,
  author       = {Cl{\'{a}}udio Sapateiro and
                  Pedro Antunes and
                  Gustavo Zurita and
                  Nelson Baloian and
                  Rodrigo Vogt},
  title        = {Supporting Crisis Management Processes by Wirelessly Interconnected
                  Tablet-PCs},
  booktitle    = {{ICEBE}},
  pages        = {87--93},
  publisher    = {{IEEE} Computer Society},
  year         = {2008}
}
@inproceedings{DBLP:conf/icnsc/JiGHW08,
  author       = {Xiaopeng Ji and
                  Long Ge and
                  Xiaodong Han and
                  Zhiquan Wang},
  title        = {Wire-Sizing for Interconnect Performance Optimization Considering
                  High Inductance Effects},
  booktitle    = {{ICNSC}},
  pages        = {1114--1118},
  publisher    = {{IEEE}},
  year         = {2008}
}
@inproceedings{DBLP:conf/iscas/RahamanC08,
  author       = {Md. Sajjad Rahaman and
                  Masud H. Chowdhury},
  title        = {Time diversity approach for intra-chip RF/wireless interconnect systems},
  booktitle    = {{ISCAS}},
  pages        = {2434--2437},
  publisher    = {{IEEE}},
  year         = {2008}
}
@article{DBLP:journals/integration/El-MoursyF07,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  title        = {Wire shaping of {RLC} interconnects},
  journal      = {Integr.},
  volume       = {40},
  number       = {4},
  pages        = {461--472},
  year         = {2007}
}
@article{DBLP:journals/join/HuangTL07,
  author       = {Chi{-}Fu Huang and
                  Yu{-}Chee Tseng and
                  Li{-}Chu Lo},
  title        = {The Coverage Problem in Three-Dimensional Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {8},
  number       = {3},
  pages        = {209--227},
  year         = {2007}
}
@article{DBLP:journals/join/HussainR07,
  author       = {Sajid Hussain and
                  Md. Ashiqur Rahman},
  title        = {Energy Efficient Data Dissemination for Uniform Coverage in Wireless
                  Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {8},
  number       = {4},
  pages        = {355--367},
  year         = {2007}
}
@article{DBLP:journals/join/MaD07,
  author       = {Liang Ma and
                  Mieso K. Denko},
  title        = {Enhanced Routing Metric for Load-Balancing in Wireless Mesh Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {8},
  number       = {4},
  pages        = {407--426},
  year         = {2007}
}
@inproceedings{DBLP:conf/ccnc/SongH07,
  author       = {Liang Song and
                  Dimitrios Hatzinakos},
  title        = {Embedded Wireless Interconnect for Sensor Networks: Concept and Example},
  booktitle    = {{CCNC}},
  pages        = {850--854},
  publisher    = {{IEEE}},
  year         = {2007}
}
@inproceedings{DBLP:conf/glvlsi/FernandezRB07,
  author       = {Carlos Fern{\'{a}}ndez and
                  Rajkumar K. Raval and
                  Chris J. Bleakley},
  title        = {{GALS} SoC interconnect bus for wireless sensor network processor
                  platforms},
  booktitle    = {{ACM} Great Lakes Symposium on {VLSI}},
  pages        = {132--137},
  publisher    = {{ACM}},
  year         = {2007}
}
@inproceedings{DBLP:conf/iscas/ChoiHPPOC07,
  author       = {Boo{-}Young Choi and
                  Jung{-}Won Han and
                  Sung Min Park and
                  Kang{-}Yeob Park and
                  Wonseok Oh and
                  J.{-}C. Choi},
  title        = {A 1Gb/s Optical Transceiver Array Chipset for Automotive Wired Interconnects},
  booktitle    = {{ISCAS}},
  pages        = {181--184},
  publisher    = {{IEEE}},
  year         = {2007}
}
@inproceedings{DBLP:conf/pimrc/Mohanty07,
  author       = {Pradeep K. Mohanty},
  title        = {A Framework for Interconnecting Wireless Sensor and {IP} Networks},
  booktitle    = {{PIMRC}},
  pages        = {1--3},
  publisher    = {{IEEE}},
  year         = {2007}
}
@inproceedings{DBLP:conf/slip/HatirnazBPLMAM07,
  author       = {Ilhan Hatirnaz and
                  St{\'{e}}phane Badel and
                  Nuria Pazos and
                  Yusuf Leblebici and
                  Srinivasan Murali and
                  David Atienza and
                  Giovanni De Micheli},
  title        = {Early wire characterization for predictable network-on-chip global
                  interconnects},
  booktitle    = {{SLIP}},
  pages        = {57--64},
  publisher    = {{ACM}},
  year         = {2007}
}
@inproceedings{DBLP:conf/socc/HatyrnazBPL07,
  author       = {I. Hatyrnaz and
                  St{\'{e}}phane Badel and
                  Nuria Pazos and
                  Yusuf Leblebici},
  title        = {Predictable system interconnects through accurate early wire characterization},
  booktitle    = {SoCC},
  pages        = {287--290},
  publisher    = {{IEEE}},
  year         = {2007}
}
@article{DBLP:journals/ijwin/SeahYETT06,
  author       = {Winston Khoon Guan Seah and
                  Lu{-}Yee Yeo and
                  Zhi Ang Eu and
                  Hwee{-}Xian Tan and
                  Kean Soon Tan},
  title        = {Performance Modeling of {MANET} Interconnectivity},
  journal      = {Int. J. Wirel. Inf. Networks},
  volume       = {13},
  number       = {2},
  pages        = {115--126},
  year         = {2006}
}
@article{DBLP:journals/join/DurresiB06,
  author       = {Arjan Durresi and
                  Leonard Barolli},
  title        = {Heterogeneous Wireless Ad Hoc Networks - Foreword by Guest Editors},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {1--4},
  year         = {2006}
}
@article{DBLP:journals/join/DurresiPDB06,
  author       = {Arjan Durresi and
                  Vamsi Paruchuri and
                  Mimoza Durresi and
                  Leonard Barolli},
  title        = {Delay-energy Aware Routing Protocol for Heterogeneous Wireless Ad
                  Hoc Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {37--50},
  year         = {2006}
}
@article{DBLP:journals/join/GranelliK06,
  author       = {Fabrizio Granelli and
                  Dzmitry Kliazovich},
  title        = {Cross-layering for Performance Improvement in Multi-hop Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {51--62},
  year         = {2006}
}
@article{DBLP:journals/join/HellmanC06,
  author       = {Keith Hellman and
                  Michael Colagrosso},
  title        = {Investigating a Wireless Sensor Network Optimal Lifetime Solution
                  for Linear Topologies},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {91--100},
  year         = {2006}
}
@article{DBLP:journals/join/YarAW06,
  author       = {Asfand{-}E. Yar and
                  Irfan{-}Ullah Awan and
                  Michael E. Woodward},
  title        = {Performance Modelling of Traffic Congestion in Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {1},
  pages        = {163--178},
  year         = {2006}
}
@article{DBLP:journals/join/ZhangF06,
  author       = {Ying Zhang and
                  Markus P. J. Fromherz},
  title        = {A Robust and Efficient Flooding-Based Routing for Wireless Sensor
                  Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {7},
  number       = {4},
  pages        = {549--568},
  year         = {2006}
}
@article{DBLP:journals/todaes/HanchateR06,
  author       = {Narender Hanchate and
                  Nagarajan Ranganathan},
  title        = {A game-theoretic framework for multimetric optimization of interconnect
                  delay, power, and crosstalk noise during wire sizing},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {11},
  number       = {3},
  pages        = {711--739},
  year         = {2006}
}
@inproceedings{DBLP:conf/apnoms/MenezesW06,
  author       = {Alexandre Gava Menezes and
                  Carlos Becker Westphall},
  title        = {Security Approaches for Cluster Interconnection in a Wireless Sensor
                  Network},
  booktitle    = {{APNOMS}},
  series       = {Lecture Notes in Computer Science},
  volume       = {4238},
  pages        = {542--545},
  publisher    = {Springer},
  year         = {2006}
}
@inproceedings{DBLP:conf/aspdac/ShiP06,
  author       = {Sean X. Shi and
                  David Z. Pan},
  title        = {Wire sizing with scattering effect for nanoscale interconnection},
  booktitle    = {{ASP-DAC}},
  pages        = {503--508},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/ccece/WangMM06,
  author       = {Yuxin Wang and
                  D. Makadia and
                  Martin Margala},
  title        = {On-Chip Integrated Antennas - The First Challenge for Reliable on-Chip
                  Wireless Interconnects},
  booktitle    = {{CCECE}},
  pages        = {2322--2325},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/date/LeeKKCY06,
  author       = {Se{-}Joong Lee and
                  Kwanho Kim and
                  Hyejung Kim and
                  Namjun Cho and
                  Hoi{-}Jun Yoo},
  title        = {A network-on-chip with 3Gbps/wire serialized on-chip interconnect
                  using adaptive control schemes},
  booktitle    = {{DATE}},
  pages        = {79--80},
  publisher    = {European Design and Automation Association, Leuven, Belgium},
  year         = {2006}
}
@inproceedings{DBLP:conf/fpt/0002LM06,
  author       = {Edmund Lee and
                  Guy Lemieux and
                  Shahriar Mirabbasi},
  title        = {Interconnect driver design for long wires in field-programmable gate
                  arrays},
  booktitle    = {{FPT}},
  pages        = {89--96},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/icc/JuR06,
  author       = {Laura Huei{-}jiun Ju and
                  Izhak Rubin},
  title        = {Mesh Backbone Topology Synthesis for Multi-Radio Interconnected Wireless
                  LANs},
  booktitle    = {{ICC}},
  pages        = {417--422},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/iccd/OgasaharaHO06,
  author       = {Yasuhiro Ogasahara and
                  Masanori Hashimoto and
                  Takao Onoye},
  title        = {Quantitative Prediction of On-chip Capacitive and Inductive Crosstalk
                  Noise and Discussion on Wire Cross-Sectional Area Toward Inductive
                  Crosstalk Free Interconnects},
  booktitle    = {{ICCD}},
  pages        = {70--75},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/iscas/El-MoursyF06,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  title        = {Optimum wire tapering for minimum power dissipation in {RLC} interconnects},
  booktitle    = {{ISCAS}},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/iscas/MoiseevWK06,
  author       = {Konstantin Moiseev and
                  Shmuel Wimer and
                  Avinoam Kolodny},
  title        = {Timing optimization of interconnect by simultaneous net-ordering,
                  wire sizing and spacing},
  booktitle    = {{ISCAS}},
  publisher    = {{IEEE}},
  year         = {2006}
}
@inproceedings{DBLP:conf/vlsid/HanchateR06,
  author       = {Narender Hanchate and
                  Nagarajan Ranganathan},
  title        = {A Linear Time Algorithm for Wire Sizing with Simultaneous Optimization
                  of Interconnect Delay and Crosstalk Noise},
  booktitle    = {{VLSI} Design},
  pages        = {283--290},
  publisher    = {{IEEE} Computer Society},
  year         = {2006}
}
@article{DBLP:journals/ieicet/IdaSMT05,
  author       = {Tsukasa Ida and
                  Shinsaku Shimizu and
                  Toshimasa Matsuoka and
                  Kenji Taniguchi},
  title        = {Wired {CDMA} Interface with Adaptivity for Interconnect Capacitances},
  journal      = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.},
  volume       = {88-A},
  number       = {10},
  pages        = {2702--2706},
  year         = {2005}
}
@article{DBLP:journals/join/WaluyoST05,
  author       = {Agustinus Borgy Waluyo and
                  Bala Srinivasan and
                  David Taniar},
  title        = {Efficient Broadcast Indexing Scheme for Location-dependent Queries
                  in Multi Channels Wireless Environment},
  journal      = {J. Interconnect. Networks},
  volume       = {6},
  number       = {3},
  pages        = {303--322},
  year         = {2005}
}
@article{DBLP:journals/tcad/BalachandranB05,
  author       = {Shankar Balachandran and
                  Dinesh Bhatia},
  title        = {A priori wirelength and interconnect estimation based on circuit characteristic},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {24},
  number       = {7},
  pages        = {1054--1065},
  year         = {2005}
}
@article{DBLP:journals/wpc/PopovskiYGGP05,
  author       = {Petar Popovski and
                  Hiroyuki Yomo and
                  Liljana Gavrilovska and
                  Sergio Guarracino and
                  Ramjee Prasad},
  title        = {Energy-Efficient Operation through Interference Avoidance for Interconnected
                  Bluetooth WPANs},
  journal      = {Wirel. Pers. Commun.},
  volume       = {34},
  number       = {1-2},
  pages        = {163--187},
  year         = {2005}
}
@inproceedings{DBLP:conf/aspdac/InoueIGKUOM05,
  author       = {Junpei Inoue and
                  Hiroyuki Ito and
                  Shinichiro Gomi and
                  Takanori Kyogoku and
                  Takumi Uezono and
                  Kenichi Okada and
                  Kazuya Masu},
  title        = {Evaluation of on-chip transmission line interconnect using wire length
                  distribution},
  booktitle    = {{ASP-DAC}},
  pages        = {133--138},
  publisher    = {{ACM} Press},
  year         = {2005}
}
@inproceedings{DBLP:conf/isqed/DeodharD05,
  author       = {Vinita V. Deodhar and
                  Jeffrey A. Davis},
  title        = {Voltage Scaling, Wire Sizing and Repeater Insertion Design Rules for
                  Wave-Pipelined {VLSI} Global Interconnect Circuits},
  booktitle    = {{ISQED}},
  pages        = {592--597},
  publisher    = {{IEEE} Computer Society},
  year         = {2005}
}
@inproceedings{DBLP:conf/secon/JuR05,
  author       = {Laura Huei{-}jiun Ju and
                  Izhak Rubin},
  title        = {Mesh topology construction for interconnected wireless LANs},
  booktitle    = {{SECON}},
  pages        = {284--294},
  publisher    = {{IEEE}},
  year         = {2005}
}
@incollection{DBLP:books/crc/IIR2005/Decotignie05a,
  author       = {Jean{-}Dominique Decotignie},
  title        = {Interconnection of Wireline and Wireless Fieldbusses},
  booktitle    = {The Industrial Information Technology Handbook},
  pages        = {1--13},
  publisher    = {{CRC} Press},
  year         = {2005}
}
@article{DBLP:journals/ce/ZuritaN04,
  author       = {Gustavo Zurita and
                  Miguel Nussbaum},
  title        = {Computer supported collaborative learning using wirelessly interconnected
                  handheld computers},
  journal      = {Comput. Educ.},
  volume       = {42},
  number       = {3},
  pages        = {289--314},
  year         = {2004}
}
@article{DBLP:journals/icl/Zhang04,
  author       = {Y. P. Zhang},
  title        = {Bit-error-rate performance of intra-chip wireless interconnect systems},
  journal      = {{IEEE} Commun. Lett.},
  volume       = {8},
  number       = {1},
  pages        = {39--41},
  year         = {2004}
}
@article{DBLP:journals/integration/El-MoursyF04,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  title        = {Optimum wire sizing of \emph{RLC} interconnect with repeaters},
  journal      = {Integr.},
  volume       = {38},
  number       = {2},
  pages        = {205--225},
  year         = {2004}
}
@inproceedings{DBLP:conf/fpt/Lemieux0TY04,
  author       = {Guy Lemieux and
                  Edmund Lee and
                  Marvin Tom and
                  Anthony J. Yu},
  title        = {Directional and single-driver wires in {FPGA} interconnect},
  booktitle    = {{FPT}},
  pages        = {41--48},
  publisher    = {{IEEE}},
  year         = {2004}
}
@inproceedings{DBLP:conf/hoti/RaniwalaC04,
  author       = {Ashish Raniwala and
                  Tzi{-}cker Chiueh},
  title        = {Evaluation of a wireless enterprise backbone network architecture},
  booktitle    = {Hot Interconnects},
  pages        = {98--104},
  publisher    = {{IEEE} Computer Society},
  year         = {2004}
}
@article{DBLP:journals/tcad/ZhongKR03,
  author       = {Guoan Zhong and
                  Cheng{-}Kok Koh and
                  Kaushik Roy},
  title        = {On-chip interconnect modeling by wire duplication},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {22},
  number       = {11},
  pages        = {1521--1532},
  year         = {2003}
}
@inproceedings{DBLP:conf/glvlsi/El-MoursyF03,
  author       = {Magdy A. El{-}Moursy and
                  Eby G. Friedman},
  title        = {Optimum wire sizing of {RLC} interconnect with repeaters},
  booktitle    = {{ACM} Great Lakes Symposium on {VLSI}},
  pages        = {27--32},
  publisher    = {{ACM}},
  year         = {2003}
}
@inproceedings{DBLP:conf/slip/BalachandranB03,
  author       = {Shankar Balachandran and
                  Dinesh Bhatia},
  title        = {A-priori wirelength and interconnect estimation based on circuit characteristics},
  booktitle    = {{SLIP}},
  pages        = {77--84},
  publisher    = {{ACM}},
  year         = {2003}
}
@article{DBLP:journals/join/BianGRL02,
  author       = {Fang Bian and
                  Ashish Goel and
                  Cauligi S. Raghavendra and
                  Xin Li},
  title        = {Energy-Efficient Broadcasting in Wireless ad hoc Networks Lower Bounds
                  and Algorithms},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {149--166},
  year         = {2002}
}
@article{DBLP:journals/join/BordimHN02,
  author       = {Jacir Luiz Bordim and
                  Frank Hsu and
                  Koji Nakano},
  title        = {Identifying Faulty Nodes in Wireless Sensor Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {197--211},
  year         = {2002}
}
@article{DBLP:journals/join/CardeiMCMJLD02,
  author       = {Mihaela Cardei and
                  David MacCallum and
                  Maggie Xiaoyan Cheng and
                  Manki Min and
                  Xiaohua Jia and
                  Deying Li and
                  Ding{-}Zhu Du},
  title        = {Wireless Sensor Networks with Energy Efficient Organization},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {213--229},
  year         = {2002}
}
@article{DBLP:journals/join/LimM02,
  author       = {Alvin S. Lim and
                  Kui W. Mok},
  title        = {Performance Evaluation of Adaptive Media Access Control Protocols
                  for Pervasive Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {253--272},
  year         = {2002}
}
@article{DBLP:journals/join/StineVGD02,
  author       = {John A. Stine and
                  Gustavo de Veciana and
                  Kevin H. Grace and
                  Robert C. Durst},
  title        = {Orchestrating Spatial Reuse in Wireless ad hoc Networks Using Synchronous
                  Collision Resolution {(SCR)}},
  journal      = {J. Interconnect. Networks},
  volume       = {3},
  number       = {3-4},
  pages        = {167--195},
  year         = {2002}
}
@article{DBLP:journals/jssc/FloydHO02,
  author       = {Brian A. Floyd and
                  Chih{-}Ming Hung and
                  Kenneth K. O},
  title        = {Intra-chip wireless interconnect for clock distribution implemented
                  with integrated antennas, receivers, and transmitters},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {37},
  number       = {5},
  pages        = {543--552},
  year         = {2002}
}
@article{DBLP:journals/mr/StrandjordPJ02,
  author       = {Andrew J. G. Strandjord and
                  Scott Popelar and
                  Christine Jauernig},
  title        = {Interconnecting to aluminum- and copper-based semiconductors (electroless-nickel/gold
                  for solder bumping and wire bonding)},
  journal      = {Microelectron. Reliab.},
  volume       = {42},
  number       = {2},
  pages        = {265--283},
  year         = {2002}
}
@article{DBLP:journals/tcad/AlpertDFQ02,
  author       = {Charles J. Alpert and
                  Anirudh Devgan and
                  John P. Fishburn and
                  Stephen T. Quay},
  title        = {Correction to "interconnect synthesis without wire tapering"},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {21},
  number       = {4},
  pages        = {497--497},
  year         = {2002}
}
@article{DBLP:journals/tcad/CongP02,
  author       = {Jason Cong and
                  David Zhigang Pan},
  title        = {Wire width planning for interconnect performance optimization},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {21},
  number       = {3},
  pages        = {319--329},
  year         = {2002}
}
@article{DBLP:journals/vlsi/LeeG02,
  author       = {Sangho Lee and
                  Edwin W. Greeneich},
  title        = {{CMOS} Delay and Power Model Equations for Simultaneous Transistor
                  and Interconnect Wire Analysis and Optimization},
  journal      = {{VLSI} Design},
  volume       = {15},
  number       = {3},
  pages        = {619--628},
  year         = {2002}
}
@inproceedings{DBLP:conf/hoti/Liddle02,
  author       = {Dave Liddle},
  title        = {Wireless Wars: Wi-Fi vs. {GPRS} vs. 3G},
  booktitle    = {Hot Interconnects},
  pages        = {83},
  publisher    = {{IEEE} Computer Society},
  year         = {2002}
}
@inproceedings{DBLP:conf/iccad/ShahSBASD02,
  author       = {Harshit K. Shah and
                  Pun H. Shiu and
                  Brian Bell and
                  Mamie Aldredge and
                  Namarata Sopory and
                  Jeff Davis},
  title        = {Repeater insertion and wire sizing optimization for throughput-centric
                  {VLSI} global interconnects},
  booktitle    = {{ICCAD}},
  pages        = {280--284},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002}
}
@inproceedings{DBLP:conf/iccad/ZhongKR02,
  author       = {Guoan Zhong and
                  Cheng{-}Kok Koh and
                  Kaushik Roy},
  title        = {On-chip interconnect modeling by wire duplication},
  booktitle    = {{ICCAD}},
  pages        = {341--346},
  publisher    = {{ACM} / {IEEE} Computer Society},
  year         = {2002}
}
@inproceedings{DBLP:conf/slip/PapanikolaouMCCMRSM02,
  author       = {Antonis Papanikolaou and
                  Miguel Miranda and
                  Francky Catthoor and
                  Henk Corporaal and
                  Hugo De Man and
                  David De Roest and
                  Michele Stucchi and
                  Karen Maex},
  title        = {Interconnect exploration for future wire dominated technologies},
  booktitle    = {{SLIP}},
  pages        = {105--106},
  publisher    = {{ACM}},
  year         = {2002}
}
@inproceedings{DBLP:conf/tau/FloydGCDHKK02,
  author       = {Brian A. Floyd and
                  Xiaoling Guo and
                  James Caserta and
                  Timothy O. Dickson and
                  Chih{-}Ming Hung and
                  Kihong Kim and
                  Kenneth K. O},
  title        = {Wireless interconnects for clock distribution},
  booktitle    = {Timing Issues in the Specification and Synthesis of Digital Systems},
  pages        = {105--108},
  publisher    = {{ACM}},
  year         = {2002}
}
@article{DBLP:journals/internet/Metz01,
  author       = {Christopher Metz},
  title        = {On the Wire: Interconnecting {ISP} Networks},
  journal      = {{IEEE} Internet Comput.},
  volume       = {5},
  number       = {2},
  pages        = {74--80},
  year         = {2001}
}
@article{DBLP:journals/join/BorstCGWW01,
  author       = {Sem C. Borst and
                  Edward G. Coffman Jr. and
                  Edgar N. Gilbert and
                  Philip A. Whiting and
                  Peter M. Winkler},
  title        = {Optimal Carrier Sharing in Wireless {TDMA}},
  journal      = {J. Interconnect. Networks},
  volume       = {2},
  number       = {2},
  pages        = {189--211},
  year         = {2001}
}
@article{DBLP:journals/join/ChatterjeeD01,
  author       = {Mainak Chatterjee and
                  Sajal K. Das},
  title        = {Performance Evaluation of a Request-TDMA/CDMA Protocol for Wireless
                  Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {2},
  number       = {1},
  pages        = {49--67},
  year         = {2001}
}
@article{DBLP:journals/join/HoudtBCG01,
  author       = {Benny Van Houdt and
                  Chris Blondia and
                  Olga Casals and
                  Jorge Garc{\'{\i}}a{-}Vidal},
  title        = {Performance Analysis of a {MAC} Protocol for Broadband Wireless {ATM}
                  Networks with Quality of Service Provisioning},
  journal      = {J. Interconnect. Networks},
  volume       = {2},
  number       = {1},
  pages        = {103--130},
  year         = {2001}
}
@article{DBLP:journals/join/Lee01,
  author       = {DongChun Lee},
  title        = {Performance Analysis of Static {RA} Grouping to Reduce the Location
                  Traffic in Wireless Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {2},
  number       = {1},
  pages        = {131--146},
  year         = {2001}
}
@article{DBLP:journals/pieee/ChangRZSQ01,
  author       = {Mau{-}Chung Frank Chang and
                  Vwani P. Roychowdhury and
                  Liyang Zhang and
                  Hyunchol Shin and
                  Yongxi Qian},
  title        = {RF/wireless interconnect for inter- and intra-chip communications},
  journal      = {Proc. {IEEE}},
  volume       = {89},
  number       = {4},
  pages        = {456--466},
  year         = {2001}
}
@article{DBLP:journals/tcad/AlpertDFQ01,
  author       = {Charles J. Alpert and
                  Anirudh Devgan and
                  John P. Fishburn and
                  Stephen T. Quay},
  title        = {Interconnect synthesis without wire tapering},
  journal      = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.},
  volume       = {20},
  number       = {1},
  pages        = {90--104},
  year         = {2001}
}
@inproceedings{DBLP:conf/dac/DallyT01,
  author       = {William J. Dally and
                  Brian Towles},
  title        = {Route Packets, Not Wires: On-Chip Interconnection Networks},
  booktitle    = {{DAC}},
  pages        = {684--689},
  publisher    = {{ACM}},
  year         = {2001}
}
@inproceedings{DBLP:conf/dsd/MarczynskiT01,
  author       = {Jacek Marczynski and
                  Daniel Tabak},
  title        = {A Wireless Interconnection Network for Parallel Processing},
  booktitle    = {{DSD}},
  pages        = {386--389},
  publisher    = {{IEEE} Computer Society},
  year         = {2001}
}
@inproceedings{DBLP:conf/hoti/BilicBCM01,
  author       = {Hrvoje Bilic and
                  Yitzhak Birk and
                  Igor Chirashnya and
                  Zorik Machulsky},
  title        = {Deferred segmentation for wire-speed transmission of large {TCP} frames
                  over standard GbE networks},
  booktitle    = {Hot Interconnects},
  pages        = {81--85},
  publisher    = {{IEEE} Computer Society},
  year         = {2001}
}
@inproceedings{DBLP:conf/lcn/ZiouvaA01a,
  author       = {Eustathia Ziouva and
                  Theodore Antonakopoulos},
  title        = {Voice Communications over {IEEE} 802.11 Wireless LANs Interconnected
                  Using {ATM} Links},
  booktitle    = {{LCN}},
  pages        = {620--629},
  publisher    = {{IEEE} Computer Society},
  year         = {2001}
}
@inproceedings{DBLP:conf/slip/Stroobandt01,
  author       = {Dirk Stroobandt},
  title        = {A priori system-level interconnect prediction: Rent's rule and wire
                  length distribution models},
  booktitle    = {{SLIP}},
  pages        = {3--21},
  publisher    = {{ACM}},
  year         = {2001}
}
@article{DBLP:journals/join/HossainB00,
  author       = {A. Z. M. Ekram Hossain and
                  Vijay K. Bhargava},
  title        = {Link-state Aware Dynamic Traffic Scheduling for Providing Predictive
                  QoS in Wireless Mobile Multimedia Networks},
  journal      = {J. Interconnect. Networks},
  volume       = {1},
  number       = {3},
  pages        = {221--245},
  year         = {2000}
}
@article{DBLP:journals/winet/StamatelosK00,
  author       = {George M. Stamatelos and
                  Vassilios N. Koukoulidis},
  title        = {{LMDS/LMCS} hub interconnection alternatives and multiple access issues},
  journal      = {Wirel. Networks},
  volume       = {6},
  number       = {3},
  pages        = {201--209},
  year         = {2000}
}
@article{DBLP:journals/ijwin/StanleyR99,
  author       = {Richard A. Stanley and
                  Samuel Resheff},
  title        = {Optimizing Wireless Interconnection Network Costs},
  journal      = {Int. J. Wirel. Inf. Networks},
  volume       = {6},
  number       = {2},
  pages        = {93--106},
  year         = {1999}
}
@inproceedings{DBLP:conf/cicc/BetzR99,
  author       = {Yaughn Betz and
                  Jonathain Rose},
  title        = {Circuit design, transistor sizing and wire layout of {FPGA} interconnect},
  booktitle    = {{CICC}},
  pages        = {171--174},
  publisher    = {{IEEE}},
  year         = {1999}
}
@inproceedings{DBLP:conf/dac/ChenM99,
  author       = {Chung{-}Ping Chen and
                  Noel Menezes},
  title        = {Noise-Aware Repeater Insertion and Wire-Sizing for On-Chip Interconnect
                  Using Hierarchical Moment-Matching},
  booktitle    = {{DAC}},
  pages        = {502--506},
  publisher    = {{ACM} Press},
  year         = {1999}
}
@inproceedings{DBLP:conf/dac/YimK99,
  author       = {Joon{-}Seo Yim and
                  Chong{-}Min Kyung},
  title        = {Reducing Cross-Coupling Among Interconnect Wires in Deep-Submicron
                  Datapath Design},
  booktitle    = {{DAC}},
  pages        = {485--490},
  publisher    = {{ACM} Press},
  year         = {1999}
}
@inproceedings{DBLP:conf/iscas/JinLSG99,
  author       = {Zhong{-}Fang Jin and
                  Jean{-}Jacques Laurin and
                  Yvon Savaria and
                  Pierre Garon},
  title        = {A new approach to analyze interconnect delays in {RC} wire models},
  booktitle    = {{ISCAS} {(6)}},
  pages        = {246--249},
  publisher    = {{IEEE}},
  year         = {1999}
}
@inproceedings{DBLP:conf/vlsid/MenezesC99,
  author       = {Noel Menezes and
                  Chung{-}Ping Chen},
  title        = {Spec-Based Repeater Insertion and Wire Sizing for On-chip Interconnect},
  booktitle    = {{VLSI} Design},
  pages        = {476},
  publisher    = {{IEEE} Computer Society},
  year         = {1999}
}
@article{DBLP:journals/ijait/SaxenaGR98,
  author       = {Ashutosh Saxena and
                  Suju M. George and
                  P. Rambabu},
  title        = {Interconnection Wire-Length Estimation in {VLSI} Standard Cell Placement
                  Via Neural Network},
  journal      = {Int. J. Artif. Intell. Tools},
  volume       = {7},
  number       = {4},
  pages        = {443--451},
  year         = {1998}
}
@article{DBLP:journals/vlsi/KempMRR98,
  author       = {Mathieu Kemp and
                  Vladimiro Mujica and
                  Adrian E. Roitberg and
                  Mark A. Ratner},
  title        = {Molecular Wire Interconnects: Chemical Structural Control, Resonant
                  Tunneling and Length Dependence},
  journal      = {{VLSI} Design},
  volume       = {8},
  number       = {1-4},
  pages        = {65--74},
  year         = {1998}
}
@inproceedings{DBLP:conf/pimrc/IshibashiTT98,
  author       = {Yutaka Ishibashi and
                  Shuji Tasaka and
                  Toshihiko Takeo},
  title        = {A performance comparison of media synchronization schemes for collaborative
                  systems in an interconnected ATM-wireless {LAN}},
  booktitle    = {{PIMRC}},
  pages        = {265--271},
  publisher    = {{IEEE}},
  year         = {1998}
}
@inproceedings{DBLP:conf/pimrc/StanleyR98,
  author       = {Richard A. Stanley and
                  Samuel Resheff},
  title        = {An analysis of fixed wireless network interconnect costs},
  booktitle    = {{PIMRC}},
  pages        = {1521--1524},
  publisher    = {{IEEE}},
  year         = {1998}
}
@inproceedings{DBLP:conf/icc/ChanDG97,
  author       = {Wai Chung Chan and
                  Carine Derrien and
                  Evaggelos Geraniotis},
  title        = {A Medium Access Protocol for Interconnecting {ATM} and Wireless Networks},
  booktitle    = {{ICC} {(3)}},
  pages        = {1448--1453},
  publisher    = {{IEEE}},
  year         = {1997}
}
@inproceedings{DBLP:conf/pimrc/YanikomerogluS97,
  author       = {Halim Yanikomeroglu and
                  Elvino S. Sousa},
  title        = {Interconnection strategies for wireless access networks},
  booktitle    = {{PIMRC}},
  pages        = {882--886},
  publisher    = {{IEEE}},
  year         = {1997}
}
@article{DBLP:journals/todaes/CongH96,
  author       = {Jason Cong and
                  Lei He},
  title        = {Optimal wiresizing for interconnects with multiple sources},
  journal      = {{ACM} Trans. Design Autom. Electr. Syst.},
  volume       = {1},
  number       = {4},
  pages        = {478--511},
  year         = {1996}
}
@inproceedings{DBLP:conf/iccad/OkamotoC96,
  author       = {Takumi Okamoto and
                  Jason Cong},
  title        = {Buffered Steiner tree construction with wire sizing for interconnect
                  layout optimization},
  booktitle    = {{ICCAD}},
  pages        = {44--49},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1996}
}
@inproceedings{DBLP:conf/iccad/CongH95,
  author       = {Jason Cong and
                  Lei He},
  title        = {Optimal wiresizing for interconnects with multiple sources},
  booktitle    = {{ICCAD}},
  pages        = {568--574},
  publisher    = {{IEEE} Computer Society / {ACM}},
  year         = {1995}
}
@inproceedings{DBLP:conf/iscas/ZhangZ95,
  author       = {Yimin Zhang and
                  Armen H. Zemanian},
  title        = {Contributions of Corner Singularities of the Capacitance of Interconnections
                  Wires},
  booktitle    = {{ISCAS}},
  pages        = {1420--1423},
  publisher    = {{IEEE}},
  year         = {1995}
}
@article{DBLP:journals/sigarch/BeigelK91,
  author       = {Richard Beigel and
                  Clyde P. Kruskal},
  title        = {Processor networks and interconnection networks without long wires
                  (extended abstract)},
  journal      = {{SIGARCH} Comput. Archit. News},
  volume       = {19},
  number       = {1},
  pages        = {15--24},
  year         = {1991}
}
@inproceedings{DBLP:conf/spaa/BeigelK89,
  author       = {C. P. Beigel and
                  Clyde P. Kruskal},
  title        = {Processor Networks and Interconnection Networks Without Long Wires},
  booktitle    = {{SPAA}},
  pages        = {42--51},
  publisher    = {{ACM}},
  year         = {1989}
}
@inproceedings{DBLP:conf/afips/Rutman64,
  author       = {R. A. Rutman},
  title        = {An algorithm for placement of interconnected elements based on minimum
                  wire length},
  booktitle    = {{AFIPS} Spring Joint Computing Conference},
  pages        = {477--491},
  publisher    = {{ACM}},
  year         = {1964}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics