Search dblp for Publications

export results for "toc:db/conf/isqed/isqed2009.bht:"

 download as .bib file

@inproceedings{DBLP:conf/isqed/AhujaMSSSD09,
  author       = {Sumit Ahuja and
                  Deepak Mathaikutty and
                  Gaurav Singh and
                  Joe Stetzer and
                  Sandeep K. Shukla and
                  Ajit Dingankar},
  title        = {Power estimation methodology for a high-level synthesis framework},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {541--546},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810352},
  doi          = {10.1109/ISQED.2009.4810352},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AhujaMSSSD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AklAB09,
  author       = {Charbel J. Akl and
                  Rafic A. Ayoubi and
                  Magdy A. Bayoumi},
  title        = {An effective staggered-phase damping technique for suppressing power-gating
                  resonance noise during mode transition},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {116--119},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810280},
  doi          = {10.1109/ISQED.2009.4810280},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AklAB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AklAB09a,
  author       = {Charbel J. Akl and
                  Rafic A. Ayoubi and
                  Magdy A. Bayoumi},
  title        = {Post-Silicon Clock-nvert {(PSCI)} for reducing process-variation induced
                  skew in buffered clock networks},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {794--798},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810394},
  doi          = {10.1109/ISQED.2009.4810394},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AklAB09a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AlamJPJ09,
  author       = {Syed M. Alam and
                  Robert E. Jones and
                  Scott Pozder and
                  Ankur Jain},
  title        = {Die/wafer stacking with reciprocal design symmetry {(RDS)} for mask
                  reuse in three-dimensional {(3D)} integration technology},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {569--575},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810357},
  doi          = {10.1109/ISQED.2009.4810357},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AlamJPJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AnigundiSLRZ09,
  author       = {Rakesh S. Anigundi and
                  Hongbin Sun and
                  Jian{-}Qiang Lu and
                  Kenneth Rose and
                  Tong Zhang},
  title        = {Architecture design exploration of three-dimensional {(3D)} integrated
                  {DRAM}},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {86--90},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810274},
  doi          = {10.1109/ISQED.2009.4810274},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AnigundiSLRZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AraniHPYZCPCCK09,
  author       = {Amirali Shayan Arani and
                  Xiang Hu and
                  He Peng and
                  Wenjian Yu and
                  Wanping Zhang and
                  Chung{-}Kuan Cheng and
                  Mikhail Popovich and
                  Xiaoming Chen and
                  Lew Chua{-}Eoan and
                  Xiaohua Kong},
  title        = {Parallel flow to analyze the impact of the voltage regulator model
                  in nanoscale power distribution network},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {576--581},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810358},
  doi          = {10.1109/ISQED.2009.4810358},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AraniHPYZCPCCK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ArgyridesALCP09,
  author       = {Costas Argyrides and
                  Ahmad A. Al{-}Yamani and
                  Carlos Arthur Lang Lisb{\^{o}}a and
                  Luigi Carro and
                  Dhiraj K. Pradhan},
  title        = {Increasing memory yield in future technologies through innovative
                  design},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {622--626},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810366},
  doi          = {10.1109/ISQED.2009.4810366},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ArgyridesALCP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/AugustineRGLR09,
  author       = {Charles Augustine and
                  Arijit Raychowdhury and
                  Yunfei Gao and
                  Mark S. Lundstrom and
                  Kaushik Roy},
  title        = {{PETE:} {A} device/circuit analysis framework for evaluation and comparison
                  of charge based emerging devices},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {80--85},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810273},
  doi          = {10.1109/ISQED.2009.4810273},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/AugustineRGLR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BenabboudBGPVBI09,
  author       = {Youssef Benabboud and
                  Alberto Bosio and
                  Patrick Girard and
                  Serge Pravossoudovitch and
                  Arnaud Virazel and
                  Laroussi Bouzaida and
                  Isabelle Izaute},
  title        = {A case study on logic diagnosis for System-on-Chip},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {253--259},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810303},
  doi          = {10.1109/ISQED.2009.4810303},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BenabboudBGPVBI09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BhD09,
  author       = {Maruthi Chandrasekhar Bh and
                  Sudeb Dasgupta},
  title        = {A 1.2 volt, 90nm, 16-bit three way segmented digital to analog converter
                  {(DAC)} for low power applications},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {447--450},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810336},
  doi          = {10.1109/ISQED.2009.4810336},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BhD09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BhattacharyaR09,
  author       = {Koustav Bhattacharya and
                  Nagarajan Ranganathan},
  title        = {A unified gate sizing formulation for optimizing soft error rate,
                  cross-talk noise and power under process variations},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {388--393},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810326},
  doi          = {10.1109/ISQED.2009.4810326},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BhattacharyaR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BijanskyLA09,
  author       = {Stephen Bijansky and
                  Sae Kyu Lee and
                  Adnan Aziz},
  title        = {TuneLogic: Post-silicon tuning of dual-Vdd designs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {394--400},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810327},
  doi          = {10.1109/ISQED.2009.4810327},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BijanskyLA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BongKMK09,
  author       = {Ji{-}Hye Bong and
                  Yong{-}Jin Kwon and
                  Kyeong{-}Sik Min and
                  Sung{-}Mo Kang},
  title        = {New word-line driving scheme for suppressing oxide-tunneling leakage
                  in sub-65-nm SRAMs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {459--464},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810338},
  doi          = {10.1109/ISQED.2009.4810338},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BongKMK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BoseNBM09,
  author       = {Mrinal Bose and
                  Prashant Naphade and
                  Jayanta Bhadra and
                  Hillel Miller},
  title        = {An abstraction mechanism to maximize stimulus portability across RTL,
                  FPGA, software models and silicon of SoCs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {377--381},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810324},
  doi          = {10.1109/ISQED.2009.4810324},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BoseNBM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/BrownellKBW09,
  author       = {Kevin Brownell and
                  Ali Durlov Khan and
                  David M. Brooks and
                  Gu{-}Yeon Wei},
  title        = {Place and route considerations for voltage interpolated designs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {594--600},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810361},
  doi          = {10.1109/ISQED.2009.4810361},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/BrownellKBW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/CabeQWBS09,
  author       = {Adam C. Cabe and
                  Zhenyu Qi and
                  Stuart N. Wooters and
                  Travis N. Blalock and
                  Mircea R. Stan},
  title        = {Small embeddable {NBTI} sensors {(SENS)} for tracking on-chip performance
                  decay},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {1--6},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810261},
  doi          = {10.1109/ISQED.2009.4810261},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/CabeQWBS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChanWC09,
  author       = {Meng{-}Syue Chan and
                  Chun{-}Yao Wang and
                  Yung{-}Chih Chen},
  title        = {An efficient approach to sip design integration},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {241--247},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810301},
  doi          = {10.1109/ISQED.2009.4810301},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChanWC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChandraKK09,
  author       = {Anshuman Chandra and
                  Yasunari Kanzawa and
                  Rohit Kapur},
  title        = {Proactive management of X's in scan chains for compression},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {260--265},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810304},
  doi          = {10.1109/ISQED.2009.4810304},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChandraKK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChangLCC09,
  author       = {Hsiu{-}Ming Chang and
                  Kuan{-}Yu Lin and
                  Chin{-}Hsuan Chen and
                  Kwang{-}Ting Cheng},
  title        = {A Built-in self-calibration scheme for pipelined ADCs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {266--271},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810305},
  doi          = {10.1109/ISQED.2009.4810305},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChangLCC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChenLW09,
  author       = {Jwu{-}E Chen and
                  Pei{-}Wen Luo and
                  Chin{-}Long Wey},
  title        = {Yield evaluation of analog placement with arbitrary capacitor ratio},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {179--184},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810290},
  doi          = {10.1109/ISQED.2009.4810290},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChenLW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChenTCWL09,
  author       = {Jone F. Chen and
                  Kuen{-}Shiuan Tian and
                  Shiang{-}Yu Chen and
                  Kuo{-}Ming Wu and
                  C. M. Liu},
  title        = {Effect of {NDD} dosage on hot-carrier reliability in {DMOS} transistors},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {226--229},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810298},
  doi          = {10.1109/ISQED.2009.4810298},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChenTCWL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChenXY09,
  author       = {Song Chen and
                  Zheng Xu and
                  Takeshi Yoshimura},
  title        = {A generalized V-shaped multilevel method for large scale floorplanning},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {734--739},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810384},
  doi          = {10.1109/ISQED.2009.4810384},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChenXY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/CheongOPHLFM09,
  author       = {Wai Leng Cheong and
                  Brian E. Owens and
                  Hui En Pham and
                  Christopher Hanken and
                  Jim Le and
                  Terri S. Fiez and
                  Kartikeya Mayaram},
  title        = {Comparison of supply noise and substrate noise reduction in SiGe BiCMOS
                  and {FDSOI} processes},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {112--115},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810279},
  doi          = {10.1109/ISQED.2009.4810279},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/CheongOPHLFM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChiangHK09,
  author       = {Albert Chiang and
                  Wei{-}Hua Han and
                  Bhanu Kapoor},
  title        = {Validating physical access layer of WiMAX using SystemVerilog},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {356--359},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810320},
  doi          = {10.1109/ISQED.2009.4810320},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChiangHK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChiangOY09,
  author       = {Mei{-}Fang Chiang and
                  Takumi Okamoto and
                  Takeshi Yoshimura},
  title        = {Lagrangian relaxation based register placement for high-performance
                  circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {511--516},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810347},
  doi          = {10.1109/ISQED.2009.4810347},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChiangOY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChouWLC09,
  author       = {Shu{-}Hsuan Chou and
                  Chi{-}Neng Wen and
                  Yan{-}Ling Liu and
                  Tien{-}Fu Chen},
  title        = {VeriC: {A} semi-hardware description language to bridge the gap between
                  {ESL} design and {RTL} models},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {535--540},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810351},
  doi          = {10.1109/ISQED.2009.4810351},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChouWLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ChuangM09,
  author       = {Chun{-}Yu Chuang and
                  Wai{-}Kei Mak},
  title        = {Accurate closed-form parameterized block-based statistical timing
                  analysis applying skew-normal distribution},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {68--73},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810271},
  doi          = {10.1109/ISQED.2009.4810271},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ChuangM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DasSNZ09,
  author       = {Debasish Das and
                  William Scott and
                  Shahin Nazarian and
                  Hai Zhou},
  title        = {An efficient current-based logic cell model for crosstalk delay analysis},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {627--633},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810367},
  doi          = {10.1109/ISQED.2009.4810367},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/DasSNZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DasdanKY09,
  author       = {Ali Dasdan and
                  Santanu Kolay and
                  Mustafa Yazgan},
  title        = {Derating for static timing analysis: Theory and practice},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {719--727},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810382},
  doi          = {10.1109/ISQED.2009.4810382},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DasdanKY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DashGKC09,
  author       = {Rajballav Dash and
                  Rajesh Garg and
                  Sunil P. Khatri and
                  Gwan S. Choi},
  title        = {{SEU} hardened clock regeneration circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {806--813},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810396},
  doi          = {10.1109/ISQED.2009.4810396},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DashGKC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DattaB09,
  author       = {Basab Datta and
                  Wayne P. Burleson},
  title        = {On temperature planarization effect of copper dummy fills in deep
                  nanometer technology},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {494--499},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810344},
  doi          = {10.1109/ISQED.2009.4810344},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DattaB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DattaB09a,
  author       = {Basab Datta and
                  Wayne P. Burleson},
  title        = {Temperature effects on energy optimization in sub-threshold circuit
                  design},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {680--685},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810375},
  doi          = {10.1109/ISQED.2009.4810375},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DattaB09a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DaweiZBCH09,
  author       = {Dawei Liu and
                  Qiang Zhou and
                  Jinian Bian and
                  Yici Cai and
                  Xianlong Hong},
  title        = {Cell shifting aware of wirelength and overlap},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {506--510},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810346},
  doi          = {10.1109/ISQED.2009.4810346},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DaweiZBCH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DoddannagariHS09,
  author       = {Uday Doddannagari and
                  Shiyan Hu and
                  Weiping Shi},
  title        = {Fast characterization of parameterized cell library},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {500--505},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810345},
  doi          = {10.1109/ISQED.2009.4810345},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DoddannagariHS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/DuDCG09,
  author       = {Yun Du and
                  Yangshuo Ding and
                  Yujie Chen and
                  Zhiqiang Gao},
  title        = {{IP} protection platform based on watermarking technique},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {287--290},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810309},
  doi          = {10.1109/ISQED.2009.4810309},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/DuDCG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/EguiaMT09,
  author       = {Thom Jefferson A. Eguia and
                  Ning Mi and
                  Sheldon X.{-}D. Tan},
  title        = {Statistical decoupling capacitance allocation by efficient numerical
                  quadrature method},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {309--316},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810313},
  doi          = {10.1109/ISQED.2009.4810313},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/EguiaMT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FanZ09,
  author       = {Yongquan Fan and
                  Zeljko Zilic},
  title        = {Accelerating jitter tolerance qualification for high speed serial
                  interfaces},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {360--365},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810321},
  doi          = {10.1109/ISQED.2009.4810321},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/FanZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/FarooqiGRNM09,
  author       = {Aftab Farooqi and
                  Richard O. Gale and
                  Sudhakar M. Reddy and
                  Brian Nutter and
                  Chris Monico},
  title        = {Markov source based test length optimized {SCAN-BIST} architecture},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {708--713},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810380},
  doi          = {10.1109/ISQED.2009.4810380},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/FarooqiGRNM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Fjelstad09,
  author       = {Joseph Fjelstad},
  title        = {Retrospective on electronics technology and prospective methods for
                  co-design of {IC} packaging and manufacturing improvements},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {559--564},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810355},
  doi          = {10.1109/ISQED.2009.4810355},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Fjelstad09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GangulyCPBN09,
  author       = {Amlan Ganguly and
                  Kevin Chang and
                  Partha Pratim Pande and
                  Benjamin Belzer and
                  Alireza Nojeh},
  title        = {Performance evaluation of wireless networks on chip architectures},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {350--355},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810319},
  doi          = {10.1109/ISQED.2009.4810319},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GangulyCPBN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GaoZMSB09,
  author       = {Lei Gao and
                  David Zaretsky and
                  Gaurav Mittal and
                  Dan Schonfeld and
                  Prith Banerjee},
  title        = {A software pipelining algorithm in high-level synthesis for {FPGA}
                  architectures},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {297--302},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810311},
  doi          = {10.1109/ISQED.2009.4810311},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GaoZMSB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GargM09,
  author       = {Siddharth Garg and
                  Diana Marculescu},
  title        = {3D-GCP: An analytical model for the impact of process variations on
                  the critical path delay distribution of 3D ICs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {147--155},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810285},
  doi          = {10.1109/ISQED.2009.4810285},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GargM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GhaiMK09,
  author       = {Dhruva Ghai and
                  Saraju P. Mohanty and
                  Elias Kougianos},
  title        = {Variability-aware optimization of nano-CMOS Active Pixel Sensors using
                  design and analysis of Monte Carlo experiments},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {172--178},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810289},
  doi          = {10.1109/ISQED.2009.4810289},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GhaiMK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GhaiMKP09,
  author       = {Dhruva Ghai and
                  Saraju P. Mohanty and
                  Elias Kougianos and
                  Priyadarsan Patra},
  title        = {A {PVT} aware accurate statistical logic library for high- metal-gate
                  nano-CMOS},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {47--54},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810268},
  doi          = {10.1109/ISQED.2009.4810268},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GhaiMKP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/GusmaoSM09,
  author       = {Ant{\'{o}}nio Gusm{\~{a}}o and
                  L. Miguel Silveira and
                  Jos{\'{e}} Monteiro},
  title        = {Parameter tuning in SVM-based power macro-modeling},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {135--140},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810283},
  doi          = {10.1109/ISQED.2009.4810283},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/GusmaoSM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HeAA09,
  author       = {Xin He and
                  Syed Al{-}Kadry and
                  Afshin Abdollahi},
  title        = {Adaptive leakage control on body biasing for reducing power consumption
                  in {CMOS} {VLSI} circuit},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {465--470},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810339},
  doi          = {10.1109/ISQED.2009.4810339},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/HeAA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HeDMH09,
  author       = {Xu He and
                  Sheqin Dong and
                  Yuchun Ma and
                  Xianlong Hong},
  title        = {Simultaneous buffer and interlayer via planning for 3D floorplanning},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {740--745},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810385},
  doi          = {10.1109/ISQED.2009.4810385},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/HeDMH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HonkoteT09,
  author       = {Vinayak Honkote and
                  Baris Taskin},
  title        = {Zero clock skew synchronization with rotary clocking technology},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {588--593},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810360},
  doi          = {10.1109/ISQED.2009.4810360},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/HonkoteT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HouLH09,
  author       = {Wenting Hou and
                  Dick Liu and
                  Pei{-}Hsin Ho},
  title        = {Automatic register banking for low-power clock trees},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {647--652},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810370},
  doi          = {10.1109/ISQED.2009.4810370},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/HouLH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/HuangL09,
  author       = {Bing{-}Wei Huang and
                  Jin{-}Fu Li},
  title        = {Efficient diagnosis algorithms for drowsy SRAMs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {276--279},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810307},
  doi          = {10.1109/ISQED.2009.4810307},
  timestamp    = {Tue, 17 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/HuangL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/IsrarSH09,
  author       = {Adeel Israr and
                  Abdulhadi Shoufan and
                  Sorin A. Huss},
  title        = {An efficient reliability evaluation approach for system-level design
                  of embedded systems},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {339--344},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810317},
  doi          = {10.1109/ISQED.2009.4810317},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/IsrarSH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JaffariA09,
  author       = {Javid Jaffari and
                  Mohab Anis},
  title        = {Timing yield estimation of digital circuits using a control variate
                  technique},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {382--387},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810325},
  doi          = {10.1109/ISQED.2009.4810325},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/JaffariA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/JeongKY09,
  author       = {Kwangok Jeong and
                  Andrew B. Kahng and
                  Hailong Yao},
  title        = {Revisiting the linear programming framework for leakage power vs.
                  performance optimization},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {127--134},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810282},
  doi          = {10.1109/ISQED.2009.4810282},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/JeongKY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KanjJKKMRNN09,
  author       = {Rouwaida Kanj and
                  Rajiv V. Joshi and
                  Jente B. Kuang and
                  J. Kim and
                  Mesut Meterelliyoz and
                  William R. Reohr and
                  Sani R. Nassif and
                  Kevin J. Nowka},
  title        = {Statistical yield analysis of silicon-on-insulator embedded {DRAM}},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {190--194},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810292},
  doi          = {10.1109/ISQED.2009.4810292},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KanjJKKMRNN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KapoorHVRd09,
  author       = {Bhanu Kapoor and
                  Shankar Hemmady and
                  Shireesh Verma and
                  Kaushik Roy and
                  Manuel A. d'Abreu},
  title        = {Impact of SoC power management techniques on verification and testing},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {692--695},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810377},
  doi          = {10.1109/ISQED.2009.4810377},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KapoorHVRd09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KarimiS09,
  author       = {Zohreh Karimi and
                  Majid Sarrafzadeh},
  title        = {Power aware placement for FPGAs with dual supply voltages},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {522--526},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810349},
  doi          = {10.1109/ISQED.2009.4810349},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KarimiS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KarmarkarXMRL09,
  author       = {Aditya P. Karmarkar and
                  Xiaopeng Xu and
                  Victor Moroz and
                  Greg Rollins and
                  Xiao Lin},
  title        = {Analysis of performance and reliability trade-off in dummy pattern
                  design for 32-nm technology},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {185--189},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810291},
  doi          = {10.1109/ISQED.2009.4810291},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KarmarkarXMRL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KodatiVHJ09,
  author       = {Adithya V. Kodati and
                  Koneswara S. Vemuri and
                  Lili He and
                  Morris Jones},
  title        = {Implementation of power managed hyper transport system for transmission
                  of {HD} video},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {517--521},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810348},
  doi          = {10.1109/ISQED.2009.4810348},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KodatiVHJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KodiLW09,
  author       = {Avinash Karanth Kodi and
                  Ahmed Louri and
                  Janet Meiling Wang},
  title        = {Design of energy-efficient channel buffers with router bypassing for
                  network-on-chips (NoCs)},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {826--832},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810399},
  doi          = {10.1109/ISQED.2009.4810399},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KodiLW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KumarA09,
  author       = {Akhilesh Kumar and
                  Mohab Anis},
  title        = {IR-drop management {CAD} techniques in FPGAs for power grid reliability},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {746--752},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810386},
  doi          = {10.1109/ISQED.2009.4810386},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KumarA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KumarRR09,
  author       = {Animesh Kumar and
                  Jan M. Rabaey and
                  Kannan Ramchandran},
  title        = {{SRAM} supply voltage scaling: {A} reliability perspective},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {782--787},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810392},
  doi          = {10.1109/ISQED.2009.4810392},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KumarRR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/KumariPB09,
  author       = {Anita Kumari and
                  Javier F. Pulecio and
                  Sanjukta Bhanja},
  title        = {Defect characterization in magnetic field coupled arrays},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {436--441},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810334},
  doi          = {10.1109/ISQED.2009.4810334},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/KumariPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LakshminarayananJNKSTWHLLMFHLYTL09,
  author       = {S. Lakshminarayanan and
                  J. Joung and
                  Giri Narasimhan and
                  Ravi Kapre and
                  M. Slanina and
                  J. Tung and
                  Morgan Whately and
                  C.{-}L. Hou and
                  W.{-}J. Liao and
                  S.{-}C. Lin and
                  P.{-}G. Ma and
                  C.{-}W. Fan and
                  M.{-}C. Hsieh and
                  F.{-}C. Liu and
                  K.{-}L. Yeh and
                  W.{-}C. Tseng and
                  S. W. Lu},
  title        = {Standby power reduction and {SRAM} cell optimization for 65nm technology},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {471--475},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810340},
  doi          = {10.1109/ISQED.2009.4810340},
  timestamp    = {Tue, 22 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/LakshminarayananJNKSTWHLLMFHLYTL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LanCCCH09,
  author       = {Ying{-}Cherng Lan and
                  Michael C. Chen and
                  Wei{-}De Chen and
                  Sao{-}Jie Chen and
                  Yu Hen Hu},
  title        = {Performance-energy tradeoffs in reliable NoCs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {141--146},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810284},
  doi          = {10.1109/ISQED.2009.4810284},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LanCCCH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeeHMH09,
  author       = {Ju{-}Yueh Lee and
                  Yu Hu and
                  Rupak Majumdar and
                  Lei He},
  title        = {Simultaneous test pattern compaction, ordering and X-filling for testing
                  power reduction},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {702--707},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810379},
  doi          = {10.1109/ISQED.2009.4810379},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LeeHMH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LeeWZYLB09,
  author       = {Seung Eun Lee and
                  Chris Wilkerson and
                  Ming Zhang and
                  Rajendra S. Yavatkar and
                  Shih{-}Lien Lu and
                  Nader Bagherzadeh},
  title        = {Low power adaptive pipeline based on instruction isolation},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {788--793},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810393},
  doi          = {10.1109/ISQED.2009.4810393},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LeeWZYLB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiZ09,
  author       = {Shu Li and
                  Tong Zhang},
  title        = {Exploratory study on circuit and architecture design of very high
                  density diode-switch phase change memories},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {424--429},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810332},
  doi          = {10.1109/ISQED.2009.4810332},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Liu09,
  author       = {Bao Liu},
  title        = {Robust differential asynchronous nanoelectronic circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {97--102},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810276},
  doi          = {10.1109/ISQED.2009.4810276},
  timestamp    = {Thu, 21 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Liu09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Liu09a,
  author       = {Bao Liu},
  title        = {Adaptive voltage controlled nanoelectronic addressing for yield, accuracy
                  and resolution},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {430--435},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810333},
  doi          = {10.1109/ISQED.2009.4810333},
  timestamp    = {Thu, 21 Dec 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Liu09a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiuCX09,
  author       = {Biwei Liu and
                  Shuming Chen and
                  Yi Xu},
  title        = {Combinational logic {SER} estimation with the presence of re-convergence},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {220--225},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810297},
  doi          = {10.1109/ISQED.2009.4810297},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiuCX09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiuHHWHMMSL09,
  author       = {Yan Liu and
                  Scott Hareland and
                  Donald Hall and
                  Bill Wold and
                  Roger Hubing and
                  Robert Mehregan and
                  Ronen Malka and
                  Manish Sharma and
                  Tom Lane},
  title        = {A Simulation-based strategy used in electrical design for reliability},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {208--212},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810295},
  doi          = {10.1109/ISQED.2009.4810295},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiuHHWHMMSL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiuMST09,
  author       = {Feng Liu and
                  Otmane A{\"{\i}}t Mohamed and
                  Xiaoyu Song and
                  QingPing Tan},
  title        = {A case study on system-level modeling by aspect-oriented programming},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {345--349},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810318},
  doi          = {10.1109/ISQED.2009.4810318},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiuMST09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/LiuWCC09,
  author       = {Yi{-}Ling Liu and
                  Chun{-}Yao Wang and
                  Yung{-}Chih Chen and
                  Ya{-}Hsin Chang},
  title        = {A novel ACO-based pattern generation for peak power estimation in
                  {VLSI} circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {317--323},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810314},
  doi          = {10.1109/ISQED.2009.4810314},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/LiuWCC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MaLZHZLC09,
  author       = {Chenyue Ma and
                  Bo Li and
                  Lining Zhang and
                  Jin He and
                  Xing Zhang and
                  Xinnan Lin and
                  Mansun Chan},
  title        = {A unified FinFET reliability model including high {K} gate stack dynamic
                  threshold voltage, hot carrier injection, and negative bias temperature
                  instability},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {7--12},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810262},
  doi          = {10.1109/ISQED.2009.4810262},
  timestamp    = {Fri, 01 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/MaLZHZLC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MaQHH09,
  author       = {Yuchun Ma and
                  Xiang Qiu and
                  Xiangqing He and
                  Xianlong Hong},
  title        = {Incremental power optimization for multiple supply voltage design},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {280--286},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810308},
  doi          = {10.1109/ISQED.2009.4810308},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MaQHH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MajzoubSW09,
  author       = {Sohaib Majzoub and
                  Resve A. Saleh and
                  Rabab K. Ward},
  title        = {{PVT} variation impact on voltage island formation in MPSoC design},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {814--819},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810397},
  doi          = {10.1109/ISQED.2009.4810397},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MajzoubSW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ManoochehriEM09,
  author       = {Mehrtash Manoochehri and
                  Alireza Ejlali and
                  Seyed Ghassem Miremadi},
  title        = {Joint write policy and fault-tolerance mechanism selection for caches
                  in {DSM} technologies: Energy-reliability trade-off},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {839--844},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810401},
  doi          = {10.1109/ISQED.2009.4810401},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ManoochehriEM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MengS09,
  author       = {Xiongfei Meng and
                  Resve A. Saleh},
  title        = {Active decap design considerations for optimal supply noise reduction},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {765--769},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810389},
  doi          = {10.1109/ISQED.2009.4810389},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MengS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MirandaDZDKRP09,
  author       = {Miguel Miranda and
                  Bart Dierickx and
                  Paul Zuber and
                  Petr Dobrovoln{\'{y}} and
                  F. Kutscherauer and
                  Philippe Roussel and
                  Pavel Poliakov},
  title        = {Variability aware modeling of SoCs: From device variations to manufactured
                  system yield},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {547--553},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810353},
  doi          = {10.1109/ISQED.2009.4810353},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MirandaDZDKRP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Miskov-ZivanovM09,
  author       = {Natasa Miskov{-}Zivanov and
                  Diana Marculescu},
  title        = {A systematic approach to modeling and analysis of transient faults
                  in logic circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {408--413},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810329},
  doi          = {10.1109/ISQED.2009.4810329},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Miskov-ZivanovM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MohantyGKJ09,
  author       = {Saraju P. Mohanty and
                  Dhruva Ghai and
                  Elias Kougianos and
                  Bharat Joshi},
  title        = {A universal level converter towards the realization of energy efficient
                  implantable drug delivery Nano-Electro-Mechanical-Systems},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {673--679},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810374},
  doi          = {10.1109/ISQED.2009.4810374},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MohantyGKJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MohantyKCR09,
  author       = {Saraju P. Mohanty and
                  Elias Kougianos and
                  Wei Cai and
                  Manish Ratnani},
  title        = {{VLSI} architectures of perceptual based video watermarking for real-time
                  copyright protection},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {527--534},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810350},
  doi          = {10.1109/ISQED.2009.4810350},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MohantyKCR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MoradiKSS09,
  author       = {Amir Moradi and
                  Mehrdad Khatir and
                  Mahmoud Salmasizadeh and
                  Mohammad T. Manzuri Shalmani},
  title        = {Charge recovery logic as a side channel attack countermeasure},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {686--691},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810376},
  doi          = {10.1109/ISQED.2009.4810376},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MoradiKSS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MoradiWMPAC09,
  author       = {Farshad Moradi and
                  Dag T. Wisland and
                  Hamid Mahmoodi and
                  Ali Peiravi and
                  Snorre Aunet and
                  Tuan Vu Cao},
  title        = {New subthreshold concepts in 65nm {CMOS} technology},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {162--166},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810287},
  doi          = {10.1109/ISQED.2009.4810287},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MoradiWMPAC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Musoll09,
  author       = {Enric Musoll},
  title        = {Trading off higher execution latency for increased reliability in
                  tile-based massive multi-core architectures},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {201--207},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810294},
  doi          = {10.1109/ISQED.2009.4810294},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Musoll09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/MutluLMC09,
  author       = {Ayhan A. Mutlu and
                  Jiayong Le and
                  Ruben Molina and
                  Mustafa Celik},
  title        = {Parametric analysis to determine accurate interconnect extraction
                  corners for design performance},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {419--423},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810331},
  doi          = {10.1109/ISQED.2009.4810331},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/MutluLMC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NagarajanYQS09,
  author       = {Chandra S. Nagarajan and
                  Lin Yuan and
                  Gang Qu and
                  Barbara G. Stamps},
  title        = {Leakage optimization using transistor-level dual threshold voltage
                  cell library},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {62--67},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810270},
  doi          = {10.1109/ISQED.2009.4810270},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/NagarajanYQS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NarsaleH09,
  author       = {Ashay Narsale and
                  Michael C. Huang},
  title        = {Variation-tolerant hierarchical voltage monitoring circuit for soft
                  error detection},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {799--805},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810395},
  doi          = {10.1109/ISQED.2009.4810395},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/NarsaleH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/NavarroNMNSG09,
  author       = {H{\'{e}}ctor Navarro and
                  Saeid Nooshabadi and
                  Juan A. Montiel{-}Nelson and
                  Victor Navarro{-}Botello and
                  Javier Sosa and
                  Jos{\'{e}} C. Garc{\'{\i}}a},
  title        = {A geometric approach to register transfer level satisfiability},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {272--275},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810306},
  doi          = {10.1109/ISQED.2009.4810306},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/NavarroNMNSG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/OkumuraIYFNNKY09,
  author       = {Shunsuke Okumura and
                  Yusuke Iguchi and
                  Shusuke Yoshimoto and
                  Hidehiro Fujiwara and
                  Hiroki Noguchi and
                  Koji Nii and
                  Hiroshi Kawaguchi and
                  Masahiko Yoshimoto},
  title        = {A 0.56-V 128kb 10T {SRAM} using column line assist {(CLA)} scheme},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {659--663},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810372},
  doi          = {10.1109/ISQED.2009.4810372},
  timestamp    = {Mon, 11 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/OkumuraIYFNNKY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PakbazniaP09,
  author       = {Ehsan Pakbaznia and
                  Massoud Pedram},
  title        = {Design and application of multimodal power gating structures},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {120--126},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810281},
  doi          = {10.1109/ISQED.2009.4810281},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PakbazniaP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PanCC09,
  author       = {Hsin{-}Hua Pan and
                  Hung{-}Ming Chen and
                  Chia{-}Yi Chang},
  title        = {Buffer/flip-flop block planning for power-integrity-driven floorplanning},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {488--493},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810343},
  doi          = {10.1109/ISQED.2009.4810343},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PanCC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/PaulGKV09,
  author       = {Suganth Paul and
                  Rajesh Garg and
                  Sunil P. Khatri and
                  Sheila Vaidya},
  title        = {Design and implementation of a sub-threshold {BFSK} transmitter},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {664--672},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810373},
  doi          = {10.1109/ISQED.2009.4810373},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/PaulGKV09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RajagopalAM09,
  author       = {Karthik Rajagopal and
                  Aatmesh and
                  Vinod Menezes},
  title        = {An enhanced topology for reliability of a high performance 3.3V {I/O}
                  buffer in a single-well bulk {CMOS} 1.8v-oxide low voltage process},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {103--106},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810277},
  doi          = {10.1109/ISQED.2009.4810277},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RajagopalAM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RajiGP09,
  author       = {Mohsen Raji and
                  Behnam Ghavami and
                  Hossein Pedram},
  title        = {Statistical static performance analysis of asynchronous circuits considering
                  process variation},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {291--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810310},
  doi          = {10.1109/ISQED.2009.4810310},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RajiGP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RashidFB09,
  author       = {Muhammad Rashid and
                  Fabrizio Ferrandi and
                  Koen Bertels},
  title        = {hArtes design flow for heterogeneous platforms},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {330--338},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810316},
  doi          = {10.1109/ISQED.2009.4810316},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RashidFB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RealovMS09,
  author       = {Simeon Realov and
                  William F. McLaughlin and
                  Kenneth L. Shepard},
  title        = {On-chip transistor characterization arrays with digital interfaces
                  for variability characterization},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {167--171},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810288},
  doi          = {10.1109/ISQED.2009.4810288},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RealovMS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RosaSRR09,
  author       = {Leomar S. da Rosa Jr. and
                  Felipe Ribeiro Schneider and
                  Renato P. Ribas and
                  Andr{\'{e}} In{\'{a}}cio Reis},
  title        = {Switch level optimization of digital {CMOS} gate networks},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {324--329},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810315},
  doi          = {10.1109/ISQED.2009.4810315},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RosaSRR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/RosenfeldF09,
  author       = {Jonathan Rosenfeld and
                  Eby G. Friedman},
  title        = {On-chip {DC-DC} converters for three-dimensional ICs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {759--764},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810388},
  doi          = {10.1109/ISQED.2009.4810388},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/RosenfeldF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Rubanov09,
  author       = {Nikolay Rubanov},
  title        = {An information theoretic framework to compute the {MAX/MIN} operations
                  in parameterized statistical timing analysis},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {728--733},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810383},
  doi          = {10.1109/ISQED.2009.4810383},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/Rubanov09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SambamurthyGVA09,
  author       = {Sriram Sambamurthy and
                  Sankar Gurumurthy and
                  Ramtilak Vemu and
                  Jacob A. Abraham},
  title        = {Functionally valid gate-level peak power estimation for processors},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {753--758},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810387},
  doi          = {10.1109/ISQED.2009.4810387},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SambamurthyGVA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SankaranK09,
  author       = {Hariharan Sankaran and
                  Srinivas Katkoori},
  title        = {On-chip dynamic worst-case crosstalk pattern detection and elimination
                  for bus-based macro-cell designs},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {33--39},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810266},
  doi          = {10.1109/ISQED.2009.4810266},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SankaranK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SanyalPK09,
  author       = {Alodeep Sanyal and
                  Abhisek Pan and
                  Sandip Kundu},
  title        = {A study on impact of loading effect on capacitive crosstalk noise},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {696--701},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810378},
  doi          = {10.1109/ISQED.2009.4810378},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SanyalPK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SatoW09,
  author       = {Toshinori Sato and
                  Shingo Watanabe},
  title        = {Uncriticality-directed scheduling for tackling variation and power
                  challenges},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {820--825},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810398},
  doi          = {10.1109/ISQED.2009.4810398},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SatoW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SivaswamyBR09,
  author       = {Satish Sivaswamy and
                  Kia Bazargan and
                  Marc D. Riedel},
  title        = {Estimation and optimization of reliability of noisy digital circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {213--219},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810296},
  doi          = {10.1109/ISQED.2009.4810296},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SivaswamyBR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SrinivasRJUR09,
  author       = {Jithendra Srinivas and
                  Madhusudan Rao and
                  Sukumar Jairam and
                  H. Udayakumar and
                  Jagdish C. Rao},
  title        = {Clock gating effectiveness metrics: Applications to power optimization},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {482--487},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810342},
  doi          = {10.1109/ISQED.2009.4810342},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SrinivasRJUR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/StempkovskyGG09,
  author       = {Alexander L. Stempkovsky and
                  Alexey Glebov and
                  Sergey Gavrilov},
  title        = {Calculation of stress probability for NBTI-aware timing analysis},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {714--718},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810381},
  doi          = {10.1109/ISQED.2009.4810381},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/StempkovskyGG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SukharevMKMKCLHOKK09,
  author       = {Valeriy Sukharev and
                  Ara Markosian and
                  Armen Kteyan and
                  Levon Manukyan and
                  Nikolay Khachatryan and
                  Jun{-}Ho Choy and
                  Hasmik Lazaryan and
                  Henrik Hovsepyan and
                  Seiji Onoue and
                  Takuo Kikuchi and
                  Tetsuya Kamigaki},
  title        = {Control of design specific variation in etch-assisted via pattern
                  transfer by means of full-chip simulation},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {156--161},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810286},
  doi          = {10.1109/ISQED.2009.4810286},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SukharevMKMKCLHOKK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SultanFSvMPHCKCMTCHKAGHWBBIA09,
  author       = {Akif Sultan and
                  John Faricelli and
                  Sushant Suryagandh and
                  Hans vanMeer and
                  Kaveri Mathur and
                  James Pattison and
                  Sean Hannon and
                  Greg Constant and
                  Kalyana Kumar and
                  Kevin Carrejo and
                  Joe Meier and
                  Rasit Onur Topaloglu and
                  Darin Chan and
                  Uwe Hahn and
                  Thorsten Knopp and
                  Victor Andrade and
                  Bill Gardiol and
                  Steve Hejl and
                  David Wu and
                  James Buller and
                  Larry Bair and
                  Ali Icel and
                  Yuri Apanovich},
  title        = {{CAD} utilities to comprehend layout-dependent stress effects in 45
                  nm high- performance {SOI} custom macro design},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {442--446},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810335},
  doi          = {10.1109/ISQED.2009.4810335},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SultanFSvMPHCKCMTCHKAGHWBBIA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SunKLW09,
  author       = {Jin Sun and
                  Avinash Karanth Kodi and
                  Ahmed Louri and
                  Janet Meiling Wang},
  title        = {{NBTI} aware workload balancing in multi-core systems},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {833--838},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810400},
  doi          = {10.1109/ISQED.2009.4810400},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SunKLW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SunagawaTTKO09,
  author       = {Hiroki Sunagawa and
                  Haruhiko Terada and
                  Akira Tsuchiya and
                  Kazutoshi Kobayashi and
                  Hidetoshi Onodera},
  title        = {Erect of regularity-enhanced layout on printability and circuit performance
                  of standard cells},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {195--200},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810293},
  doi          = {10.1109/ISQED.2009.4810293},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SunagawaTTKO09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/SundareswaranPAZM09,
  author       = {Savithri Sundareswaran and
                  Rajendran Panda and
                  Jacob A. Abraham and
                  Yun Zhang and
                  Amit Mittal},
  title        = {Characterization of sequential cells for constraint sensitivities},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {74--79},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810272},
  doi          = {10.1109/ISQED.2009.4810272},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/SundareswaranPAZM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/TanakaN09,
  author       = {Genichi Tanaka and
                  Koichi Nakashiro},
  title        = {Crosstalk pessimism reduction with path base analysis},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {601--606},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810362},
  doi          = {10.1109/ISQED.2009.4810362},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/TanakaN09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/TangCCTNT09,
  author       = {Qian Ying Tang and
                  Qiang Chen and
                  Niloy Chatterjee and
                  Vedank Tripathi and
                  Natarajan Nandagopalan and
                  Sridhar Tirumala},
  title        = {Phenomenological model for gate length bias dependent inverter delay
                  change with emphasis on library characterization},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {303--308},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810312},
  doi          = {10.1109/ISQED.2009.4810312},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/TangCCTNT09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/TodriMMM09,
  author       = {Aida Todri and
                  Malgorzata Marek{-}Sadowska and
                  Francois Maire and
                  Christophe Matheron},
  title        = {A study of decoupling capacitor effectiveness in power and ground
                  grid networks},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {653--658},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810371},
  doi          = {10.1109/ISQED.2009.4810371},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/TodriMMM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/TolbertM09,
  author       = {Jeremy R. Tolbert and
                  Saibal Mukhopadhyay},
  title        = {Accurate buffer modeling with slew propagation in subthreshold circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {91--96},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810275},
  doi          = {10.1109/ISQED.2009.4810275},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/TolbertM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VaidyanathanOXW09,
  author       = {Balaji Vaidyanathan and
                  Anthony S. Oates and
                  Yuan Xie and
                  Yu Wang},
  title        = {NBTI-aware statistical circuit delay assessment},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {13--18},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810263},
  doi          = {10.1109/ISQED.2009.4810263},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/VaidyanathanOXW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VelevG09,
  author       = {Miroslav N. Velev and
                  Ping Gao},
  title        = {Efficient SAT-based techniques for Design of Experiments by using
                  static variable ordering},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {371--376},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810323},
  doi          = {10.1109/ISQED.2009.4810323},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/VelevG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VenkatramanCTMR09,
  author       = {R. Venkatraman and
                  R. Castagnetti and
                  Andres Teene and
                  Benjamin Mbouombouo and
                  S. Ramesh},
  title        = {Power {\&} variability test chip architecture and 45nm-generation
                  silicon-based analysis for robust, power-aware SoC design},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {27--32},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810265},
  doi          = {10.1109/ISQED.2009.4810265},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/VenkatramanCTMR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/VishweshwaraVK09,
  author       = {Ramamurthy Vishweshwara and
                  Ramakrishnan Venkatraman and
                  Vipul Kadodwala},
  title        = {Early clock prototyping for design analysis and quality entitlement},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {641--646},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810369},
  doi          = {10.1109/ISQED.2009.4810369},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/VishweshwaraVK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ViswanathMDVMVH09,
  author       = {Parimala Viswanath and
                  Pranav Murthy and
                  Debajit Das and
                  R. Venkatraman and
                  Ajoy Mandal and
                  Arvind Veeravalli and
                  H. Udayakumar},
  title        = {Optimization strategies to improve statistical timing},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {476--481},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810341},
  doi          = {10.1109/ISQED.2009.4810341},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ViswanathMDVMVH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangCWBCXY09,
  author       = {Yu Wang and
                  Xiaoming Chen and
                  Wenping Wang and
                  Varsha Balakrishnan and
                  Yu Cao and
                  Yuan Xie and
                  Huazhong Yang},
  title        = {On the efficacy of input Vector Control to mitigate {NBTI} effects
                  and leakage power},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {19--26},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810264},
  doi          = {10.1109/ISQED.2009.4810264},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangCWBCXY09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WangKL09,
  author       = {Xin Wang and
                  Alireza Kasnavi and
                  Harold Levy},
  title        = {A general piece-wise nonlinear library modeling format and size reduction
                  technique for gate-level timing, SI, power, and variation analysis},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {55--61},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810269},
  doi          = {10.1109/ISQED.2009.4810269},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WangKL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WatanabeHS09,
  author       = {Shingo Watanabe and
                  Masanori Hashimoto and
                  Toshinori Sato},
  title        = {A case for exploiting complex arithmetic circuits towards performance
                  yield enhancement},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {401--407},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810328},
  doi          = {10.1109/ISQED.2009.4810328},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WatanabeHS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/WeylCRP09,
  author       = {Thorsten Weyl and
                  Dave Clarke and
                  Karl Rinne and
                  James A. Power},
  title        = {{ESD} event simulation automation using automatic extraction of the
                  relevant portion of a full chip},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {414--418},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810330},
  doi          = {10.1109/ISQED.2009.4810330},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/WeylCRP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/XuLCW09,
  author       = {Yanzhong Xu and
                  Lin{-}Shih Liu and
                  Mark Chan and
                  Jeff Watt},
  title        = {Process variation impact on {FPGA} configuration memory},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {613--616},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810364},
  doi          = {10.1109/ISQED.2009.4810364},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/XuLCW09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YaldizALP09,
  author       = {Soner Yaldiz and
                  Umut Arslan and
                  Xin Li and
                  Larry T. Pileggi},
  title        = {Efficient statistical analysis of read timing failures in {SRAM} circuits},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {617--621},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810365},
  doi          = {10.1109/ISQED.2009.4810365},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YaldizALP09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YaoSHPH09,
  author       = {Wei Yao and
                  Yiyu Shi and
                  Lei He and
                  Sudhakar Pamarti and
                  Yu Hu},
  title        = {Worst case timing jitter and amplitude noise in differential signaling},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {40--46},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810267},
  doi          = {10.1109/ISQED.2009.4810267},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YaoSHPH09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YazdaniI09,
  author       = {Farhang Yazdani and
                  Jamal S. Izadian},
  title        = {50GB/s signaling on organic substrates using {PMTL} technology},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {565--568},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810356},
  doi          = {10.1109/ISQED.2009.4810356},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YazdaniI09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YeL09,
  author       = {Xiaoji Ye and
                  Peng Li},
  title        = {An application-specific adjoint sensitivity analysis framework for
                  clock mesh sensitivity computation},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {634--640},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810368},
  doi          = {10.1109/ISQED.2009.4810368},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YeL09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YoshidaF09,
  author       = {Hiroaki Yoshida and
                  Masahiro Fujita},
  title        = {Improving the accuracy of rule-based equivalence checking of system-level
                  design descriptions by identifying potential internal equivalences},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {366--370},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810322},
  doi          = {10.1109/ISQED.2009.4810322},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YoshidaF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YouYWJ09,
  author       = {Hailong You and
                  Maofeng Yang and
                  Dan Wang and
                  Xinzhang Jia},
  title        = {Kriging Model combined with latin hypercube sampling for surrogate
                  modeling of analog integrated circuit performance},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {554--558},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810354},
  doi          = {10.1109/ISQED.2009.4810354},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/YouYWJ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/YuNA09,
  author       = {Hai Yu and
                  Michael Nicolaidis and
                  Lorena Anghel},
  title        = {An effective approach to detect logic soft errors in digital circuits
                  based on {GRAAL}},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {236--240},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810300},
  doi          = {10.1109/ISQED.2009.4810300},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/YuNA09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZadehG09,
  author       = {Amir Khatib Zadeh and
                  Catherine H. Gebotys},
  title        = {Side channel aware leakage management in nanoscale Cryptosystem-on-Chip
                  (CoC)},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {230--235},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810299},
  doi          = {10.1109/ISQED.2009.4810299},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZadehG09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZengLF09,
  author       = {Zhiyu Zeng and
                  Peng Li and
                  Zhuo Feng},
  title        = {Parallel partitioning based on-chip power distribution network analysis
                  using locality acceleration},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {776--781},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810391},
  doi          = {10.1109/ISQED.2009.4810391},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZengLF09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZengYZWHC09,
  author       = {Shan Zeng and
                  Wenjian Yu and
                  Wanping Zhang and
                  Jian Wang and
                  Xianlong Hong and
                  Chung{-}Kuan Cheng},
  title        = {Efficient power network analysis with complete inductive modeling},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {770--775},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810390},
  doi          = {10.1109/ISQED.2009.4810390},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZengYZWHC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhangHZLFSZ09,
  author       = {Lining Zhang and
                  Jin He and
                  Jian Zhang and
                  Feng Liu and
                  Yue Fu and
                  Yan Song and
                  Xing Zhang},
  title        = {An analytic model for Ge/Si core/shell nanowire MOSFETs considering
                  drift-diffusion and ballistic transport},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {582--587},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810359},
  doi          = {10.1109/ISQED.2009.4810359},
  timestamp    = {Fri, 01 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhangHZLFSZ09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhangZDKDBKC09,
  author       = {Yulei Zhang and
                  Ling Zhang and
                  Alina Deutsch and
                  George A. Katopis and
                  Daniel M. Dreps and
                  James F. Buckwalter and
                  Ernest S. Kuh and
                  Chung{-}Kuan Cheng},
  title        = {Design methodology of high performance on-chip global interconnect
                  using terminated transmission-line},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {451--458},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810337},
  doi          = {10.1109/ISQED.2009.4810337},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhangZDKDBKC09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhouKALJNS09,
  author       = {Nancy Ying Zhou and
                  Rouwaida Kanj and
                  Kanak Agarwal and
                  Zhuo Li and
                  Rajiv V. Joshi and
                  Sani R. Nassif and
                  Weiping Shi},
  title        = {The impact of {BEOL} lithography effects on the {SRAM} cell performance
                  and yield},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {607--612},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810363},
  doi          = {10.1109/ISQED.2009.4810363},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhouKALJNS09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZhouYLWK09,
  author       = {Bin Zhou and
                  Yizheng Ye and
                  Zhao{-}lin Li and
                  Xin{-}chun Wu and
                  Rui Ke},
  title        = {A new low power test pattern generator using a variable-length ring
                  counter},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {248--252},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810302},
  doi          = {10.1109/ISQED.2009.4810302},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZhouYLWK09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/ZiabakhshAAM09,
  author       = {Soheil Ziabakhsh and
                  Hosein Alavi{-}Rad and
                  Mohammad Alavi{-}Rad and
                  Mohammad Mortazavi},
  title        = {The design of a low-power high-speed current comparator in 0.35-m
                  {CMOS} technology},
  booktitle    = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  pages        = {107--111},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://doi.org/10.1109/ISQED.2009.4810278},
  doi          = {10.1109/ISQED.2009.4810278},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isqed/ZiabakhshAAM09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isqed/2009,
  title        = {10th International Symposium on Quality of Electronic Design {(ISQED}
                  2009), 16-18 March 2009, San Jose, CA, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2009},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4804412/proceeding},
  isbn         = {978-1-4244-2952-3},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/2009.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics