Search dblp for Publications

export results for "toc:db/conf/asap/asap2006.bht:"

 download as .bib file

@inproceedings{DBLP:conf/asap/AlleBN06,
  author       = {Mythri Alle and
                  Jayanta Biswas and
                  S. K. Nandy},
  title        = {High Performance {VLSI} Architecture Design for {H.264} {CAVLC} Decoder},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {317--322},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.36},
  doi          = {10.1109/ASAP.2006.36},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/AlleBN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Arnold06,
  author       = {Jeffrey M. Arnold},
  title        = {Software Configurable Processors},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {45--49},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.61},
  doi          = {10.1109/ASAP.2006.61},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Arnold06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/BalasaKPVC06,
  author       = {Florin Balasa and
                  Per Gunnar Kjeldsberg and
                  Martin Palkovic and
                  Arnout Vandecappelle and
                  Francky Catthoor},
  title        = {Loop Transformation Methodologies for Array-Oriented Memory Management},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {205--212},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.42},
  doi          = {10.1109/ASAP.2006.42},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/BalasaKPVC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/BalkanQV06,
  author       = {Aydin O. Balkan and
                  Gang Qu and
                  Uzi Vishkin},
  title        = {A Mesh-of-Trees Interconnection Network for Single-Chip Parallel Processing},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {73--80},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.6},
  doi          = {10.1109/ASAP.2006.6},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/BalkanQV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/BertoniBRR06,
  author       = {Guido Bertoni and
                  Luca Breveglieri and
                  Roberto Farina and
                  Francesco Regazzoni},
  title        = {Speeding Up {AES} By Extending a 32 bit Processor Instruction Set},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {275--282},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.62},
  doi          = {10.1109/ASAP.2006.62},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/BertoniBRR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/BhaveMG06,
  author       = {Aasavari Bhave and
                  Eur{\'{\i}}pides Montagne and
                  Edgar Granados},
  title        = {Describing Quantum Circuits with Systolic Arrays},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {109--113},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.25},
  doi          = {10.1109/ASAP.2006.25},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/BhaveMG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/BouchebabaNAC06,
  author       = {Youcef Bouchebaba and
                  Gabriela Nicolescu and
                  El Mostapha Aboulhamid and
                  Fabien Coelho},
  title        = {Buffer and register allocation for memory space optimization},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {283--290},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.20},
  doi          = {10.1109/ASAP.2006.20},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/BouchebabaNAC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/CalderonV06,
  author       = {Humberto Calderon and
                  Stamatis Vassiliadis},
  title        = {Reconfigurable Fixed Point Dense and Sparse Matrix-Vector Multiply/Add
                  Unit},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {311--316},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.58},
  doi          = {10.1109/ASAP.2006.58},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/CalderonV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Cappello06,
  author       = {Peter R. Cappello},
  title        = {Multicore processors as Array Processors: Research Opportunities},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {169--172},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.47},
  doi          = {10.1109/ASAP.2006.47},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Cappello06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/CastellanosS06,
  author       = {Ivan D. Castellanos and
                  James E. Stine},
  title        = {A 64-bit Decimal Floating-Point Comparator},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {138--144},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.2},
  doi          = {10.1109/ASAP.2006.2},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/CastellanosS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/ChanGCWV06,
  author       = {Herwin Chan and
                  Miguel Griot and
                  Andres I. Vila Casado and
                  Richard D. Wesel and
                  Ingrid Verbauwhede},
  title        = {High Speed Channel Coding Architectures for the Uncoordinated {OR}
                  Channel},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {265--268},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.37},
  doi          = {10.1109/ASAP.2006.37},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/ChanGCWV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/ClaussK06,
  author       = {Philippe Clauss and
                  B{\'{e}}n{\'{e}}dicte Kenmei},
  title        = {Polyhedral Modeling and Analysis of Memory Access Profiles},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {191--198},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.54},
  doi          = {10.1109/ASAP.2006.54},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/ClaussK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/DaneshtalabSAFN06,
  author       = {Masoud Daneshtalab and
                  Ashkan Sobhani and
                  Ali Afzali{-}Kusha and
                  Omid Fatemi and
                  Zainalabedin Navabi},
  title        = {NoC Hot Spot minimization Using AntNet Dynamic Routing Algorithm},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {33--38},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.49},
  doi          = {10.1109/ASAP.2006.49},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/DaneshtalabSAFN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/DeprettereSBS06,
  author       = {Ed F. Deprettere and
                  Todor P. Stefanov and
                  Shuvra S. Bhattacharyya and
                  Mainak Sen},
  title        = {Affine Nested Loop Programs and their Binary Parameterized Dataflow
                  Graph Counterparts},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {186--190},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.7},
  doi          = {10.1109/ASAP.2006.7},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/DeprettereSBS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/DimitrakopoulosMGN06,
  author       = {Giorgos Dimitrakopoulos and
                  Christos Mavrokefalidis and
                  Costas Galanopoulos and
                  Dimitris Nikolos},
  title        = {An Energy-Delay Efficient Subword Permutation Unit},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {245--252},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.10},
  doi          = {10.1109/ASAP.2006.10},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/DimitrakopoulosMGN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/DormaleABQL06,
  author       = {Guerric Meurice de Dormale and
                  Renaud Ambroise and
                  David Bol and
                  Jean{-}Jacques Quisquater and
                  Jean{-}Didier Legat},
  title        = {Low-Cost Elliptic Curve Digital Signature Coprocessor for Smart Cards},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {347--353},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.44},
  doi          = {10.1109/ASAP.2006.44},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/DormaleABQL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/DuttaHTHH06,
  author       = {Hritam Dutta and
                  Frank Hannig and
                  J{\"{u}}rgen Teich and
                  Benno Heigl and
                  Heinz Hornegger},
  title        = {A Design Methodology for Hardware Acceleration of Adaptive Filter
                  Algorithms in Image Processing},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {331--340},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.4},
  doi          = {10.1109/ASAP.2006.4},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/DuttaHTHH06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Ebeling06,
  author       = {Carl Ebeling},
  title        = {Configurable Computing Platforms - Promises, Promises},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {3--4},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.22},
  doi          = {10.1109/ASAP.2006.22},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Ebeling06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/HeQLZ06,
  author       = {Chuan He and
                  Guan Qin and
                  Mi Lu and
                  Wei Zhao},
  title        = {An Efficient Implementation of High-Accuracy Finite Difference Computing
                  Engine on FPGAs},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {95--98},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.9},
  doi          = {10.1109/ASAP.2006.9},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/HeQLZ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/HilewitzL06,
  author       = {Yedidya Hilewitz and
                  Ruby B. Lee},
  title        = {Fast Bit Compression and Expansion with Parallel Extract and Parallel
                  Deposit Instructions},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {65--72},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.33},
  doi          = {10.1109/ASAP.2006.33},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/HilewitzL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/HuaPQ06,
  author       = {Shaoxiong Hua and
                  Pushkin R. Pari and
                  Gang Qu},
  title        = {Dual-Processor Design of Energy Efficient Fault-Tolerant System},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {239--244},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.27},
  doi          = {10.1109/ASAP.2006.27},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/HuaPQ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/HugheyB06,
  author       = {Richard Hughey and
                  Andrea Di Blas},
  title        = {The {UCSC} Kestrel Application-Unspecific Processor},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {163--168},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.66},
  doi          = {10.1109/ASAP.2006.66},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/HugheyB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/ItueroL06,
  author       = {Pablo Ituero and
                  Marisa L{\'{o}}pez{-}Vallejo},
  title        = {New Schemes in Clustered {VLIW} Processors Applied to Turbo Decoding},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {291--296},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.48},
  doi          = {10.1109/ASAP.2006.48},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/ItueroL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/JaimeVHZ06,
  author       = {Francisco J. Jaime and
                  Julio Villalba and
                  Javier Hormigo and
                  Emilio L. Zapata},
  title        = {Pipelined Range Reduction for Floating Point Numbers},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {145--152},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.53},
  doi          = {10.1109/ASAP.2006.53},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/JaimeVHZ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Jullien06,
  author       = {Graham A. Jullien},
  title        = {Array Processing Using Alternate Arithmetic - {A} 20 Year Legacy},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {199--204},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.18},
  doi          = {10.1109/ASAP.2006.18},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Jullien06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/KarkootiRC06,
  author       = {Marjan Karkooti and
                  Predrag Radosavljevic and
                  Joseph R. Cavallaro},
  title        = {Configurable, High Throughput, Irregular {LDPC} Decoder Architecture:
                  Tradeoff Analysis and Implementation},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {360--367},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.23},
  doi          = {10.1109/ASAP.2006.23},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/KarkootiRC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/KimR06,
  author       = {DaeGon Kim and
                  Sanjay V. Rajopadhye},
  title        = {An Improved Systolic Architecture for {LU} Decomposition},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {231--238},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.12},
  doi          = {10.1109/ASAP.2006.12},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/KimR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/KoZP06,
  author       = {Ming{-}Yung Ko and
                  Claudiu Zissulescu and
                  Sebastian Puthenpurayil},
  title        = {Parameterized Looped Schedules for Compact Representationof Execution
                  Sequences},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {223--230},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.51},
  doi          = {10.1109/ASAP.2006.51},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/KoZP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/LaiSQV06,
  author       = {Bo{-}Cheng Charles Lai and
                  Patrick Schaumont and
                  Wei Qin and
                  Ingrid Verbauwhede},
  title        = {Cross Layer Design to Multi-thread a Data-Pipelining Application on
                  a Multi-processor on Chip},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {15--18},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.24},
  doi          = {10.1109/ASAP.2006.24},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/LaiSQV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/LeeCV06,
  author       = {Yong Ki Lee and
                  Herwin Chan and
                  Ingrid Verbauwhede},
  title        = {Throughput Optimized {SHA-1} Architecture Using Unfolding Transformation},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {354--359},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.68},
  doi          = {10.1109/ASAP.2006.68},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/LeeCV06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/LeeM06,
  author       = {Woo Hyung Lee and
                  Pinaki Mazumder},
  title        = {Parallel Processing Based Power Reduction in a 256 State Viterbi Decoder},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {182--185},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.50},
  doi          = {10.1109/ASAP.2006.50},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/LeeM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/LiFTM06,
  author       = {Lun Li and
                  Alex Fit{-}Florea and
                  Mitchell A. Thornton and
                  David W. Matula},
  title        = {Performance Evaluation of a Novel Direct Table Lookup Method and Architecture
                  with Application to 16-bit Integer Functions},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {99--104},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.52},
  doi          = {10.1109/ASAP.2006.52},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/LiFTM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Lopresti06,
  author       = {Daniel P. Lopresti},
  title        = {Three Computationally Demanding Problems in Search of {ASAP} Solutions},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {214--222},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.67},
  doi          = {10.1109/ASAP.2006.67},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Lopresti06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Martin06,
  author       = {Grant Martin},
  title        = {Recent Developments in Configurable and Extensible Processors},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {39--44},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.57},
  doi          = {10.1109/ASAP.2006.57},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Martin06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Master06,
  author       = {Paul L. Master},
  title        = {Reconfigurable Hardware and Software Architectural Constructs for
                  the Enablement of Resilient Computing Systems},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {50--55},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.59},
  doi          = {10.1109/ASAP.2006.59},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Master06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/MattosWC06,
  author       = {J{\'{u}}lio C. B. de Mattos and
                  Stephan Wong and
                  Luigi Carro},
  title        = {The Molen FemtoJava Engine},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {19--22},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.64},
  doi          = {10.1109/ASAP.2006.64},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/MattosWC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/McCannyWM06,
  author       = {John V. McCanny and
                  Roger F. Woods and
                  John G. McWhirter},
  title        = {From Bit Level Systolic Arrays to {HDTV} Processor Chips},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {159--162},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.35},
  doi          = {10.1109/ASAP.2006.35},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/McCannyWM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/MesmanFCB06,
  author       = {Bart Mesman and
                  Hamed Fatemi and
                  Henk Corporaal and
                  Twan Basten},
  title        = {Dynamic-SIMD for lens distortion compensation},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {261--264},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.29},
  doi          = {10.1109/ASAP.2006.29},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/MesmanFCB06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/MorrisPA06,
  author       = {Gerald R. Morris and
                  Viktor K. Prasanna and
                  Richard D. Anderson},
  title        = {An FPGA-Based Application-Specific Processor for Efficient Reduction
                  of Multiple Variable-Length Floating-Point Data Sets},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {323--330},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.11},
  doi          = {10.1109/ASAP.2006.11},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/MorrisPA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Nelson06,
  author       = {Brent E. Nelson},
  title        = {The Mythical {CCM:} In Search of Usable (and Resuable) FPGA-Based
                  General Computing Machines},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {5--14},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.65},
  doi          = {10.1109/ASAP.2006.65},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Nelson06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/OhP06,
  author       = {Daesun Oh and
                  Keshab K. Parhi},
  title        = {Low Complexity Design of High Speed Parallel Decision Feedback Equalizers},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {118--124},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.43},
  doi          = {10.1109/ASAP.2006.43},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/OhP06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/PhamS06,
  author       = {Tung N. Pham and
                  Earl E. Swartzlander Jr.},
  title        = {Design of Radix-4 {SRT} Dividers in 65 Nanometer {CMOS} Technology},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {105--108},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.26},
  doi          = {10.1109/ASAP.2006.26},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/PhamS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/PorteroTMMCC06,
  author       = {Antoni Portero and
                  Guillermo Talavera and
                  Marius Monton and
                  Borja Mart{\'{\i}}nez and
                  Francky Catthoor and
                  Jordi Carrabina},
  title        = {Dynamic Voltage Scaling for Power Efficient {MPEG4-SP} Implementation},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {257--260},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.28},
  doi          = {10.1109/ASAP.2006.28},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/PorteroTMMCC06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/PreuberS06,
  author       = {Thomas B. Preu{\ss}er and
                  Rainer G. Spallek},
  title        = {Analysis of a Fully-Scalable Digital Fractional Clock Divider},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {173--177},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.14},
  doi          = {10.1109/ASAP.2006.14},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/PreuberS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/QiuXZSLS06,
  author       = {Mei Kang Qiu and
                  Chun Xue and
                  Qingfeng Zhuge and
                  Zili Shao and
                  Meilin Liu and
                  Edwin Hsing{-}Mean Sha},
  title        = {Voltage Assignment and Loop Scheduling for Energy Minimization while
                  Satisfying Timing Constraint with Guaranteed Probability},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {178--181},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.69},
  doi          = {10.1109/ASAP.2006.69},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/QiuXZSLS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/SantosAA06,
  author       = {Ricardo Santos and
                  Rodolfo Azevedo and
                  Guido Araujo},
  title        = {2D-VLIW: An Architecture Based on the Geometry of Computation},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {87--94},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.1},
  doi          = {10.1109/ASAP.2006.1},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/SantosAA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/SarrafAM06,
  author       = {Elie H. Sarraf and
                  Messaoud Ahmed Ouameur and
                  Daniel Massicotte},
  title        = {{FPGA} Implementation of Beamforming Receivers Based on {MRC} and
                  {NC-LMS} for {DS-CDMA} System},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {114--117},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.34},
  doi          = {10.1109/ASAP.2006.34},
  timestamp    = {Thu, 07 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/SarrafAM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/ScherrerFR06,
  author       = {Antoine Scherrer and
                  Antoine Fraboulet and
                  Tanguy Risset},
  title        = {A Generic Multi-Phase On-Chip Traffic Generation Environment},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {23--27},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.5},
  doi          = {10.1109/ASAP.2006.5},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/ScherrerFR06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/SiegelM06,
  author       = {Sebastian Siegel and
                  Renate Merker},
  title        = {Minimum Cost for Channels and Registers in Processor Arrays by Avoiding
                  Redundancy},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {28--32},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.46},
  doi          = {10.1109/ASAP.2006.46},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/SiegelM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/SinghBN06,
  author       = {Sandeep B. Singh and
                  Jayanta Biswas and
                  S. K. Nandy},
  title        = {A Cost Effective Pipelined Divider for Double Precision Floating Point
                  Number},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {132--137},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.3},
  doi          = {10.1109/ASAP.2006.3},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/SinghBN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/SmythMM06,
  author       = {Neil Smyth and
                  M{\'{a}}ire McLoone and
                  John V. McCanny},
  title        = {An Adaptable And Scalable Asymmetric Cryptographic Processor},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {341--346},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.8},
  doi          = {10.1109/ASAP.2006.8},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/SmythMM06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/Swartzlander06,
  author       = {Earl E. Swartzlander Jr.},
  title        = {Systolic {FFT} Processors: Past, Present and Future},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {153--158},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.63},
  doi          = {10.1109/ASAP.2006.63},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/Swartzlander06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/SydowNBN06,
  author       = {Thorsten von Sydow and
                  Bernd Neumann and
                  Holger Blume and
                  Tobias G. Noll},
  title        = {Quantitative Analysis of Embedded FPGA-Architectures for Arithmetic},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {125--131},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.56},
  doi          = {10.1109/ASAP.2006.56},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/SydowNBN06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/TangBS06,
  author       = {Jun Tang and
                  Tejas M. Bhatt and
                  Vishwas Sundaramurthy},
  title        = {Reconfigurable Shuffle Network Design in {LDPC} Decoders},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {81--86},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.60},
  doi          = {10.1109/ASAP.2006.60},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/TangBS06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/TaussigHNK06,
  author       = {Drew Taussig and
                  Andreas Hoffmann and
                  Achim Nohl and
                  Andrea Kroll},
  title        = {Application Specific Processing: {A} Tools Approach},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {56--64},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.15},
  doi          = {10.1109/ASAP.2006.15},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/TaussigHNK06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/WarsawL06,
  author       = {Thomas Warsaw and
                  Marcin Lukowiak},
  title        = {Architecture design of an {H.264/AVC} decoder for real-time {FPGA}
                  implementation},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {253--256},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.17},
  doi          = {10.1109/ASAP.2006.17},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/WarsawL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/X06,
  title        = {Message from the Conference Chairs},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.45},
  doi          = {10.1109/ASAP.2006.45},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/X06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/X06a,
  title        = {Program Committee},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.55},
  doi          = {10.1109/ASAP.2006.55},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/X06a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/X06b,
  title        = {External Referees},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.32},
  doi          = {10.1109/ASAP.2006.32},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/X06b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/XianSJ06,
  author       = {Feng Xian and
                  Witawas Srisa{-}an and
                  Hong Jiang},
  title        = {Evaluating Hardware Support for Reference Counting Using Software
                  Configurable Processors},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {297--302},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.31},
  doi          = {10.1109/ASAP.2006.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/XianSJ06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/YaoL06,
  author       = {Kung Yao and
                  Flavio Lorenzelli},
  title        = {An Overview of Systolic Array Concepts and Applications for Linear
                  Algebra and Signal Processing},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {213},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.13},
  doi          = {10.1109/ASAP.2006.13},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/YaoL06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/YiyuYF06,
  author       = {Yiyu Tan and
                  Chihang Yau and
                  Anthony S. Fong},
  title        = {Architectural Support on Object-Oriented Programming in a {JAVA} Processor},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {303--310},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.16},
  doi          = {10.1109/ASAP.2006.16},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/YiyuYF06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/asap/ZhangYG06,
  author       = {Youtao Zhang and
                  Jun Yang and
                  Lan Gao},
  title        = {Efficient Group KeyManagement with Tamper-resistant {ISA} Extensions},
  booktitle    = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  pages        = {269--274},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/ASAP.2006.30},
  doi          = {10.1109/ASAP.2006.30},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/asap/ZhangYG06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/asap/2006,
  title        = {2006 {IEEE} International Conference on Application-Specific Systems,
                  Architecture and Processors {(ASAP} 2006), 11-13 September 2006, Steamboat
                  Springs, Colorado, {USA}},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/4019472/proceeding},
  isbn         = {0-7695-2682-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/asap/2006.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics