Search dblp for Publications

export results for "toc:db/journals/integration/integration66.bht:"

 download as .bib file

@article{DBLP:journals/integration/BabuMLS19,
  author       = {Geethu Sathees Babu and
                  Lakshmi Renuka Madala and
                  Gopalakrishnan Lakshminarayanan and
                  Mathini Sellathurai},
  title        = {Low-complex processing element architecture for successive cancellation
                  decoder},
  journal      = {Integr.},
  volume       = {66},
  pages        = {80--87},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.005},
  doi          = {10.1016/J.VLSI.2019.01.005},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/BabuMLS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/BalanRRVSYMM19,
  author       = {Zechariah Balan and
                  Harikrishnan Ramiah and
                  Jagadheswaran Rajendran and
                  Nandini Vitee and
                  Pravinah Nair Shasidharan and
                  Jun Yin and
                  Pui{-}In Mak and
                  Rui Paulo Martins},
  title        = {A coin-battery-powered LDO-Free 2.4-GHz Bluetooth Low Energy/ZigBee
                  receiver consuming 2{\unicode{8239}}mA},
  journal      = {Integr.},
  volume       = {66},
  pages        = {112--118},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.009},
  doi          = {10.1016/J.VLSI.2019.01.009},
  timestamp    = {Tue, 20 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/BalanRRVSYMM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/BurrascanoCFLR19,
  author       = {Pietro Burrascano and
                  Giulia Di Capua and
                  Nicola Femia and
                  Stefano Laureti and
                  Marco Ricci},
  title        = {A Pulse Compression procedure for power inductors modeling up to moderate
                  non-linearity},
  journal      = {Integr.},
  volume       = {66},
  pages        = {16--23},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.010},
  doi          = {10.1016/J.VLSI.2019.01.010},
  timestamp    = {Wed, 14 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/BurrascanoCFLR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/ChakrabortySGKM19,
  author       = {Anindita Chakraborty and
                  Vivek Saurabh and
                  Partha Sarathi Gupta and
                  Rituraj Kumar and
                  Saikat Majumdar and
                  Smriti Das and
                  Hafizur Rahaman},
  title        = {\emph{In}-memory designing of Delay and Toggle flip-flops utilizing
                  Memristor Aided loGIC {(MAGIC)}},
  journal      = {Integr.},
  volume       = {66},
  pages        = {24--34},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2018.12.005},
  doi          = {10.1016/J.VLSI.2018.12.005},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/ChakrabortySGKM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/CofanoVSCTG19,
  author       = {Mario Cofano and
                  Marco Vacca and
                  Giulia Santoro and
                  Giovanni Causapruno and
                  Giovanna Turvani and
                  Mariagrazia Graziano},
  title        = {Exploiting the Logic-In-Memory paradigm for speeding-up data-intensive
                  algorithms},
  journal      = {Integr.},
  volume       = {66},
  pages        = {153--163},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.02.007},
  doi          = {10.1016/J.VLSI.2019.02.007},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/CofanoVSCTG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/CookSST19,
  author       = {Chase Cook and
                  Sheriff Sadiqbatcha and
                  Zeyu Sun and
                  Sheldon X.{-}D. Tan},
  title        = {Reliability based hardware Trojan design using physics-based electromigration
                  models},
  journal      = {Integr.},
  volume       = {66},
  pages        = {9--15},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.011},
  doi          = {10.1016/J.VLSI.2019.01.011},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/CookSST19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/DuDL19,
  author       = {Ke Du and
                  St{\'{e}}phane Domas and
                  Michel Lenczner},
  title        = {Actors with stretchable access patterns},
  journal      = {Integr.},
  volume       = {66},
  pages        = {44--59},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.001},
  doi          = {10.1016/J.VLSI.2019.01.001},
  timestamp    = {Fri, 29 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/DuDL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/FatemiKLLG19,
  author       = {Hamed Fatemi and
                  Andrew B. Kahng and
                  Hyein Lee and
                  Jiajia Li and
                  Jos{\'{e}} Pineda de Gyvez},
  title        = {Enhancing sensitivity-based power reduction for an industry {IC} design
                  context},
  journal      = {Integr.},
  volume       = {66},
  pages        = {96--111},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.008},
  doi          = {10.1016/J.VLSI.2019.01.008},
  timestamp    = {Wed, 15 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/FatemiKLLG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/FlorosES19,
  author       = {George Floros and
                  Nestoras E. Evmorfopoulos and
                  Georgios I. Stamoulis},
  title        = {Efficient {IC} hotspot thermal analysis via low-rank Model Order Reduction},
  journal      = {Integr.},
  volume       = {66},
  pages        = {1--8},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.02.002},
  doi          = {10.1016/J.VLSI.2019.02.002},
  timestamp    = {Mon, 26 Oct 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/FlorosES19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/KumarB19,
  author       = {Chaudhry Indra Kumar and
                  Anand Bulusu},
  title        = {High performance energy efficient radiation hardened latch for low
                  voltage applications},
  journal      = {Integr.},
  volume       = {66},
  pages        = {119--127},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.02.004},
  doi          = {10.1016/J.VLSI.2019.02.004},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/KumarB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/MatamAA19,
  author       = {Kiran Kumar Matam and
                  Mohammad Abdel{-}Majeed and
                  Murali Annavaram},
  title        = {Efficient automatic parallelization of a single {GPU} program for
                  a multiple {GPU} system},
  journal      = {Integr.},
  volume       = {66},
  pages        = {35--43},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2018.12.006},
  doi          = {10.1016/J.VLSI.2018.12.006},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/MatamAA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/MondalMR19,
  author       = {Sabir Ali Mondal and
                  Pradip Mandal and
                  Hafizur Rahaman},
  title        = {Fast locking, startup-circuit free, low area, 32-phase analog {DLL}},
  journal      = {Integr.},
  volume       = {66},
  pages        = {60--66},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.003},
  doi          = {10.1016/J.VLSI.2019.01.003},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/MondalMR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/OrieroH19,
  author       = {Enahoro Oriero and
                  Syed Rafay Hasan},
  title        = {Survey on recent counterfeit {IC} detection techniques and future
                  research directions},
  journal      = {Integr.},
  volume       = {66},
  pages        = {135--152},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.02.006},
  doi          = {10.1016/J.VLSI.2019.02.006},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/OrieroH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/RamosMMP19,
  author       = {Filipe Guimar{\~{a}}es Russo Ramos and
                  Thiago P. Mussolini and
                  Robson Luiz Moreno and
                  Tales Cleber Pimenta},
  title        = {A {CMOS} temperature-independent current reference optimized for mixed-signal
                  applications},
  journal      = {Integr.},
  volume       = {66},
  pages        = {88--95},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.006},
  doi          = {10.1016/J.VLSI.2019.01.006},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/RamosMMP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/SadeghifarBWG19,
  author       = {M. Reza Sadeghifar and
                  H{\aa}kan Bengtsson and
                  J. Jacob Wikner and
                  Oscar Gustafsson},
  title        = {Direct digital-to-RF converter employing semi-digital {FIR} voltage-mode
                  {RF} {DAC}},
  journal      = {Integr.},
  volume       = {66},
  pages        = {128--134},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.02.005},
  doi          = {10.1016/J.VLSI.2019.02.005},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/SadeghifarBWG19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/WeiYLHYY19,
  author       = {Xing Wei and
                  Haigang Yang and
                  Wei Li and
                  Zhihong Huang and
                  Tao Yin and
                  Le Yu},
  title        = {A reconfigurable 4-GS/s power-efficient floating-point {FFT} processor
                  design and implementation based on single-sided binary-tree decomposition},
  journal      = {Integr.},
  volume       = {66},
  pages        = {164--172},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.02.008},
  doi          = {10.1016/J.VLSI.2019.02.008},
  timestamp    = {Tue, 02 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/WeiYLHYY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/XuH19,
  author       = {Jiming Xu and
                  Howard M. Heys},
  title        = {Kernel-based template attacks of cryptographic circuits using static
                  power},
  journal      = {Integr.},
  volume       = {66},
  pages        = {67--79},
  year         = {2019},
  url          = {https://doi.org/10.1016/j.vlsi.2019.01.004},
  doi          = {10.1016/J.VLSI.2019.01.004},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/integration/XuH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics