Search dblp for Publications

export results for "toc:db/conf/slip/slip2019.bht:"

 download as .bib file

@inproceedings{DBLP:conf/slip/KenarangiP19,
  author       = {Farid Kenarangi and
                  Inna Partin{-}Vaisband},
  title        = {Security Network On-Chip for Mitigating Side-Channel Attacks},
  booktitle    = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SLIP.2019.8771328},
  doi          = {10.1109/SLIP.2019.8771328},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/KenarangiP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/PuiWMY19,
  author       = {Chak{-}Wa Pui and
                  Gang Wu and
                  Freddy Y. C. Mang and
                  Evangeline F. Y. Young},
  title        = {An Analytical Approach for Time-Division Multiplexing Optimization
                  in Multi-FPGA based Systems},
  booktitle    = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SLIP.2019.8771330},
  doi          = {10.1109/SLIP.2019.8771330},
  timestamp    = {Tue, 30 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/PuiWMY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/StowA019,
  author       = {Dylan C. Stow and
                  Itir Akgun and
                  Yuan Xie},
  title        = {Investigation of Cost-Optimal Network-on-Chip for Passive and Active
                  Interposer Systems},
  booktitle    = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SLIP.2019.8771333},
  doi          = {10.1109/SLIP.2019.8771333},
  timestamp    = {Fri, 15 Nov 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/slip/StowA019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/VaisbandI19,
  author       = {Boris Vaisband and
                  Subramanian S. Iyer},
  title        = {Communication Considerations for Silicon Interconnect Fabric},
  booktitle    = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SLIP.2019.8771326},
  doi          = {10.1109/SLIP.2019.8771326},
  timestamp    = {Tue, 30 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/VaisbandI19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/VosoughiWK19,
  author       = {M. Ali Vosoughi and
                  Longfei Wang and
                  Sel{\c{c}}uk K{\"{o}}se},
  title        = {Bus-Invert Coding as a Low-Power Countermeasure Against Correlation
                  Power Analysis Attack},
  booktitle    = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SLIP.2019.8771332},
  doi          = {10.1109/SLIP.2019.8771332},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/VosoughiWK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/WangKTK19,
  author       = {Longfei Wang and
                  Ragh Kuttappa and
                  Baris Taskin and
                  Sel{\c{c}}uk K{\"{o}}se},
  title        = {Distributed Digital Low-Dropout Regulators with Phase Interleaving
                  for On-Chip Voltage Noise Mitigation},
  booktitle    = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SLIP.2019.8771327},
  doi          = {10.1109/SLIP.2019.8771327},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/WangKTK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/WenAY19,
  author       = {Yiming Wen and
                  Sayyed Farid Ahamed and
                  Weize Yu},
  title        = {A Novel {PUF} Architecture Against Non-Invasive Attacks},
  booktitle    = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  pages        = {1--5},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SLIP.2019.8771329},
  doi          = {10.1109/SLIP.2019.8771329},
  timestamp    = {Tue, 30 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/WenAY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/slip/XuA19,
  author       = {Zheng Xu and
                  Jacob Abraham},
  title        = {FSNoC: Safe Network-on-Chip Design with Packet Level Lock Stepping},
  booktitle    = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  pages        = {1--6},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/SLIP.2019.8771331},
  doi          = {10.1109/SLIP.2019.8771331},
  timestamp    = {Tue, 30 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/XuA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/slip/2019,
  title        = {21st {ACM/IEEE} International Workshop on System Level Interconnect
                  Prediction, {SLIP} 2019, Las Vegas, NV, USA, June 1-2, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8766240/proceeding},
  isbn         = {978-1-7281-2818-4},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/slip/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}