Search dblp for Publications

export results for "toc:db/conf/iccad/iccad2014.bht:"

 download as .bib file

@inproceedings{DBLP:conf/iccad/0001CY14,
  author       = {Jian Kuang and
                  Wing{-}Kai Chow and
                  Evangeline F. Y. Young},
  editor       = {Yao{-}Wen Chang},
  title        = {Triple patterning lithography aware optimization for standard cell
                  based design},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {108--115},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001340},
  doi          = {10.1109/ICCAD.2014.7001340},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/0001CY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/0001Y14,
  author       = {Jian Kuang and
                  Evangeline F. Y. Young},
  editor       = {Yao{-}Wen Chang},
  title        = {Overlapping-aware throughput-driven stencil planning for E-beam lithography},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {254--261},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001360},
  doi          = {10.1109/ICCAD.2014.7001360},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/0001Y14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/0021Z14,
  author       = {Li Li and
                  Hai Zhou},
  editor       = {Yao{-}Wen Chang},
  title        = {On error modeling and analysis of approximate adders},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {511--518},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001399},
  doi          = {10.1109/ICCAD.2014.7001399},
  timestamp    = {Wed, 16 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/0021Z14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AmrouchSEWH14,
  author       = {Hussam Amrouch and
                  Victor M. van Santen and
                  Thomas Ebi and
                  Volker Wenzel and
                  J{\"{o}}rg Henkel},
  editor       = {Yao{-}Wen Chang},
  title        = {Towards interdependencies of aging mechanisms},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {478--485},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001394},
  doi          = {10.1109/ICCAD.2014.7001394},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AmrouchSEWH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ArbelKKM14,
  author       = {Eli Arbel and
                  Shlomit Koyfman and
                  Prabhakar Kudva and
                  Shiri Moran},
  editor       = {Yao{-}Wen Chang},
  title        = {Automated detection and verification of parity-protected memory elements},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {1--8},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001322},
  doi          = {10.1109/ICCAD.2014.7001322},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ArbelKKM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/AwadTTK14,
  author       = {Ahmed Awad and
                  Atsushi Takahashi and
                  Satoshi Tanaka and
                  Chikaaki Kodama},
  editor       = {Yao{-}Wen Chang},
  title        = {A fast process variation and pattern fidelity aware mask optimization
                  algorithm},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {238--245},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001358},
  doi          = {10.1109/ICCAD.2014.7001358},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/AwadTTK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/BanerjeeMSWC14,
  author       = {Debashis Banerjee and
                  Barry John Muldrey and
                  Shreyas Sen and
                  Xian Wang and
                  Abhijit Chatterjee},
  editor       = {Yao{-}Wen Chang},
  title        = {Self-learning {MIMO-RF} receiver systems: process resilient real-time
                  adaptation to channel conditions for low power operation},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {710--717},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001430},
  doi          = {10.1109/ICCAD.2014.7001430},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/BanerjeeMSWC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CanedoWF14,
  author       = {Arquimedes Canedo and
                  Jiang Wan and
                  Mohammad Abdullah Al Faruque},
  editor       = {Yao{-}Wen Chang},
  title        = {Functional modeling compiler for system-level design of automotive
                  cyber-physical systems},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {39--46},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001327},
  doi          = {10.1109/ICCAD.2014.7001327},
  timestamp    = {Thu, 25 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/CanedoWF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Capodieci14,
  author       = {Luigi Capodieci},
  editor       = {Yao{-}Wen Chang},
  title        = {Evolving physical design paradigms in the transition from 20/14 to
                  10nm process technology nodes},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {573},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001407},
  doi          = {10.1109/ICCAD.2014.7001407},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/Capodieci14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChakrabartyBB14,
  author       = {Krishnendu Chakrabarty and
                  Bhargab B. Bhattacharya and
                  Ansuman Banerjee},
  editor       = {Yao{-}Wen Chang},
  title        = {Design automation for biochemistry synthesis on a digital microfluidic
                  lab-on-a-chip},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {286--288},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001364},
  doi          = {10.1109/ICCAD.2014.7001364},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChakrabartyBB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChanGHKKS14,
  author       = {Tuck{-}Boon Chan and
                  Puneet Gupta and
                  Kwangsoo Han and
                  Abde Ali Kagalwalla and
                  Andrew B. Kahng and
                  Emile Sahouria},
  editor       = {Yao{-}Wen Chang},
  title        = {Benchmarking of mask fracturing heuristics},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {246--253},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001359},
  doi          = {10.1109/ICCAD.2014.7001359},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChanGHKKS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChangBH14,
  author       = {Naehyuck Chang and
                  Donkyu Baek and
                  Jeongmin Hong},
  editor       = {Yao{-}Wen Chang},
  title        = {Power consumption characterization, modeling and estimation of electric
                  vehicles},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {175--182},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001349},
  doi          = {10.1109/ICCAD.2014.7001349},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChangBH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChePB14,
  author       = {Wenjie Che and
                  Jim Plusquellic and
                  Swarup Bhunia},
  editor       = {Yao{-}Wen Chang},
  title        = {A non-volatile memory based physically unclonable function without
                  helper data},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {148--153},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001345},
  doi          = {10.1109/ICCAD.2014.7001345},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChePB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenCC14,
  author       = {Yu{-}Chen Chen and
                  Sheng{-}Yen Chen and
                  Yao{-}Wen Chang},
  editor       = {Yao{-}Wen Chang},
  title        = {Efficient and effective packing and analytical placement for large-scale
                  heterogeneous FPGAs},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {647--654},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001421},
  doi          = {10.1109/ICCAD.2014.7001421},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenCC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChenWP14,
  author       = {Shuang Chen and
                  Yanzhi Wang and
                  Massoud Pedram},
  editor       = {Yao{-}Wen Chang},
  title        = {Optimal offloading control for a mobile device based on a realistic
                  battery model and semi-markov decision process},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {369--375},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001378},
  doi          = {10.1109/ICCAD.2014.7001378},
  timestamp    = {Thu, 30 Jul 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChenWP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChengCCWS14,
  author       = {Sheng{-}Wei Cheng and
                  Yu{-}Fen Chang and
                  Yuan{-}Hao Chang and
                  Hsin{-}Wen Wei and
                  Wei{-}Kuan Shih},
  editor       = {Yao{-}Wen Chang},
  title        = {Warranty-aware page management for PCM-based embedded systems},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {734--741},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001433},
  doi          = {10.1109/ICCAD.2014.7001433},
  timestamp    = {Tue, 05 Jan 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ChengCCWS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChewACH14,
  author       = {Marko Chew and
                  Ara Aslyan and
                  Jun{-}Ho Choy and
                  Xin Huang},
  editor       = {Yao{-}Wen Chang},
  title        = {Accurate full-chip estimation of power map, current densities and
                  temperature for {EM} assessment},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {440--445},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001389},
  doi          = {10.1109/ICCAD.2014.7001389},
  timestamp    = {Tue, 15 May 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChewACH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChiXZDX14,
  author       = {Ping Chi and
                  Cong Xu and
                  Tao Zhang and
                  Xiangyu Dong and
                  Yuan Xie},
  editor       = {Yao{-}Wen Chang},
  title        = {Using multi-level cell {STT-RAM} for fast and energy-efficient local
                  checkpointing},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {301--308},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001367},
  doi          = {10.1109/ICCAD.2014.7001367},
  timestamp    = {Tue, 25 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChiXZDX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ChodayKR14,
  author       = {Sri Harsha Choday and
                  Kon{-}Woo Kwon and
                  Kaushik Roy},
  editor       = {Yao{-}Wen Chang},
  title        = {Workload dependent evaluation of thin-film thermoelectric devices
                  for on-chip cooling and energy harvesting},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {535--541},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001402},
  doi          = {10.1109/ICCAD.2014.7001402},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ChodayKR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CondratKB14,
  author       = {Christopher Condrat and
                  Priyank Kalla and
                  Steve Blair},
  editor       = {Yao{-}Wen Chang},
  title        = {Thermal-aware synthesis of integrated photonic ring resonators},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {557--564},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001405},
  doi          = {10.1109/ICCAD.2014.7001405},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CondratKB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/CotterASIN14,
  author       = {Matthew Cotter and
                  Siddharth Advani and
                  Jack Sampson and
                  Kevin M. Irick and
                  Vijaykrishnan Narayanan},
  editor       = {Yao{-}Wen Chang},
  title        = {A hardware accelerated multilevel visual classifier for embedded visual-assist
                  systems},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {96--100},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001338},
  doi          = {10.1109/ICCAD.2014.7001338},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/CotterASIN14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DeestYSD14,
  author       = {Ga{\"{e}}l Deest and
                  Tomofumi Yuki and
                  Olivier Sentieys and
                  Steven Derrien},
  editor       = {Yao{-}Wen Chang},
  title        = {Toward scalable source level accuracy analysis for floating-point
                  to fixed-point conversion},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {726--733},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001432},
  doi          = {10.1109/ICCAD.2014.7001432},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DeestYSD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DhanwadaDF14,
  author       = {Nagu R. Dhanwada and
                  William Rhett Davis and
                  Jerry Frenkil},
  editor       = {Yao{-}Wen Chang},
  title        = {Towards a standard flow for system level power modeling},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {73},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001333},
  doi          = {10.1109/ICCAD.2014.7001333},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DhanwadaDF14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DinakarraoYGZ14,
  author       = {Sai Manoj Pudukotai Dinakarrao and
                  Hao Yu and
                  Chenjie Gu and
                  Cheng Zhuo},
  editor       = {Yao{-}Wen Chang},
  title        = {A zonotoped macromodeling for reachability verification of eye-diagram
                  in high-speed {I/O} links with jitter},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {696--701},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001428},
  doi          = {10.1109/ICCAD.2014.7001428},
  timestamp    = {Fri, 30 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/DinakarraoYGZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DingWQ14,
  author       = {Yili Ding and
                  Yi Wu and
                  Weikang Qian},
  editor       = {Yao{-}Wen Chang},
  title        = {Generating multiple correlated probabilities for MUX-based stochastic
                  computing architecture},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {519--526},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001400},
  doi          = {10.1109/ICCAD.2014.7001400},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DingWQ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/DrechslerSW14,
  author       = {Rolf Drechsler and
                  Mathias Soeken and
                  Robert Wille},
  editor       = {Yao{-}Wen Chang},
  title        = {Automated and quality-driven requirements engineering},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {586--590},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001410},
  doi          = {10.1109/ICCAD.2014.7001410},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/DrechslerSW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/FangYSJBCL14,
  author       = {Yan Fang and
                  Victor V. Yashin and
                  Andrew J. Seel and
                  Brandon B. Jennings and
                  Reggie Barnett and
                  Donald M. Chiarulli and
                  Steven P. Levitan},
  editor       = {Yao{-}Wen Chang},
  title        = {Modeling oscillator arrays for video analytic applications},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {86--91},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001336},
  doi          = {10.1109/ICCAD.2014.7001336},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/FangYSJBCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Garg14,
  author       = {Vibhor Garg},
  editor       = {Yao{-}Wen Chang},
  title        = {Common path pessimism removal: an industry perspective},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {592--595},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001412},
  doi          = {10.1109/ICCAD.2014.7001412},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/Garg14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HanWLL14,
  author       = {Yinhe Han and
                  Ying Wang and
                  Huawei Li and
                  Xiaowei Li},
  editor       = {Yao{-}Wen Chang},
  title        = {Data-aware {DRAM} refresh to squeeze the margin of retention time
                  in hybrid memory cube},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {295--300},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001366},
  doi          = {10.1109/ICCAD.2014.7001366},
  timestamp    = {Tue, 23 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HanWLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HantaoDXYH14,
  author       = {Hantao Huang and
                  Sai Manoj Pudukotai Dinakarrao and
                  Dongjun Xu and
                  Hao Yu and
                  Zhigang Hao},
  editor       = {Yao{-}Wen Chang},
  title        = {Reinforcement learning based self-adaptive voltage-swing adjustment
                  of 2.5D I/Os for many-core microprocessor and memory communication},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {224--229},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001356},
  doi          = {10.1109/ICCAD.2014.7001356},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/HantaoDXYH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HoskoteK14,
  author       = {Yatin Hoskote and
                  Ilya Klotchkov},
  editor       = {Yao{-}Wen Chang},
  title        = {High level design for wearables and IoT},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {72},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001332},
  doi          = {10.1109/ICCAD.2014.7001332},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HoskoteK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HsiehCWB14,
  author       = {Kuo{-}Kai Hsieh and
                  Wen Chen and
                  Li{-}C. Wang and
                  Jayanta Bhadra},
  editor       = {Yao{-}Wen Chang},
  title        = {On application of data mining in functional debug},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {670--675},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001424},
  doi          = {10.1109/ICCAD.2014.7001424},
  timestamp    = {Wed, 18 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HsiehCWB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HsuKLLTW14,
  author       = {Meng{-}Kai Hsu and
                  Nitesh Katta and
                  Homer Yen{-}Hung Lin and
                  Keny Tzu{-}Hen Lin and
                  King Ho Tam and
                  Ken Chung{-}Hsing Wang},
  editor       = {Yao{-}Wen Chang},
  title        = {Design and manufacturing process co-optimization in nano-technology},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {574--581},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001408},
  doi          = {10.1109/ICCAD.2014.7001408},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HsuKLLTW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HsuLH14,
  author       = {Po{-}Yang Hsu and
                  Pei{-}Lan Lin and
                  TingTing Hwang},
  editor       = {Yao{-}Wen Chang},
  title        = {Compaction-free compressed cache for high performance multi-core system},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {140--147},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001344},
  doi          = {10.1109/ICCAD.2014.7001344},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HsuLH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HsuLWK14,
  author       = {Chih{-}Jen Hsu and
                  Wei{-}Hsun Lin and
                  Chi{-}An Wu and
                  Kei{-}Yong Khoo},
  editor       = {Yao{-}Wen Chang},
  title        = {{ICCAD-2014} {CAD} contest in simultaneous {CNF} encoder optimization
                  with {SAT} solver setting selection and benchmark suite},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {357--360},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001375},
  doi          = {10.1109/ICCAD.2014.7001375},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HsuLWK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HuSK14,
  author       = {Jin Hu and
                  Debjit Sinha and
                  Igor Keller},
  editor       = {Yao{-}Wen Chang},
  title        = {{TAU} 2014 contest on removing common path pessimism during timing
                  analysis},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {591},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001411},
  doi          = {10.1109/ICCAD.2014.7001411},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HuSK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HuangWW14,
  author       = {Tsung{-}Wei Huang and
                  Pei{-}Ci Wu and
                  Martin D. F. Wong},
  editor       = {Yao{-}Wen Chang},
  title        = {Fast path-based timing analysis for {CPPR}},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {596--599},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001413},
  doi          = {10.1109/ICCAD.2014.7001413},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HuangWW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HuangWW14a,
  author       = {Tsung{-}Wei Huang and
                  Pei{-}Ci Wu and
                  Martin D. F. Wong},
  editor       = {Yao{-}Wen Chang},
  title        = {UI-timer: an ultra-fast clock network pessimism removal algorithm},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {758--765},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001436},
  doi          = {10.1109/ICCAD.2014.7001436},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HuangWW14a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/HussainYMK14,
  author       = {Siam U. Hussain and
                  Sudha Yellapantula and
                  Mehrdad Majzoobi and
                  Farinaz Koushanfar},
  editor       = {Yao{-}Wen Chang},
  title        = {{BIST-PUF:} online, hardware-based evaluation of physically unclonable
                  circuit identifiers},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {162--169},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001347},
  doi          = {10.1109/ICCAD.2014.7001347},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/HussainYMK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JainPB14,
  author       = {Animesh Jain and
                  Ritesh Parikh and
                  Valeria Bertacco},
  editor       = {Yao{-}Wen Chang},
  title        = {High-radix on-chip networks with low-radix routers},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {289--294},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001365},
  doi          = {10.1109/ICCAD.2014.7001365},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JainPB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JiaCZCLL14,
  author       = {Xiaotao Jia and
                  Yici Cai and
                  Qiang Zhou and
                  Gang Chen and
                  Zhuoyuan Li and
                  Zuowei Li},
  editor       = {Yao{-}Wen Chang},
  title        = {MCFRoute: a detailed router based on multi-commodity flow method},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {397--404},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001382},
  doi          = {10.1109/ICCAD.2014.7001382},
  timestamp    = {Tue, 30 Jan 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/JiaCZCLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JiangNCNH14,
  author       = {Iris Hui{-}Ru Jiang and
                  Gi{-}Joon Nam and
                  Hua{-}Yu Chang and
                  Sani R. Nassif and
                  Jerry Hayes},
  editor       = {Yao{-}Wen Chang},
  title        = {Smart grid load balancing techniques via simultaneous switch/tie-line/wire
                  configurations},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {382--388},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001380},
  doi          = {10.1109/ICCAD.2014.7001380},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JiangNCNH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/JiangVCL14,
  author       = {Iris Hui{-}Ru Jiang and
                  Natarajan Viswanathan and
                  Tai{-}Chen Chen and
                  Jin{-}Fu Li},
  editor       = {Yao{-}Wen Chang},
  title        = {The overview of 2014 {CAD} contest at {ICCAD}},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {356},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001374},
  doi          = {10.1109/ICCAD.2014.7001374},
  timestamp    = {Tue, 17 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/JiangVCL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KalonakisAGDPS14,
  author       = {Christos Kalonakis and
                  Charalampos Antoniadis and
                  Panagiotis Giannakou and
                  Dimos Dioudis and
                  Georgios Pinitas and
                  Georgios I. Stamoulis},
  editor       = {Yao{-}Wen Chang},
  title        = {TKtimer: fast {\&} accurate clock network pessimism removal},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {606--610},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001415},
  doi          = {10.1109/ICCAD.2014.7001415},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KalonakisAGDPS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KeszoczeWD14,
  author       = {Oliver Kesz{\"{o}}cze and
                  Robert Wille and
                  Rolf Drechsler},
  editor       = {Yao{-}Wen Chang},
  title        = {Exact routing for digital microfluidic biochips with temporary blockages},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {405--410},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001383},
  doi          = {10.1109/ICCAD.2014.7001383},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KeszoczeWD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KimHV14,
  author       = {Myung{-}Chul Kim and
                  Jin Hu and
                  Natarajan Viswanathan},
  editor       = {Yao{-}Wen Chang},
  title        = {{ICCAD-2014} {CAD} contest in incremental timing-driven placement
                  and benchmark suite},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {361--366},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001376},
  doi          = {10.1109/ICCAD.2014.7001376},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KimHV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/KimZCZST14,
  author       = {Taeyoung Kim and
                  Bowen Zheng and
                  Hai{-}Bao Chen and
                  Qi Zhu and
                  Valeriy Sukharev and
                  Sheldon X.{-}D. Tan},
  editor       = {Yao{-}Wen Chang},
  title        = {Lifetime optimization for real-time embedded systems considering electromigration
                  effects},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {434--439},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001388},
  doi          = {10.1109/ICCAD.2014.7001388},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/KimZCZST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeeJ14,
  author       = {Nian{-}Ze Lee and
                  Jie{-}Hong R. Jiang},
  editor       = {Yao{-}Wen Chang},
  title        = {Towards formal evaluation and verification of probabilistic design},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {340--347},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001372},
  doi          = {10.1109/ICCAD.2014.7001372},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LeeJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LeiCYX14,
  author       = {Li Lei and
                  Kai Cong and
                  Zhenkun Yang and
                  Fei Xie},
  editor       = {Yao{-}Wen Chang},
  title        = {Validating direct memory access interfaces with conformance checking},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {9--16},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001323},
  doi          = {10.1109/ICCAD.2014.7001323},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LeiCYX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiebmannT14,
  author       = {Lars W. Liebmann and
                  Rasit Onur Topaloglu},
  editor       = {Yao{-}Wen Chang},
  title        = {Design and technology co-optimization near single-digit nodes},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {582--585},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001409},
  doi          = {10.1109/ICCAD.2014.7001409},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LiebmannT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinL14,
  author       = {Shu{-}Hung Lin and
                  Mark Po{-}Hung Lin},
  editor       = {Yao{-}Wen Chang},
  title        = {More effective power-gated circuit optimization with multi-bit retention
                  registers},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {213--217},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001354},
  doi          = {10.1109/ICCAD.2014.7001354},
  timestamp    = {Sun, 12 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/LinL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinLC14,
  author       = {Hsin{-}Chun Lin and
                  Shih{-}Ying Sean Liu and
                  Hung{-}Ming Chen},
  editor       = {Yao{-}Wen Chang},
  title        = {Planning and placing power clamps for effective {CDM} protection},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {663--669},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001423},
  doi          = {10.1109/ICCAD.2014.7001423},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LinLC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinWBCP14,
  author       = {Xue Lin and
                  Yanzhi Wang and
                  Paul Bogdan and
                  Naehyuck Chang and
                  Massoud Pedram},
  editor       = {Yao{-}Wen Chang},
  title        = {Reinforcement learning based power management for hybrid electric
                  vehicles},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {32--38},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001326},
  doi          = {10.1109/ICCAD.2014.7001326},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LinWBCP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LinZS14,
  author       = {Chung{-}Wei Lin and
                  Qi Zhu and
                  Alberto L. Sangiovanni{-}Vincentelli},
  editor       = {Yao{-}Wen Chang},
  title        = {Security-aware mapping for TDMA-based real-time distributed systems},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {24--31},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001325},
  doi          = {10.1109/ICCAD.2014.7001325},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LinZS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuBW14,
  author       = {Haotian Liu and
                  Kim Batselier and
                  Ngai Wong},
  editor       = {Yao{-}Wen Chang},
  title        = {A novel linear algebra method for the determination of periodic steady
                  states of nonlinear oscillators},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {611--617},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001416},
  doi          = {10.1109/ICCAD.2014.7001416},
  timestamp    = {Thu, 15 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuBW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuHH14,
  author       = {Yang Liu and
                  Shiyan Hu and
                  Tsung{-}Yi Ho},
  editor       = {Yao{-}Wen Chang},
  title        = {Vulnerability assessment and defense technology for smart home cybersecurity
                  considering pricing cyberattacks},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {183--190},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001350},
  doi          = {10.1109/ICCAD.2014.7001350},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuHH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuLCLHWB14,
  author       = {Beiye Liu and
                  Hai Li and
                  Yiran Chen and
                  Xin Li and
                  Tingwen Huang and
                  Qing Wu and
                  Mark Barnell},
  editor       = {Yao{-}Wen Chang},
  title        = {Reduction and IR-drop compensations techniques for reliable neuromorphic
                  computing systems},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {63--70},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001330},
  doi          = {10.1109/ICCAD.2014.7001330},
  timestamp    = {Fri, 05 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuLCLHWB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LiuPW14,
  author       = {Wen{-}Hao Liu and
                  Zhen{-}Yu Peng and
                  Ting{-}Chi Wang},
  editor       = {Yao{-}Wen Chang},
  title        = {A resource-level parallel approach for global-routing-based routing
                  congestion estimation and a method to quantify estimation accuracy},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {389--396},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001381},
  doi          = {10.1109/ICCAD.2014.7001381},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LiuPW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/LongfieldM14,
  author       = {Stephen Longfield Jr. and
                  Rajit Manohar},
  editor       = {Yao{-}Wen Chang},
  title        = {Removing concurrency for rapid functional verification},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {332--339},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001371},
  doi          = {10.1109/ICCAD.2014.7001371},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/LongfieldM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MahmutogluD14,
  author       = {Ahmet Gokcen Mahmutoglu and
                  Alper Demir},
  editor       = {Yao{-}Wen Chang},
  title        = {Modeling and analysis of nonstationary low-frequency noise in circuit
                  simulators: enabling non monte carlo techniques},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {309--315},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001368},
  doi          = {10.1109/ICCAD.2014.7001368},
  timestamp    = {Fri, 19 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/MahmutogluD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MaksimovicLV14,
  author       = {Djordje Maksimovic and
                  Bao Le and
                  Andreas G. Veneris},
  editor       = {Yao{-}Wen Chang},
  title        = {Multiple clock domain synchronization in a QBF-based verification
                  environment},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {684--689},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001426},
  doi          = {10.1109/ICCAD.2014.7001426},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/MaksimovicLV14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/MiaoGO14,
  author       = {Jin Miao and
                  Andreas Gerstlauer and
                  Michael Orshansky},
  editor       = {Yao{-}Wen Chang},
  title        = {Multi-level approximate logic synthesis under general error constraints},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {504--510},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001398},
  doi          = {10.1109/ICCAD.2014.7001398},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/MiaoGO14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/NothaftFCSRD14,
  author       = {Frank Austin Nothaft and
                  Luis Fernandez and
                  Stephen Cefali and
                  Nishant Shah and
                  Jacob J. Rael and
                  Luke Darnell},
  editor       = {Yao{-}Wen Chang},
  title        = {Pragma-based floating-to-fixed point conversion for the emulation
                  of analog behavioral models},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {633--640},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001419},
  doi          = {10.1109/ICCAD.2014.7001419},
  timestamp    = {Wed, 02 Mar 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/NothaftFCSRD14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PalitLNSNH14,
  author       = {Indranil Palit and
                  Qiuwen Lou and
                  Michael T. Niemier and
                  Behnam Sedighi and
                  Joseph Nahas and
                  Xiaobo Sharon Hu},
  editor       = {Yao{-}Wen Chang},
  title        = {Cellular neural networks for image analysis using steep slope devices},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {92--95},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001337},
  doi          = {10.1109/ICCAD.2014.7001337},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PalitLNSNH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PanLCJ14,
  author       = {Gung{-}Yu Pan and
                  Bo{-}Cheng Charles Lai and
                  Sheng{-}Yen Chen and
                  Jing{-}Yang Jou},
  editor       = {Yao{-}Wen Chang},
  title        = {A learning-on-cloud power management policy for smart devices},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {376--381},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001379},
  doi          = {10.1109/ICCAD.2014.7001379},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PanLCJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PedroCR14,
  author       = {Javier de San Pedro and
                  Jordi Cortadella and
                  Antoni Roca},
  editor       = {Yao{-}Wen Chang},
  title        = {A hierarchical approach for generating regular floorplans},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {655--662},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001422},
  doi          = {10.1109/ICCAD.2014.7001422},
  timestamp    = {Wed, 14 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/PedroCR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PetkovskaNMI14,
  author       = {Ana Petkovska and
                  David Novo and
                  Alan Mishchenko and
                  Paolo Ienne},
  editor       = {Yao{-}Wen Chang},
  title        = {Constrained interpolation for guided logic synthesis},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {462--469},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001392},
  doi          = {10.1109/ICCAD.2014.7001392},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PetkovskaNMI14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PlazaM14,
  author       = {Stephen M. Plaza and
                  Igor L. Markov},
  editor       = {Yao{-}Wen Chang},
  title        = {Protecting integrated circuits from piracy with test-aware logic locking},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {262--269},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001361},
  doi          = {10.1109/ICCAD.2014.7001361},
  timestamp    = {Mon, 29 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PlazaM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/PosserMJRS14,
  author       = {Gracieli Posser and
                  Vivek Mishra and
                  Palkesh Jain and
                  Ricardo Reis and
                  Sachin S. Sapatnekar},
  editor       = {Yao{-}Wen Chang},
  title        = {A systematic approach for analyzing and optimizing cell-internal signal
                  electromigration},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {486--491},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001395},
  doi          = {10.1109/ICCAD.2014.7001395},
  timestamp    = {Tue, 22 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/PosserMJRS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Puri14,
  author       = {Ruchir Puri},
  editor       = {Yao{-}Wen Chang},
  title        = {Application driven high level design in the era of heterogeneous computing},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {71},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001331},
  doi          = {10.1109/ICCAD.2014.7001331},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/Puri14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/QuY14,
  author       = {Gang Qu and
                  Lin Yuan},
  editor       = {Yao{-}Wen Chang},
  title        = {Design things for the internet of things: an {EDA} perspective},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {411--416},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001384},
  doi          = {10.1109/ICCAD.2014.7001384},
  timestamp    = {Wed, 15 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/QuY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RaghuvanshiP14,
  author       = {Anika Raghuvanshi and
                  Marek A. Perkowski},
  editor       = {Yao{-}Wen Chang},
  title        = {Logic synthesis and a generalized notation for memristor-realized
                  material implication gates},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {470--477},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001393},
  doi          = {10.1109/ICCAD.2014.7001393},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/RaghuvanshiP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/RaychowdhuryNG14,
  author       = {Arijit Raychowdhury and
                  Saad Bin Nasir and
                  Samantak Gangopadhyay},
  editor       = {Yao{-}Wen Chang},
  title        = {The role of adaptation and resiliency in computation and power management},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {74--79},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001334},
  doi          = {10.1109/ICCAD.2014.7001334},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/RaychowdhuryNG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SamalSKDL14,
  author       = {Sandeep Kumar Samal and
                  Kambiz Samadi and
                  Pratyush Kamal and
                  Yang Du and
                  Sung Kyu Lim},
  editor       = {Yao{-}Wen Chang},
  title        = {Full chip impact study of power delivery network designs in monolithic
                  3D ICs},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {565--572},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001406},
  doi          = {10.1109/ICCAD.2014.7001406},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SamalSKDL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SampaioSZBH14,
  author       = {Felipe Sampaio and
                  Muhammad Shafique and
                  Bruno Zatt and
                  Sergio Bampi and
                  J{\"{o}}rg Henkel},
  editor       = {Yao{-}Wen Chang},
  title        = {Energy-efficient architecture for advanced video memory},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {132--139},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001343},
  doi          = {10.1109/ICCAD.2014.7001343},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SampaioSZBH14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SayilarC14,
  author       = {Gokhan Sayilar and
                  Derek Chiou},
  editor       = {Yao{-}Wen Chang},
  title        = {Cryptoraptor: high throughput reconfigurable cryptographic processor},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {154--161},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001346},
  doi          = {10.1109/ICCAD.2014.7001346},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SayilarC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SchneiderHWW14,
  author       = {Eric Schneider and
                  Stefan Holst and
                  Xiaoqing Wen and
                  Hans{-}Joachim Wunderlich},
  editor       = {Yao{-}Wen Chang},
  title        = {Data-parallel simulation for fast and accurate timing validation of
                  {CMOS} circuits},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {17--23},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001324},
  doi          = {10.1109/ICCAD.2014.7001324},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SchneiderHWW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Sen14,
  author       = {Shreyas Sen},
  editor       = {Yao{-}Wen Chang},
  title        = {Channel-adaptive zero-margin {\&} process-adaptive self-healing
                  communication circuits/systems},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {80--85},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001335},
  doi          = {10.1109/ICCAD.2014.7001335},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/Sen14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SenguptaS14,
  author       = {Deepashree Sengupta and
                  Sachin S. Sapatnekar},
  editor       = {Yao{-}Wen Chang},
  title        = {ReSCALE: recalibrating sensor circuits for aging and lifetime estimation
                  under {BTI}},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {492--497},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001396},
  doi          = {10.1109/ICCAD.2014.7001396},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/SenguptaS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShahrjerdiRGKK14,
  author       = {Davood Shahrjerdi and
                  Jeyavijayan Rajendran and
                  Siddharth Garg and
                  Farinaz Koushanfar and
                  Ramesh Karri},
  editor       = {Yao{-}Wen Chang},
  title        = {Shielding and securing integrated circuits with sensors},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {170--174},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001348},
  doi          = {10.1109/ICCAD.2014.7001348},
  timestamp    = {Fri, 04 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ShahrjerdiRGKK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShenCCS14,
  author       = {Chenguang Shen and
                  Haksoo Choi and
                  Supriyo Chakraborty and
                  Mani B. Srivastava},
  editor       = {Yao{-}Wen Chang},
  title        = {Towards a rich sensing stack for IoT devices},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {424--427},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001386},
  doi          = {10.1109/ICCAD.2014.7001386},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ShenCCS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ShintaniS14,
  author       = {Michihiro Shintani and
                  Takashi Sato},
  editor       = {Yao{-}Wen Chang},
  title        = {Sensorless estimation of global device-parameters based on F\({}_{\mbox{max}}\)
                  testing},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {498--503},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001397},
  doi          = {10.1109/ICCAD.2014.7001397},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ShintaniS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SridharSRAM14,
  author       = {Arvind Sridhar and
                  Mohamed M. Sabry and
                  Patrick W. Ruch and
                  David Atienza and
                  Bruno Michel},
  editor       = {Yao{-}Wen Chang},
  title        = {PowerCool: simulation of integrated microfluidic power generation
                  in bright silicon MPSoCs},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {527--534},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001401},
  doi          = {10.1109/ICCAD.2014.7001401},
  timestamp    = {Tue, 23 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SridharSRAM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SuHTCB14,
  author       = {Yu{-}Hsuan Su and
                  Yu{-}Chen Huang and
                  Liang{-}Chun Tsai and
                  Yao{-}Wen Chang and
                  Shayak Banerjee},
  editor       = {Yao{-}Wen Chang},
  title        = {Fast lithographic mask optimization considering process variation},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {230--237},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001357},
  doi          = {10.1109/ICCAD.2014.7001357},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SuHTCB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SukharevHCT14,
  author       = {Valeriy Sukharev and
                  Xin Huang and
                  Hai{-}Bao Chen and
                  Sheldon X.{-}D. Tan},
  editor       = {Yao{-}Wen Chang},
  title        = {IR-drop based electromigration assessment: parametric failure chip-scale
                  analysis},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {428--433},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001387},
  doi          = {10.1109/ICCAD.2014.7001387},
  timestamp    = {Sun, 02 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SukharevHCT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/SunL14,
  author       = {Shupeng Sun and
                  Xin Li},
  editor       = {Yao{-}Wen Chang},
  title        = {Fast statistical analysis of rare circuit failure events via subset
                  simulation in high-dimensional variation space},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {324--331},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001370},
  doi          = {10.1109/ICCAD.2014.7001370},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/SunL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Tan0DZ14,
  author       = {Mingxing Tan and
                  Bin Liu and
                  Steve Dai and
                  Zhiru Zhang},
  editor       = {Yao{-}Wen Chang},
  title        = {Multithreaded pipeline synthesis for data-parallel kernels},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {718--725},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001431},
  doi          = {10.1109/ICCAD.2014.7001431},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Tan0DZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TengT14,
  author       = {Ying Teng and
                  Baris Taskin},
  editor       = {Yao{-}Wen Chang},
  title        = {Frequency-centric resonant rotary clock distribution network design},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {742--749},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001434},
  doi          = {10.1109/ICCAD.2014.7001434},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TengT14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TianDZXW14,
  author       = {Haitong Tian and
                  Yuelin Du and
                  Hongbo Zhang and
                  Zigang Xiao and
                  Martin D. F. Wong},
  editor       = {Yao{-}Wen Chang},
  title        = {Triple patterning aware detailed placement with constrained pattern
                  assignment},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {116--123},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001341},
  doi          = {10.1109/ICCAD.2014.7001341},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/TianDZXW14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/TidaMZS14,
  author       = {Umamaheswara Rao Tida and
                  Varun Mittapalli and
                  Cheng Zhuo and
                  Yiyu Shi},
  editor       = {Yao{-}Wen Chang},
  title        = {Opportunistic through-silicon-via inductor utilization in {LC} resonant
                  clocks: concept and algorithms},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {750--757},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001435},
  doi          = {10.1109/ICCAD.2014.7001435},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/TidaMZS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Topaloglu14,
  author       = {Rasit Onur Topaloglu},
  editor       = {Yao{-}Wen Chang},
  title        = {{ICCAD-2014} {CAD} contest in design for manufacturability flow for
                  advanced semiconductor nodes and benchmark suite},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {367--368},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001377},
  doi          = {10.1109/ICCAD.2014.7001377},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/Topaloglu14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/VaidyanathanLSP14,
  author       = {Kaushik Vaidyanathan and
                  Lars Liebmann and
                  Andrzej J. Strojwas and
                  Larry T. Pileggi},
  editor       = {Yao{-}Wen Chang},
  title        = {Sub-20 nm design technology co-optimization for standard cell logic},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {124--131},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001342},
  doi          = {10.1109/ICCAD.2014.7001342},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/VaidyanathanLSP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/Velev014,
  author       = {Miroslav N. Velev and
                  Ping Gao},
  editor       = {Yao{-}Wen Chang},
  title        = {Improving the efficiency of automated debugging of pipelined microprocessors
                  by symmetry breaking in modular schemes for boolean encoding of cardinality},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {676--683},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001425},
  doi          = {10.1109/ICCAD.2014.7001425},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/Velev014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangG14,
  author       = {Wei{-}Che Wang and
                  Puneet Gupta},
  editor       = {Yao{-}Wen Chang},
  title        = {Efficient layout generation and evaluation of vertical channel devices},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {550--556},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001404},
  doi          = {10.1109/ICCAD.2014.7001404},
  timestamp    = {Thu, 28 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WangG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangHS14,
  author       = {Zhao Wang and
                  Xiao He and
                  Carl M. Sechen},
  editor       = {Yao{-}Wen Chang},
  title        = {TonyChopper: a desynchronization package},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {446--453},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001390},
  doi          = {10.1109/ICCAD.2014.7001390},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WangHS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangLL14,
  author       = {Ya Wang and
                  Peng Li and
                  Suming Lai},
  editor       = {Yao{-}Wen Chang},
  title        = {A unifying and robust method for efficient envelope-following simulation
                  of {PWM/PFM} {DC-DC} converters},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {618--625},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001417},
  doi          = {10.1109/ICCAD.2014.7001417},
  timestamp    = {Thu, 31 Oct 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangLL14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangYM14,
  author       = {Michael Wang and
                  Andrew Yates and
                  Igor L. Markov},
  editor       = {Yao{-}Wen Chang},
  title        = {SuperPUF: integrating heterogeneous physically unclonable functions},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {454--461},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001391},
  doi          = {10.1109/ICCAD.2014.7001391},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WangYM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WangZXLCS14,
  author       = {Tao Wang and
                  Chun Zhang and
                  Jinjun Xiong and
                  Pei{-}Wen Luo and
                  Liang{-}Chia Cheng and
                  Yiyu Shi},
  editor       = {Yao{-}Wen Chang},
  title        = {Variation aware optimal threshold voltage computation for on-chip
                  noise sensors},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {205--212},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001353},
  doi          = {10.1109/ICCAD.2014.7001353},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WangZXLCS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WeiZM14,
  author       = {Tianshu Wei and
                  Qi Zhu and
                  Mehdi Maasoumy},
  editor       = {Yao{-}Wen Chang},
  title        = {Co-scheduling of {HVAC} control, {EV} charging and battery usage for
                  building energy efficiency},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {191--196},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001351},
  doi          = {10.1109/ICCAD.2014.7001351},
  timestamp    = {Mon, 16 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WeiZM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WendtP14,
  author       = {James B. Wendt and
                  Miodrag Potkonjak},
  editor       = {Yao{-}Wen Chang},
  title        = {Hardware obfuscation using PUF-based logic},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {270--277},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001362},
  doi          = {10.1109/ICCAD.2014.7001362},
  timestamp    = {Mon, 03 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WendtP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WuLHCB14,
  author       = {Gang Wu and
                  Tao Lin and
                  Hsin{-}Ho Huang and
                  Chris Chu and
                  Peter A. Beerel},
  editor       = {Yao{-}Wen Chang},
  title        = {Asynchronous circuit placement by lagrangian relaxation},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {641--646},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001420},
  doi          = {10.1109/ICCAD.2014.7001420},
  timestamp    = {Wed, 09 Jun 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/WuLHCB14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/WuXSS14,
  author       = {Jie Wu and
                  Jinjun Xiong and
                  Prasenjit Shil and
                  Yiyu Shi},
  editor       = {Yao{-}Wen Chang},
  title        = {Real time anomaly detection in wide area monitoring of smart grids},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {197--204},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001352},
  doi          = {10.1109/ICCAD.2014.7001352},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/WuXSS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XuCNZY014,
  author       = {Cong Xu and
                  Pai{-}Yu Chen and
                  Dimin Niu and
                  Yang Zheng and
                  Shimeng Yu and
                  Yuan Xie},
  editor       = {Yao{-}Wen Chang},
  title        = {Architecting 3D vertical resistive memory for next-generation storage
                  systems},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {55--62},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001329},
  doi          = {10.1109/ICCAD.2014.7001329},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/XuCNZY014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/XuWP14,
  author       = {Teng Xu and
                  James B. Wendt and
                  Miodrag Potkonjak},
  editor       = {Yao{-}Wen Chang},
  title        = {Security of IoT systems: design challenges and opportunities},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {417--423},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001385},
  doi          = {10.1109/ICCAD.2014.7001385},
  timestamp    = {Mon, 03 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/XuWP14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YangCJ14,
  author       = {Yu{-}Ming Yang and
                  Yu{-}Wei Chang and
                  Iris Hui{-}Ru Jiang},
  editor       = {Yao{-}Wen Chang},
  title        = {iTimerC: common path pessimism removal using effective reduction methods},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {600--605},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001414},
  doi          = {10.1109/ICCAD.2014.7001414},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YangCJ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuJZC14,
  author       = {Yen{-}Ting Yu and
                  Iris Hui{-}Ru Jiang and
                  Yumin Zhang and
                  Charles C. Chiang},
  editor       = {Yao{-}Wen Chang},
  title        = {DRC-based hotspot detection considering edge tolerance and incomplete
                  specification},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {101--107},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001339},
  doi          = {10.1109/ICCAD.2014.7001339},
  timestamp    = {Sat, 07 Oct 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/YuJZC14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuLLWST14,
  author       = {Hsueh{-}Ling Yu and
                  Yih{-}Lang Li and
                  Tzu{-}Yi Liao and
                  Tianchen Wang and
                  Yiyu Shi and
                  Shu{-}Fei Tsai},
  editor       = {Yao{-}Wen Chang},
  title        = {Fast and accurate emissivity and absolute temperature maps measurement
                  for integrated circuits},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {542--549},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001403},
  doi          = {10.1109/ICCAD.2014.7001403},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuLLWST14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/YuZWS14,
  author       = {Wenjian Yu and
                  Chao Zhang and
                  Qing Wang and
                  Yiyu Shi},
  editor       = {Yao{-}Wen Chang},
  title        = {Random walk based capacitance extraction for 3D ICs with cylindrical
                  inter-tier-vias},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {702--709},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001429},
  doi          = {10.1109/ICCAD.2014.7001429},
  timestamp    = {Tue, 13 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/YuZWS14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZaheerLG14,
  author       = {Manzil Zaheer and
                  Xin Li and
                  Chenjie Gu},
  editor       = {Yao{-}Wen Chang},
  title        = {{MPME-DP:} multi-population moment estimation via dirichlet process
                  for efficient validation of analog/mixed-signal circuits},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {316--323},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001369},
  doi          = {10.1109/ICCAD.2014.7001369},
  timestamp    = {Sun, 04 Jun 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZaheerLG14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangSLWYBX14,
  author       = {Jie Zhang and
                  Guantong Su and
                  Yannan Liu and
                  Lingxiao Wei and
                  Feng Yuan and
                  Guoqiang Bai and
                  Qiang Xu},
  editor       = {Yao{-}Wen Chang},
  title        = {On trojan side channel design and identification},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {278--285},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001363},
  doi          = {10.1109/ICCAD.2014.7001363},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangSLWYBX14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhangTY14,
  author       = {Moning Zhang and
                  Yang Tang and
                  Zuochang Ye},
  editor       = {Yao{-}Wen Chang},
  title        = {Large-signal {MOSFET} modeling using frequency-domain nonlinear system
                  identification},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {626--632},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001418},
  doi          = {10.1109/ICCAD.2014.7001418},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhangTY14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoFZ14,
  author       = {Xueqian Zhao and
                  Zhuo Feng and
                  Cheng Zhuo},
  editor       = {Yao{-}Wen Chang},
  title        = {An efficient spectral graph sparsification approach to scalable reduction
                  of large flip-chip power grids},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {218--223},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001355},
  doi          = {10.1109/ICCAD.2014.7001355},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoFZ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoLWQ14,
  author       = {Zheng Zhao and
                  Chian{-}Wei Liu and
                  Chun{-}Yao Wang and
                  Weikang Qian},
  editor       = {Yao{-}Wen Chang},
  title        = {BDD-based synthesis of reconfigurable single-electron transistor arrays},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {47--54},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001328},
  doi          = {10.1109/ICCAD.2014.7001328},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoLWQ14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhaoR14,
  author       = {Yang Zhao and
                  Kristin Y. Rozier},
  editor       = {Yao{-}Wen Chang},
  title        = {Probabilistic model checking for comparative analysis of automated
                  air traffic control systems},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {690--695},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001427},
  doi          = {10.1109/ICCAD.2014.7001427},
  timestamp    = {Wed, 24 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhaoR14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccad/ZhuWM14,
  author       = {Charlie Shucheng Zhu and
                  Georg Weissenbacher and
                  Sharad Malik},
  editor       = {Yao{-}Wen Chang},
  title        = {Silicon fault diagnosis using sequence interpolation with backbones},
  booktitle    = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  pages        = {348--355},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/ICCAD.2014.7001373},
  doi          = {10.1109/ICCAD.2014.7001373},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/ZhuWM14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/iccad/2014,
  editor       = {Yao{-}Wen Chang},
  title        = {The {IEEE/ACM} International Conference on Computer-Aided Design,
                  {ICCAD} 2014, San Jose, CA, USA, November 3-6, 2014},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/6991350/proceeding},
  isbn         = {978-1-4799-6277-8},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/iccad/2014.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics