Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "toc:db/conf/iccad/iccad2007.bht:"
@inproceedings{DBLP:conf/iccad/Abdollahi07, author = {Afshin Abdollahi}, editor = {Georges G. E. Gielen}, title = {Probabilistic decision diagrams for exact probabilistic analysis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {266--272}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397276}, doi = {10.1109/ICCAD.2007.4397276}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Abdollahi07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AgarwalL07, author = {Kanak Agarwal and Frank Liu}, editor = {Georges G. E. Gielen}, title = {Efficient computation of current flow in signal wires for reliability analysis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {741--746}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397354}, doi = {10.1109/ICCAD.2007.4397354}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/AgarwalL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AlkabaniKP07, author = {Yousra Alkabani and Farinaz Koushanfar and Miodrag Potkonjak}, editor = {Georges G. E. Gielen}, title = {Remote activation of ICs for piracy prevention and digital right management}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {674--677}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397343}, doi = {10.1109/ICCAD.2007.4397343}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AlkabaniKP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AlpertCV07, author = {Charles J. Alpert and Chris C. N. Chu and Paul G. Villarrubia}, editor = {Georges G. E. Gielen}, title = {The coming of age of physical synthesis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {246--249}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397273}, doi = {10.1109/ICCAD.2007.4397273}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AlpertCV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BiswasWMKC07, author = {Susmit Biswas and Gang Wang and Tzvetan S. Metodi and Ryan Kastner and Frederic T. Chong}, editor = {Georges G. E. Gielen}, title = {Combining static and dynamic defect-tolerance techniques for nanoscale memory systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {773--778}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397359}, doi = {10.1109/ICCAD.2007.4397359}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BiswasWMKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BondD07, author = {Bradley N. Bond and Luca Daniel}, editor = {Georges G. E. Gielen}, title = {Stabilizing schemes for piecewise-linear reduced order models via projection and weighting functions}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {860--866}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397372}, doi = {10.1109/ICCAD.2007.4397372}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BondD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BriskVI07, author = {Philip Brisk and Ajay Kumar Verma and Paolo Ienne}, editor = {Georges G. E. Gielen}, title = {Optimal polynomial-time interprocedural register allocation for high-level synthesis and {ASIP} design}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {172--179}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397262}, doi = {10.1109/ICCAD.2007.4397262}, timestamp = {Tue, 03 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/BriskVI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/BufistovCKS07, author = {Dmitry Bufistov and Jordi Cortadella and Michael Kishinevsky and Sachin S. Sapatnekar}, editor = {Georges G. E. Gielen}, title = {A general model for performance optimization of sequential systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {362--369}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397291}, doi = {10.1109/ICCAD.2007.4397291}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/BufistovCKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/CaoM07, author = {Yu Cao and Colin C. McAndrew}, editor = {Georges G. E. Gielen}, title = {{MOSFET} modeling for 45nm and beyond}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {638--643}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397337}, doi = {10.1109/ICCAD.2007.4397337}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/CaoM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChangMB07, author = {Kai{-}Hui Chang and Igor L. Markov and Valeria Bertacco}, editor = {Georges G. E. Gielen}, title = {Automating post-silicon debugging and repair}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {91--98}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397249}, doi = {10.1109/ICCAD.2007.4397249}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChangMB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChaoCWCW07, author = {Mango Chia{-}Tso Chao and Kwang{-}Ting Cheng and Seongmoon Wang and Srimat T. Chakradhar and Wenlong Wei}, editor = {Georges G. E. Gielen}, title = {A hybrid scheme for compacting test responses with unknown values}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {513--519}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397316}, doi = {10.1109/ICCAD.2007.4397316}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChaoCWCW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChavetACCJUM07, author = {Cyrille Chavet and Caaliph Andriamisaina and Philippe Coussy and Emmanuel Casseau and Emmanuel Juin and Pascal Urard and Eric Martin}, editor = {Georges G. E. Gielen}, title = {A design flow dedicated to multi-mode architectures for {DSP} applications}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {604--611}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397331}, doi = {10.1109/ICCAD.2007.4397331}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChavetACCJUM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenBMSK07, author = {Gregory K. Chen and David T. Blaauw and Trevor N. Mudge and Dennis Sylvester and Nam Sung Kim}, editor = {Georges G. E. Gielen}, title = {Yield-driven near-threshold {SRAM} design}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {660--666}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397341}, doi = {10.1109/ICCAD.2007.4397341}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenBMSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenCWC07, author = {Huang{-}Yu Chen and Szu{-}Jui Chou and Sheng{-}Lung Wang and Yao{-}Wen Chang}, editor = {Georges G. E. Gielen}, title = {Novel wire density driven full-chip routing for {CMP} variation control}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {831--838}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397368}, doi = {10.1109/ICCAD.2007.4397368}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenCWC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenFC07, author = {Yen{-}Pin Chen and Jia{-}Wei Fang and Yao{-}Wen Chang}, editor = {Georges G. E. Gielen}, title = {{ECO} timing optimization using spare cells}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {530--535}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397319}, doi = {10.1109/ICCAD.2007.4397319}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenFC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenHH07, author = {Po{-}Yuan Chen and Kuan{-}Hsien Ho and TingTing Hwang}, editor = {Georges G. E. Gielen}, title = {Skew aware polarity assignment in clock tree}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {376--379}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397293}, doi = {10.1109/ICCAD.2007.4397293}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenHH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenJLC07, author = {Yu{-}Ting Chen and Da{-}Cheng Juan and Ming{-}Chao Lee and Shih{-}Chieh Chang}, editor = {Georges G. E. Gielen}, title = {An efficient wake-up schedule during power mode transition considering spurious glitches phenomenon}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {779--782}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397360}, doi = {10.1109/ICCAD.2007.4397360}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenJLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenK07, author = {Jian{-}Jia Chen and Tei{-}Wei Kuo}, editor = {Georges G. E. Gielen}, title = {Procrastination determination for periodic real-time tasks in leakage-aware dynamic voltage scaling systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {289--294}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397279}, doi = {10.1109/ICCAD.2007.4397279}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenZ07, author = {Ruiming Chen and Hai Zhou}, editor = {Georges G. E. Gielen}, title = {Timing budgeting under arbitrary process variations}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {344--349}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397288}, doi = {10.1109/ICCAD.2007.4397288}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChenZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChengCWHG07, author = {Lei Cheng and Deming Chen and Martin D. F. Wong and Mike Hutton and Jason Govig}, editor = {Georges G. E. Gielen}, title = {Timing constraint-driven technology mapping for FPGAs considering false paths and multi-clock domains}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {370--375}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397292}, doi = {10.1109/ICCAD.2007.4397292}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChengCWHG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChiouSKPRJX07, author = {Derek Chiou and Dam Sunwoo and Joonsoo Kim and Nikhil A. Patil and William H. Reinhart and Darrel Eric Johnson and Zheng Xu}, editor = {Georges G. E. Gielen}, title = {The {FAST} methodology for high-speed SoC/computer simulation}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {295--302}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397280}, doi = {10.1109/ICCAD.2007.4397280}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChiouSKPRJX07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoLYP07, author = {Minsik Cho and Katrina Lu and Kun Yuan and David Z. Pan}, editor = {Georges G. E. Gielen}, title = {BoxRouter 2.0: architecture and implementation of a hybrid and robust global router}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {503--508}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397314}, doi = {10.1109/ICCAD.2007.4397314}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoLYP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoiMR07, author = {Jung Hwan Choi and Jayathi Murthy and Kaushik Roy}, editor = {Georges G. E. Gielen}, title = {The effect of process variation on device temperature in FinFET circuits}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {747--751}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397355}, doi = {10.1109/ICCAD.2007.4397355}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChoiMR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChuZHJ07, author = {Chunta Chu and Xinyi Zhang and Lei He and Tong Jing}, editor = {Georges G. E. Gielen}, title = {Temperature aware microprocessor floorplanning considering application dependent power load}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {586--589}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397328}, doi = {10.1109/ICCAD.2007.4397328}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChuZHJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DongCTW07, author = {Chen Dong and Deming Chen and Sansiri Tanachutiwat and Wei Wang}, editor = {Georges G. E. Gielen}, title = {Performance and power evaluation of a 3D CMOS/nanomaterial reconfigurable architecture}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {758--764}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397357}, doi = {10.1109/ICCAD.2007.4397357}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DongCTW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/DongFL07, author = {Wei Dong and Zhuo Feng and Peng Li}, editor = {Georges G. E. Gielen}, title = {Efficient {VCO} phase macromodel generation considering statistical parametric variations}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {874--878}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397374}, doi = {10.1109/ICCAD.2007.4397374}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/DongFL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FangTYSC07, author = {Hao Fang and Chenguang Tong and Bo Yao and Xiaodi Song and Xu Cheng}, editor = {Georges G. E. Gielen}, title = {CacheCompress: a novel approach for test data compression with cache for {IP} embedded cores}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {509--512}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397315}, doi = {10.1109/ICCAD.2007.4397315}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FangTYSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FaruqueEH07, author = {Mohammad Abdullah Al Faruque and Thomas Ebi and J{\"{o}}rg Henkel}, editor = {Georges G. E. Gielen}, title = {Run-time adaptive on-chip communication scheme}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {26--31}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397239}, doi = {10.1109/ICCAD.2007.4397239}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FaruqueEH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FengL07, author = {Zhuo Feng and Peng Li}, editor = {Georges G. E. Gielen}, title = {A methodology for timing model characterization for statistical static timing analysis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {725--729}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397351}, doi = {10.1109/ICCAD.2007.4397351}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FengL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FerriRB07, author = {Cesare Ferri and Sherief Reda and R. Iris Bahar}, editor = {Georges G. E. Gielen}, title = {Strategies for improving the parametric yield and profits of 3D ICs}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {220--226}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397269}, doi = {10.1109/ICCAD.2007.4397269}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FerriRB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FerzliNK07, author = {Imad A. Ferzli and Farid N. Najm and Lars Kruse}, editor = {Georges G. E. Gielen}, title = {A geometric approach for early power grid verification using current constraints}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {40--47}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397241}, doi = {10.1109/ICCAD.2007.4397241}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FerzliNK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/FuPRSZ07, author = {Yuhong Fu and Rajendran Panda and Ben Reschke and Savithri Sundareswaran and Min Zhao}, editor = {Georges G. E. Gielen}, title = {A novel technique for incremental analysis of on-chip power distribution networks}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {817--823}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397366}, doi = {10.1109/ICCAD.2007.4397366}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/FuPRSZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GanJ07, author = {Houle Gan and Dan Jiao}, editor = {Georges G. E. Gielen}, title = {A fast and high-capacity electromagnetic solution for highspeed {IC} design}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397235}, doi = {10.1109/ICCAD.2007.4397235}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GanJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GandikotaCBSBG07, author = {Ravikishore Gandikota and Kaviraj Chopra and David T. Blaauw and Dennis Sylvester and Murat R. Becer and Joao Geada}, editor = {Georges G. E. Gielen}, title = {Victim alignment in crosstalk aware timing analysis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {698--704}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397347}, doi = {10.1109/ICCAD.2007.4397347}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GandikotaCBSBG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Ghiasi07, author = {Soheil Ghiasi}, editor = {Georges G. E. Gielen}, title = {Incremental component implementation selection: enabling {ECO} in compositional system synthesis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {131--134}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397255}, doi = {10.1109/ICCAD.2007.4397255}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Ghiasi07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/GopalakrishnanKME07, author = {Sivaram Gopalakrishnan and Priyank Kalla and M. Brandon Meredith and Florian Enescu}, editor = {Georges G. E. Gielen}, title = {Finding linear building-blocks for {RTL} synthesis of polynomial datapaths with fixed-size bit-vectors}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {143--148}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397257}, doi = {10.1109/ICCAD.2007.4397257}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/GopalakrishnanKME07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuDTH07, author = {Yu Hu and Satyaki Das and Steven Trimberger and Lei He}, editor = {Georges G. E. Gielen}, title = {Design, synthesis and evaluation of heterogeneous {FPGA} with mixed LUTs and macro-gates}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {188--193}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397264}, doi = {10.1109/ICCAD.2007.4397264}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuDTH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuH07, author = {Shiyan Hu and Jiang Hu}, editor = {Georges G. E. Gielen}, title = {Unified adaptivity optimization of clock and logic signals}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {125--130}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397254}, doi = {10.1109/ICCAD.2007.4397254}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuSMH07, author = {Yu Hu and Victor Shih and Rupak Majumdar and Lei He}, editor = {Georges G. E. Gielen}, title = {Exploiting symmetry in SAT-based Boolean matching for heterogeneous {FPGA} technology mapping}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {350--353}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397289}, doi = {10.1109/ICCAD.2007.4397289}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuSMH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/HuebbersDI07, author = {Frank Huebbers and Ali Dasdan and Yehea I. Ismail}, editor = {Georges G. E. Gielen}, title = {Multi-layer interconnect performance corners for variation-aware timing analysis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {713--718}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397349}, doi = {10.1109/ICCAD.2007.4397349}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/HuebbersDI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IwagakiOKF07, author = {Tsuyoshi Iwagaki and Satoshi Ohtake and Mineo Kaneko and Hideo Fujiwara}, editor = {Georges G. E. Gielen}, title = {Efficient path delay test generation based on stuck-at test generation using checker circuitry}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {418--423}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397301}, doi = {10.1109/ICCAD.2007.4397301}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IwagakiOKF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IyengarXVZLHV07, author = {Vikram Iyengar and Jinjun Xiong and Subbayyan Venkatesan and Vladimir Zolotov and David E. Lackey and Peter A. Habitz and Chandu Visweswariah}, editor = {Georges G. E. Gielen}, title = {Variation-aware performance verification using at-speed structural test and statistical timing}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {405--412}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397299}, doi = {10.1109/ICCAD.2007.4397299}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IyengarXVZLHV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JamaaMABILM07, author = {M. Haykel Ben Jamaa and Kirsten E. Moselund and David Atienza and Didier Bouvet and Adrian M. Ionescu and Yusuf Leblebici and Giovanni De Micheli}, editor = {Georges G. E. Gielen}, title = {Fault-tolerant multi-level logic decoder for nanoscale crossbar memory arrays}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {765--772}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397358}, doi = {10.1109/ICCAD.2007.4397358}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JamaaMABILM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JiangH07, author = {Jie{-}Hong Roland Jiang and Wei{-}Lun Hung}, editor = {Georges G. E. Gielen}, title = {Inductive equivalence checking under retiming and resynthesis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {326--333}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397285}, doi = {10.1109/ICCAD.2007.4397285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JiangH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JoshiBS07, author = {Vivek Joshi and David T. Blaauw and Dennis Sylvester}, editor = {Georges G. E. Gielen}, title = {Soft-edge flip-flops for improved timing yield: design and optimization}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {667--673}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397342}, doi = {10.1109/ICCAD.2007.4397342}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JoshiBS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/JungK07, author = {Jongyoon Jung and Taewhan Kim}, editor = {Georges G. E. Gielen}, title = {Timing variation-aware high-level synthesis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {424--428}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397302}, doi = {10.1109/ICCAD.2007.4397302}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/JungK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KahngST07, author = {Andrew B. Kahng and Puneet Sharma and Rasit Onur Topaloglu}, editor = {Georges G. E. Gielen}, title = {Exploiting {STI} stress for performance}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {83--90}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397248}, doi = {10.1109/ICCAD.2007.4397248}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KahngST07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KajiharaMYWFHA07, author = {Seiji Kajihara and Shohei Morishima and Masahiro Yamamoto and Xiaoqing Wen and Masayasu Fukunaga and Kazumi Hatayama and Takashi Aikyo}, editor = {Georges G. E. Gielen}, title = {Estimation of delay test quality and its application to test generation}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {413--417}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397300}, doi = {10.1109/ICCAD.2007.4397300}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KajiharaMYWFHA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Kandemir07, author = {Mahmut T. Kandemir}, editor = {Georges G. E. Gielen}, title = {Data locality enhancement for CMPs}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {155--159}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397259}, doi = {10.1109/ICCAD.2007.4397259}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Kandemir07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KangPRA07, author = {Kunhyuk Kang and Sang Phill Park and Kaushik Roy and Muhammad Ashraful Alam}, editor = {Georges G. E. Gielen}, title = {Estimation of statistical variation in temporal {NBTI} degradation and its impact on lifetime circuit performance}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {730--734}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397352}, doi = {10.1109/ICCAD.2007.4397352}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KangPRA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KarakonstantisBRC07, author = {Georgios Karakonstantis and Nilanjan Banerjee and Kaushik Roy and Chaitali Chakrabarti}, editor = {Georges G. E. Gielen}, title = {Design methodology to trade off power, output quality and error resiliency: application to color interpolation filtering}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {199--204}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397266}, doi = {10.1109/ICCAD.2007.4397266}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KarakonstantisBRC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KaruriCHLAM07, author = {Kingshuk Karuri and Anupam Chattopadhyay and Manuel Hohenauer and Rainer Leupers and Gerd Ascheid and Heinrich Meyr}, editor = {Georges G. E. Gielen}, title = {Increasing data-bandwidth to instruction-set extensions through register clustering}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {166--171}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397261}, doi = {10.1109/ICCAD.2007.4397261}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KaruriCHLAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KashyapAMC07, author = {Chandramouli V. Kashyap and Chirayu S. Amin and Noel Menezes and Eli Chiprout}, editor = {Georges G. E. Gielen}, title = {A nonlinear cell macromodel for digital applications}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {678--685}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397344}, doi = {10.1109/ICCAD.2007.4397344}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KashyapAMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KhandelwalS07, author = {Vishal Khandelwal and Ankur Srivastava}, editor = {Georges G. E. Gielen}, title = {Monte-Carlo driven stochastic optimization framework for handling fabrication variability}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {105--110}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397251}, doi = {10.1109/ICCAD.2007.4397251}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KhandelwalS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimJH07, author = {Jaeha Kim and Kevin D. Jones and Mark A. Horowitz}, editor = {Georges G. E. Gielen}, title = {Variable domain transformation for linear {PAC} analysis of mixed-signal systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {887--894}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397376}, doi = {10.1109/ICCAD.2007.4397376}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimJH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimJWY07, author = {Jintae Kim and Ritesh Jhaveri and Jason Woo and Chih{-}Kong Ken Yang}, editor = {Georges G. E. Gielen}, title = {Device-circuit co-optimization for mixed-mode circuit design via geometric programming}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {470--475}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397309}, doi = {10.1109/ICCAD.2007.4397309}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimJWY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimL07, author = {Taemin Kim and Xun Liu}, editor = {Georges G. E. Gielen}, title = {Compatibility path based binding algorithm for interconnect reduction in high level synthesis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {435--441}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397304}, doi = {10.1109/ICCAD.2007.4397304}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimS07, author = {Byungsub Kim and Vladimir Stojanovic}, editor = {Georges G. E. Gielen}, title = {Equalized interconnects for on-chip networks: modeling and optimization framework}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {552--559}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397323}, doi = {10.1109/ICCAD.2007.4397323}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimS07a, author = {Jaehyun Kim and Youngsoo Shin}, editor = {Georges G. E. Gielen}, title = {Minimizing leakage power in sequential circuits by using mixed \emph{V\({}_{\mbox{t}}\)} flip-flops}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {797--802}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397363}, doi = {10.1109/ICCAD.2007.4397363}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimS07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KitchenK07, author = {Nathan Kitchen and Andreas Kuehlmann}, editor = {Georges G. E. Gielen}, title = {Stimulus generation for constrained random simulation}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {258--265}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397275}, doi = {10.1109/ICCAD.2007.4397275}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KitchenK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KongYWO07, author = {Hui Kong and Tan Yan and Martin D. F. Wong and Muhammet Mustafa Ozdal}, editor = {Georges G. E. Gielen}, title = {Optimal bus sequencing for escape routing in dense PCBs}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {390--395}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397296}, doi = {10.1109/ICCAD.2007.4397296}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/KongYWO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KrishnaswamyPMH07, author = {Smita Krishnaswamy and Stephen Plaza and Igor L. Markov and John P. Hayes}, editor = {Georges G. E. Gielen}, title = {Enhancing design robustness with reliability-aware resynthesis and logic simulation}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {149--154}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397258}, doi = {10.1109/ICCAD.2007.4397258}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KrishnaswamyPMH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KroeningS07, author = {Daniel Kroening and Sanjit A. Seshia}, editor = {Georges G. E. Gielen}, title = {Formal verification at higher levels of abstraction}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {572--578}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397326}, doi = {10.1109/ICCAD.2007.4397326}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KroeningS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KunduLG07, author = {Sudipta Kundu and Sorin Lerner and Rajesh Gupta}, editor = {Georges G. E. Gielen}, title = {Automated refinement checking of concurrent systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {318--325}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397284}, doi = {10.1109/ICCAD.2007.4397284}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KunduLG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KuoCCM07, author = {Yu{-}Min Kuo and Ya{-}Ting Chang and Shih{-}Chieh Chang and Malgorzata Marek{-}Sadowska}, editor = {Georges G. E. Gielen}, title = {Engineering change using spare cells with constant insertion}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {544--547}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397321}, doi = {10.1109/ICCAD.2007.4397321}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KuoCCM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeJHM07, author = {Chih{-}Chun Lee and Jie{-}Hong Roland Jiang and Chung{-}Yang Huang and Alan Mishchenko}, editor = {Georges G. E. Gielen}, title = {Scalable exploration of functional dependency by interpolation and incremental {SAT} solving}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {227--233}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397270}, doi = {10.1109/ICCAD.2007.4397270}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeJHM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LeeLC07, author = {Wan{-}Ping Lee and Hung{-}Yi Liu and Yao{-}Wen Chang}, editor = {Georges G. E. Gielen}, title = {An {ILP} algorithm for post-floorplanning voltage-island generation considering power-network planning}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {650--655}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397339}, doi = {10.1109/ICCAD.2007.4397339}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LeeLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiBSZ07, author = {Yung{-}Ta Li and Zhaojun Bai and Yangfeng Su and Xuan Zeng}, editor = {Georges G. E. Gielen}, title = {Parameterized model order reduction via a two-directional Arnoldi process}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {868--873}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397373}, doi = {10.1109/ICCAD.2007.4397373}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiBSZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiC07, author = {Cheng{-}Hong Li and Luca P. Carloni}, editor = {Georges G. E. Gielen}, title = {Using functional independence conditions to optimize the performance of latency-insensitive systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {32--39}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397240}, doi = {10.1109/ICCAD.2007.4397240}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiJKB07, author = {Hong Li and Jitesh Jain and Cheng{-}Kok Koh and Venkataramanan Balakrishnan}, editor = {Georges G. E. Gielen}, title = {A fast band-matching technique for interconnect inductance modeling}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {568--571}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397325}, doi = {10.1109/ICCAD.2007.4397325}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiJKB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiTCP07, author = {Xin Li and Brian Taylor and YuTsun Chien and Lawrence T. Pileggi}, editor = {Georges G. E. Gielen}, title = {Adaptive post-silicon tuning for analog circuits: concept, analysis and optimization}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {450--457}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397306}, doi = {10.1109/ICCAD.2007.4397306}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiTCP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LiangTB07, author = {Xiaoyao Liang and Kerem Turgay and David M. Brooks}, editor = {Georges G. E. Gielen}, title = {Architectural power models for {SRAM} and {CAM} structures based on hybrid analytical/empirical techniques}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {824--830}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397367}, doi = {10.1109/ICCAD.2007.4397367}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LiangTB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinC07, author = {I{-}Jye Lin and Yao{-}Wen Chang}, editor = {Georges G. E. Gielen}, title = {An efficient algorithm for statistical circuit optimization using Lagrangian relaxation}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397253}, doi = {10.1109/ICCAD.2007.4397253}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinC07a, author = {Chia{-}Yi Lin and Hung{-}Ming Chen}, editor = {Georges G. E. Gielen}, title = {A selective pattern-compression scheme for power and test-data reduction}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {520--525}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397317}, doi = {10.1109/ICCAD.2007.4397317}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinC07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinH07, author = {Yan Lin and Lei He}, editor = {Georges G. E. Gielen}, title = {Device and architecture concurrent optimization for {FPGA} transient soft error rate}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {194--198}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397265}, doi = {10.1109/ICCAD.2007.4397265}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinHHLC07, author = {Chung{-}Wei Lin and Shih{-}Lun Huang and Kai{-}Chi Hsu and Meng{-}Xiang Li and Yao{-}Wen Chang}, editor = {Georges G. E. Gielen}, title = {Efficient multi-layer obstacle-avoiding rectilinear Steiner tree construction}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {380--385}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397294}, doi = {10.1109/ICCAD.2007.4397294}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LinHHLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Liu07, author = {Frank Liu}, editor = {Georges G. E. Gielen}, title = {An efficient method for statistical circuit simulation}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {719--724}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397350}, doi = {10.1109/ICCAD.2007.4397350}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/Liu07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LongKMI07, author = {Jieyi Long and Ja Chun Ku and Seda Ogrenci Memik and Yehea I. Ismail}, editor = {Georges G. E. Gielen}, title = {A self-adjusting clock tree architecture to cope with temperature variations}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {75--82}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397247}, doi = {10.1109/ICCAD.2007.4397247}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LongKMI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuJ07, author = {Zhonghai Lu and Axel Jantsch}, editor = {Georges G. E. Gielen}, title = {Slot allocation using logical networks for {TDM} virtual-circuit configuration for network-on-chip}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {18--25}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397238}, doi = {10.1109/ICCAD.2007.4397238}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LuicanZB07, author = {Ilie I. Luican and Hongwei Zhu and Florin Balasa}, editor = {Georges G. E. Gielen}, title = {Mapping model with inter-array memory sharing for multidimensional signal processing}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {160--165}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397260}, doi = {10.1109/ICCAD.2007.4397260}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/LuicanZB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MaY07, author = {Qiang Ma and Evangeline F. Y. Young}, editor = {Georges G. E. Gielen}, title = {Voltage island-driven floorplanning}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {644--649}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397338}, doi = {10.1109/ICCAD.2007.4397338}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MaY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MaYP07, author = {Qiang Ma and Evangeline F. Y. Young and Kong{-}Pang Pun}, editor = {Georges G. E. Gielen}, title = {Analog placement with common centroid constraints}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {579--585}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397327}, doi = {10.1109/ICCAD.2007.4397327}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MaYP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MangassarianVSBS07, author = {Hratch Mangassarian and Andreas G. Veneris and Sean Safarpour and Marco Benedetti and Duncan Exon Smith}, editor = {Georges G. E. Gielen}, title = {A performance-driven QBF-based iterative logic array representation with applications to verification, debug and test}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {240--245}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397272}, doi = {10.1109/ICCAD.2007.4397272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MangassarianVSBS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/McGeeN07, author = {Peggy B. McGee and Steven M. Nowick}, editor = {Georges G. E. Gielen}, title = {An efficient algorithm for time separation of events in concurrent systems}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {180--187}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397263}, doi = {10.1109/ICCAD.2007.4397263}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/McGeeN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MiTLCCH07, author = {Ning Mi and Sheldon X.{-}D. Tan and Pu Liu and Jian Cui and Yici Cai and Xianlong Hong}, editor = {Georges G. E. Gielen}, title = {Stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {48--53}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397242}, doi = {10.1109/ICCAD.2007.4397242}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MiTLCCH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MishchenkoCCB07, author = {Alan Mishchenko and Sungmin Cho and Satrajit Chatterjee and Robert K. Brayton}, editor = {Georges G. E. Gielen}, title = {Combinational and sequential mapping with priority cuts}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {354--361}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397290}, doi = {10.1109/ICCAD.2007.4397290}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MishchenkoCCB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MitevGSCW07, author = {Alexander V. Mitev and Dinesh Ganesan and Dheepan Shanmugasundaram and Yu Cao and Janet Meiling Wang}, editor = {Georges G. E. Gielen}, title = {A robust finite-point based gate model considering process variations}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {692--697}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397346}, doi = {10.1109/ICCAD.2007.4397346}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MitevGSCW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MitevMMW07, author = {Alexander V. Mitev and Michael M. Marefat and Dongsheng Ma and Janet Meiling Wang}, editor = {Georges G. E. Gielen}, title = {Principle Hessian direction based parameter reduction with process variation}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {632--637}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397336}, doi = {10.1109/ICCAD.2007.4397336}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MitevMMW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MoB07, author = {Fan Mo and Robert K. Brayton}, editor = {Georges G. E. Gielen}, title = {A simultaneous bus orientation and bused pin flipping algorithm}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {386--389}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397295}, doi = {10.1109/ICCAD.2007.4397295}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MoB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MogalQSB07, author = {Hushrav Mogal and Haifeng Qian and Sachin S. Sapatnekar and Kia Bazargan}, editor = {Georges G. E. Gielen}, title = {Clustering based pruning for statistical criticality computation under process variations}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {340--343}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397287}, doi = {10.1109/ICCAD.2007.4397287}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MogalQSB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Mutyam07, author = {Madhu Mutyam}, editor = {Georges G. E. Gielen}, title = {Selective shielding: a crosstalk-free bus encoding technique}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {618--621}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397333}, doi = {10.1109/ICCAD.2007.4397333}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Mutyam07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NakhlaNA07, author = {Natalie Nakhla and Michel S. Nakhla and Ramachandra Achar}, editor = {Georges G. E. Gielen}, title = {Sparse and passive reduction of massively coupled large multiport interconnects}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {622--626}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397334}, doi = {10.1109/ICCAD.2007.4397334}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NakhlaNA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NiM07, author = {Min Ni and Seda Ogrenci Memik}, editor = {Georges G. E. Gielen}, title = {Early planning for clock skew scheduling during register binding}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {429--434}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397303}, doi = {10.1109/ICCAD.2007.4397303}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NiM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/NopperSB07, author = {Tobias Nopper and Christoph Scholl and Bernd Becker}, editor = {Georges G. E. Gielen}, title = {Computation of minimal counterexamples by using black box techniques and symbolic methods}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {273--280}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397277}, doi = {10.1109/ICCAD.2007.4397277}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/NopperSB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/OzdalW07, author = {Muhammet Mustafa Ozdal and Martin D. F. Wong}, editor = {Georges G. E. Gielen}, title = {Archer: a history-driven global routing algorithm}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {488--495}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397312}, doi = {10.1109/ICCAD.2007.4397312}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/OzdalW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PakbazniaFP07, author = {Ehsan Pakbaznia and Farzan Fallah and Massoud Pedram}, editor = {Georges G. E. Gielen}, title = {Sizing and placement of charge recycling transistors in {MTCMOS} circuits}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {791--796}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397362}, doi = {10.1109/ICCAD.2007.4397362}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PakbazniaFP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PathakL07, author = {Mohit Pathak and Sung Kyu Lim}, editor = {Georges G. E. Gielen}, title = {Thermal-aware Steiner routing for 3D stacked ICs}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {205--211}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397267}, doi = {10.1109/ICCAD.2007.4397267}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PathakL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PaulKMB07, author = {Somnath Paul and Sivasubramaniam Krishnamurthy and Hamid Mahmoodi and Swarup Bhunia}, editor = {Georges G. E. Gielen}, title = {Low-overhead design technique for calibration of maximum frequency at multiple operating points}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {401--404}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397298}, doi = {10.1109/ICCAD.2007.4397298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PaulKMB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/PopovichFSH07, author = {Mikhail Popovich and Eby G. Friedman and Radu M. Secareanu and Olin L. Hartin}, editor = {Georges G. E. Gielen}, title = {Efficient placement of distributed on-chip decoupling capacitors in nanoscale ICs}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {811--816}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397365}, doi = {10.1109/ICCAD.2007.4397365}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/PopovichFSH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RaviDP07, author = {Srivaths Ravi and V. R. Devanathan and Rubin A. Parekhji}, editor = {Georges G. E. Gielen}, title = {Methodology for low power test pattern generation using activity threshold control logic}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {526--529}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397318}, doi = {10.1109/ICCAD.2007.4397318}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RaviDP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/RoyM07, author = {Jarrod A. Roy and Igor L. Markov}, editor = {Georges G. E. Gielen}, title = {High-performance routing at the nanometer scale}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {496--502}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397313}, doi = {10.1109/ICCAD.2007.4397313}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/RoyM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SaeediSZ07, author = {Mehdi Saeedi and Mehdi Sedighi and Morteza Saheb Zamani}, editor = {Georges G. E. Gielen}, title = {A novel synthesis algorithm for reversible circuits}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {65--68}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397245}, doi = {10.1109/ICCAD.2007.4397245}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SaeediSZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SantambrogioMRAS07, author = {Marco D. Santambrogio and Seda Ogrenci Memik and Vincenzo Rana and Umut A. Acar and Donatella Sciuto}, editor = {Georges G. E. Gielen}, title = {A novel SoC design methodology combining adaptive software and reconfigurable hardware}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {303--308}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397281}, doi = {10.1109/ICCAD.2007.4397281}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SantambrogioMRAS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SathanurCJ07, author = {Arun V. Sathanur and Ritochit Chakraborty and Vikram Jandhyala}, editor = {Georges G. E. Gielen}, title = {Statistical analysis of {RF} circuits using combined circuit simulator-full wave field solver approach}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {11--17}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397237}, doi = {10.1109/ICCAD.2007.4397237}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SathanurCJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SekarNSDM07, author = {Deepak C. Sekar and Azad Naeemi and Reza Sarvari and Jeffrey A. Davis and James D. Meindl}, editor = {Georges G. E. Gielen}, title = {IntSim: {A} {CAD} tool for optimization of multilevel interconnect networks}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {560--567}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397324}, doi = {10.1109/ICCAD.2007.4397324}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SekarNSDM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShebaitaPI07, author = {Ahmed Shebaita and Dusan Petranovic and Yehea I. Ismail}, editor = {Georges G. E. Gielen}, title = {Including inductance in static timing analysis}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {686--691}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397345}, doi = {10.1109/ICCAD.2007.4397345}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShebaitaPI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShiXLH07, author = {Yiyu Shi and Jinjun Xiong and Chunchen Liu and Lei He}, editor = {Georges G. E. Gielen}, title = {Efficient decoupling capacitance budgeting considering operation and process variations}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {803--810}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397364}, doi = {10.1109/ICCAD.2007.4397364}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShiXLH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SinhaC07, author = {Subarna Sinha and Charles C. Chiang}, editor = {Georges G. E. Gielen}, title = {A methodology for fast and accurate yield factor estimation during global routing}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {481--487}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397311}, doi = {10.1109/ICCAD.2007.4397311}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SinhaC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SouMD07, author = {Kin Cheong Sou and Alexandre Megretski and Luca Daniel}, editor = {Georges G. E. Gielen}, title = {Bounding {L2} gain system error generated by approximations of the nonlinear vector field}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {879--886}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397375}, doi = {10.1109/ICCAD.2007.4397375}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SouMD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SunZMS07, author = {Kai Sun and Quming Zhou and Kartik Mohanram and Danny C. Sorensen}, editor = {Georges G. E. Gielen}, title = {Parallel domain decomposition for simulation of large-scale power grids}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {54--59}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397243}, doi = {10.1109/ICCAD.2007.4397243}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SunZMS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TangYG07, author = {Xiaoping Tang and Xin Yuan and Michael S. Gray}, editor = {Georges G. E. Gielen}, title = {Practical method for obtaining a feasible integer solution in hierarchical layout optimization}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {99--104}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397250}, doi = {10.1109/ICCAD.2007.4397250}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TangYG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TodriMC07, author = {Aida Todri and Malgorzata Marek{-}Sadowska and Shih{-}Chieh Chang}, editor = {Georges G. E. Gielen}, title = {Analysis and optimization of power-gated ICs with multiple power gating configurations}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {783--790}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397361}, doi = {10.1109/ICCAD.2007.4397361}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TodriMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Velev07, author = {Miroslav N. Velev}, editor = {Georges G. E. Gielen}, title = {Exploiting hierarchy and structure to efficiently solve graph coloring as {SAT}}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {135--142}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397256}, doi = {10.1109/ICCAD.2007.4397256}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Velev07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VenkataramaniG07, author = {Girish Venkataramani and Seth Copen Goldstein}, editor = {Georges G. E. Gielen}, title = {Operation chaining asynchronous pipelined circuits}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {442--449}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397305}, doi = {10.1109/ICCAD.2007.4397305}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VenkataramaniG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ViamontesMH07, author = {George F. Viamontes and Igor L. Markov and John P. Hayes}, editor = {Georges G. E. Gielen}, title = {Checking equivalence of quantum circuits and states}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {69--74}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397246}, doi = {10.1109/ICCAD.2007.4397246}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ViamontesMH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VytyazLHMM07, author = {Igor Vytyaz and David C. Lee and Pavan Kumar Hanumolu and Un{-}Ku Moon and Kartikeya Mayaram}, editor = {Georges G. E. Gielen}, title = {Sensitivity analysis for oscillators}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {458--463}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397307}, doi = {10.1109/ICCAD.2007.4397307}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VytyazLHMM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangC07, author = {Kuo{-}Hua Wang and Chung{-}Ming Chan}, editor = {Georges G. E. Gielen}, title = {Incremental learning approach and {SAT} model for Boolean matching with don't cares}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {234--239}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397271}, doi = {10.1109/ICCAD.2007.4397271}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangDZ07, author = {Jia Wang and Debasish Das and Hai Zhou}, editor = {Georges G. E. Gielen}, title = {Gate sizing by Lagrangian relaxation revisited}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {111--118}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397252}, doi = {10.1109/ICCAD.2007.4397252}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangDZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangK07, author = {Ruilin Wang and Cheng{-}Kok Koh}, editor = {Georges G. E. Gielen}, title = {A frequency-domain technique for statistical timing analysis of clock meshes}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {334--339}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397286}, doi = {10.1109/ICCAD.2007.4397286}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangKG07, author = {Chao Wang and Hyondeuk Kim and Aarti Gupta}, editor = {Georges G. E. Gielen}, title = {Hybrid {CEGAR:} combining variable hiding and predicate abstraction}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {310--317}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397283}, doi = {10.1109/ICCAD.2007.4397283}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WangKG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangNWXV07, author = {Feng Wang and Chrysostomos Nicopoulos and Xiaoxia Wu and Yuan Xie and Narayanan Vijaykrishnan}, editor = {Georges G. E. Gielen}, title = {Variation-aware task allocation and scheduling for MPSoC}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {598--603}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397330}, doi = {10.1109/ICCAD.2007.4397330}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangNWXV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangWYC07, author = {Wenping Wang and Zile Wei and Shengqi Yang and Yu Cao}, editor = {Georges G. E. Gielen}, title = {An efficient method to identify critical gates under circuit aging}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {735--740}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397353}, doi = {10.1109/ICCAD.2007.4397353}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangWYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WangZ07, author = {Yi Wang and Dan Zhao}, editor = {Georges G. E. Gielen}, title = {The design and synthesis of a synchronous and distributed {MAC} protocol for wireless network-on-chip}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {612--617}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397332}, doi = {10.1109/ICCAD.2007.4397332}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WangZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WeerasekeraZPT07, author = {Roshan Weerasekera and Li{-}Rong Zheng and Dinesh Pamunuwa and Hannu Tenhunen}, editor = {Georges G. E. Gielen}, title = {Extending systems-on-chip to the third dimension: performance, cost and technological tradeoffs}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {212--219}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397268}, doi = {10.1109/ICCAD.2007.4397268}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/WeerasekeraZPT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WenWB07, author = {Charles H.{-}P. Wen and Li{-}C. Wang and Jayanta Bhadra}, editor = {Georges G. E. Gielen}, title = {An incremental learning framework for estimating signal controllability in unit-level verification}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {250--257}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397274}, doi = {10.1109/ICCAD.2007.4397274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WenWB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WerberRS07, author = {J{\"{u}}rgen Werber and Dieter Rautenbach and Christian Szegedy}, editor = {Georges G. E. Gielen}, title = {Timing optimization by restructuring long combinatorial paths}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {536--543}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397320}, doi = {10.1109/ICCAD.2007.4397320}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WerberRS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/WilleG07, author = {Robert Wille and Daniel Gro{\ss}e}, editor = {Georges G. E. Gielen}, title = {Fast exact Toffoli network synthesis of reversible logic}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {60--64}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397244}, doi = {10.1109/ICCAD.2007.4397244}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/WilleG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/XuSC07, author = {Jingyu Xu and Subarna Sinha and Charles C. Chiang}, editor = {Georges G. E. Gielen}, title = {Accurate detection for process-hotspots with vias and incomplete specification}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {839--846}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397369}, doi = {10.1109/ICCAD.2007.4397369}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/XuSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/Yablonovitch07, author = {Eli Yablonovitch}, editor = {Georges G. E. Gielen}, title = {Can nano-photonic silicon circuits become an INTRA-chip interconnect technology?}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {309}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397282}, doi = {10.1109/ICCAD.2007.4397282}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/Yablonovitch07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YanW07, author = {Tan Yan and Martin D. F. Wong}, editor = {Georges G. E. Gielen}, title = {Untangling twisted nets for bus routing}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {396--400}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397297}, doi = {10.1109/ICCAD.2007.4397297}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YanW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YeLZPH07, author = {Xiaoji Ye and Peng Li and Min Zhao and Rajendran Panda and Jiang Hu}, editor = {Georges G. E. Gielen}, title = {Analysis of large clock meshes via harmonic-weighted model order reduction and port sliding}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {627--631}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397335}, doi = {10.1109/ICCAD.2007.4397335}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YeLZPH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YiLSS07, author = {Yang Yi and Peng Li and Vivek Sarin and Weiping Shi}, editor = {Georges G. E. Gielen}, title = {Impedance extraction for 3-D structures with multiple dielectrics using preconditioned boundary element method}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {7--10}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397236}, doi = {10.1109/ICCAD.2007.4397236}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YiLSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuL07, author = {Guo Yu and Peng Li}, editor = {Georges G. E. Gielen}, title = {Yield-aware analog integrated circuit optimization using geostatistics motivated performance modeling}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {464--469}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397308}, doi = {10.1109/ICCAD.2007.4397308}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuP07, author = {Peng Yu and David Z. Pan}, editor = {Georges G. E. Gielen}, title = {{TIP-OPC:} a new topological invariant paradigm for pixel based optical proximity correction}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {847--853}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397370}, doi = {10.1109/ICCAD.2007.4397370}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuP07a, author = {Peng Yu and David Z. Pan}, editor = {Georges G. E. Gielen}, title = {A novel intensity based optical proximity correction algorithm with speedup in lithography simulation}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {854--859}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397371}, doi = {10.1109/ICCAD.2007.4397371}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuP07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuanQ07, author = {Lin Yuan and Gang Qu}, editor = {Georges G. E. Gielen}, title = {Simultaneous input vector selection and dual threshold voltage assignment for static leakage minimization}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {548--551}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397322}, doi = {10.1109/ICCAD.2007.4397322}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuanQ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/YuhYC07, author = {Ping{-}Hung Yuh and Chia{-}Lin Yang and Yao{-}Wen Chang}, editor = {Georges G. E. Gielen}, title = {BioRoute: a network-flow based routing algorithm for digital microfluidic biochips}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {752--757}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397356}, doi = {10.1109/ICCAD.2007.4397356}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/YuhYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhanZS07, author = {Yong Zhan and Tianpei Zhang and Sachin S. Sapatnekar}, editor = {Georges G. E. Gielen}, title = {Module assignment for pin-limited designs under the stacked-Vdd paradigm}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {656--659}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397340}, doi = {10.1109/ICCAD.2007.4397340}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhanZS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhangC07, author = {Sushu Zhang and Karam S. Chatha}, editor = {Georges G. E. Gielen}, title = {Approximation algorithm for the temperature-aware scheduling problem}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {281--288}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397278}, doi = {10.1109/ICCAD.2007.4397278}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhangC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhouMLDSZHZ07, author = {Pingqiang Zhou and Yuchun Ma and Zhuoyuan Li and Robert P. Dick and Li Shang and Hai Zhou and Xianlong Hong and Qiang Zhou}, editor = {Georges G. E. Gielen}, title = {3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {590--597}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397329}, doi = {10.1109/ICCAD.2007.4397329}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ZhouMLDSZHZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZhuoZSHC07, author = {Cheng Zhuo and Huafeng Zhang and Rupak Samanta and Jiang Hu and Kangsheng Chen}, editor = {Georges G. E. Gielen}, title = {Modeling, optimization and control of rotary traveling-wave oscillator}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {476--480}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397310}, doi = {10.1109/ICCAD.2007.4397310}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZhuoZSHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ZolotovXAHV07, author = {Vladimir Zolotov and Jinjun Xiong and Soroush Abbaspour and David J. Hathaway and Chandu Visweswariah}, editor = {Georges G. E. Gielen}, title = {Compact modeling of variational waveforms}, booktitle = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, pages = {705--712}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICCAD.2007.4397348}, doi = {10.1109/ICCAD.2007.4397348}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ZolotovXAHV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iccad/2007, editor = {Georges G. E. Gielen}, title = {2007 International Conference on Computer-Aided Design, {ICCAD} 2007, San Jose, CA, USA, November 5-8, 2007}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://ieeexplore.ieee.org/xpl/conhome/4397222/proceeding}, isbn = {1-4244-1382-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/2007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.