![](https://dblp.uni-trier.de/img/logo.ua.320x120.png)
![](https://dblp.uni-trier.de/img/dropdown.dark.16x16.png)
![](https://dblp.uni-trier.de/img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
![search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "stream:conf/itc:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/itc/ArgyridesTHZ23, author = {Costas Argyrides and Grigor Tshagharyan and Gurgen Harutyunyan and Yervant Zorian}, title = {Utilizing {ECC} Analytics to Improve Memory Lifecycle Management}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {383--387}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00057}, doi = {10.1109/ITC51656.2023.00057}, timestamp = {Tue, 09 Jan 2024 17:03:11 +0100}, biburl = {https://dblp.org/rec/conf/itc/ArgyridesTHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ArunachalamDRSJ23, author = {Ayush Arunachalam and Sanjay Das and Monikka Rajan and Fei Su and Xiankun Jin and Suvadeep Banerjee and Arnab Raha and Suriyaprakash Natarajan and Kanad Basu}, title = {Enhanced ML-Based Approach for Functional Safety Improvement in Automotive {AMS} Circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {266--275}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00043}, doi = {10.1109/ITC51656.2023.00043}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ArunachalamDRSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AtamanKRO23, author = {Ferhat Can Ataman and Y. B. Chethan Kumar and Sandeep Rao and Sule Ozev}, title = {Improving Angle of Arrival Estimation Accuracy for mm-Wave Radars}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {30--36}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00013}, doi = {10.1109/ITC51656.2023.00013}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AtamanKRO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BabanOSCBBKC23, author = {Navajit Singh Baban and Ajymurat Orozaliev and Yong{-}Ak Song and Urbi Chatterjee and Sankalp Bose and Sukanta Bhattacharjee and Ramesh Karri and Krishnendu Chakrabarty}, title = {Biochip-PUF: Physically Unclonable Function for Microfluidic Biochips}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {166--175}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00033}, doi = {10.1109/ITC51656.2023.00033}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BabanOSCBBKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChandraKPTGSNTA23, author = {Anshuman Chandra and Moiz Khan and Ankita Patidar and Fumiaki Takashima and Sandeep Kumar Goel and Bharath Shankaranarayanan and Vuong Nguyen and Vistrita Tyagi and Manish Arora}, title = {A Case Study on {IEEE} 1838 Compliant Multi-Die 3DIC {DFT} Implementation}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {11--20}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00011}, doi = {10.1109/ITC51656.2023.00011}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChandraKPTGSNTA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChangKPHLHCK23, author = {Daehyun Chang and Youngdae Kim and Suksoo Pyo and Shin Hun and Daesop Lee and Sohee Hwang and Jaesik Choi and Siwoong Kim}, title = {Algorithmic Read Resistance Trim for Improving Yield and Reducing Test Time in {MRAM}}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {87--92}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00022}, doi = {10.1109/ITC51656.2023.00022}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChangKPHLHCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DevendhiranJUSD23, author = {Manoj Devendhiran and Jakub Janicki and Szczepan Urban and Manish Sharma and Jayant D'Souza}, title = {Predicting the Resolution of Scan Diagnosis}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {303--309}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00047}, doi = {10.1109/ITC51656.2023.00047}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DevendhiranJUSD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DrayGNZRYKSK23, author = {Cyrille Dray and Khushal Gelda and Benoit Nadeau{-}Dostie and Wei Zou and Luc Romain and Jongsin Yun and Harshitha Kodali and Lori Schramm and Martin Keim}, title = {Transitioning eMRAM from Pilot Project to Volume Production}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {82--86}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00021}, doi = {10.1109/ITC51656.2023.00021}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DrayGNZRYKSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DubeyA23, author = {Anuj Dubey and Aydin Aysu}, title = {A Full-Stack Approach for Side-Channel Secure {ML} Hardware}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {186--195}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00035}, doi = {10.1109/ITC51656.2023.00035}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DubeyA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DupreeYZW23, author = {Matthew Dupree and Min Jian Yang and Yueling Jenny Zeng and Li{-}C. Wang}, title = {IEA-Plot: Conducting Wafer-Based Data Analytics Through Chat}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {122--131}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00028}, doi = {10.1109/ITC51656.2023.00028}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DupreeYZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EikiNKISS23, author = {Makoto Eiki and Tomoki Nakamura and Masuo Kajiyama and Michiko Inoue and Takashi Sato and Michihiro Shintani}, title = {Improving Efficiency and Robustness of Gaussian Process Based Outlier Detection via Ensemble Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {132--140}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00029}, doi = {10.1109/ITC51656.2023.00029}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/EikiNKISS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GhasemiMKGT23, author = {Seyedeh Maryam Ghasemi and Sergej Meschkov and Jonas Krautter and Dennis R. E. Gnad and Mehdi B. Tahoori}, title = {Enabling In-Field Parametric Testing for {RISC-V} Cores}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {367--376}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00054}, doi = {10.1109/ITC51656.2023.00054}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GhasemiMKGT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Gizopoulos0C23, author = {Dimitris Gizopoulos and George Papadimitriou and Odysseas Chatzopoulos}, title = {Estimating the Failures and Silent Errors Rates of CPUs Across ISAs and Microarchitectures}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {377--382}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00056}, doi = {10.1109/ITC51656.2023.00056}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Gizopoulos0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GuWCWGLGWYZZC23, author = {Yunfei Gu and Xingyu Wang and Zixiao Chen and Chentao Wu and Xinfei Guo and Jie Li and Minyi Guo and Song Wu and Rong Yuan and Taile Zhang and Yawen Zhang and Haoran Cai}, title = {Improving Productivity and Efficiency of {SSD} Manufacturing Self-Test Process by Learning-Based Proactive Defect Prediction}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {226--235}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00039}, doi = {10.1109/ITC51656.2023.00039}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GuWCWGLGWYZZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HolzhausenFTMF23, author = {Ryan Holzhausen and Tasnuva Farheen and Morgan Thomas and Nima Maghari and Domenic Forte}, title = {Laser Fault Injection Vulnerability Assessment and Mitigation with Case Study on {PG-TVD} Logic Cells}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {330--339}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00050}, doi = {10.1109/ITC51656.2023.00050}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HolzhausenFTMF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsiaoTWLWC23, author = {Sam M.{-}H. Hsiao and Amy H.{-}Y. Tsai and Lowry P.{-}T. Wang and Aaron C.{-}W. Liang and Charles H.{-}P. Wen and Herming Chiueh}, title = {Preventing Single-Event Double-Node Upsets by Engineering Change Order in Latch Designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {276--285}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00044}, doi = {10.1109/ITC51656.2023.00044}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HsiaoTWLWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsiehLLNCB23, author = {Bing{-}Han Hsieh and Yun{-}Sheng Liu and James Chien{-}Mo Li and Chris Nigh and Mason Chern and Gaurav Bhargava}, title = {Diagnosis of Systematic Delay Failures Through Subset Relationship Analysis}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {293--302}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00046}, doi = {10.1109/ITC51656.2023.00046}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HsiehLLNCB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HungCBC23, author = {Shao{-}Chun Hung and Arjun Chaudhuri and Sanmitra Banerjee and Krishnendu Chakrabarty}, title = {Scan Cell Segmentation Based on Reinforcement Learning for Power-Safe Testing of Monolithic 3D ICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {216--225}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00038}, doi = {10.1109/ITC51656.2023.00038}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HungCBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IwataMMLKMM23, author = {Hiroyuki Iwata and Yoichi Maeda and Jun Matsushima and Oussama Laouamri and Naveen Khanna and Jeff Mayer and Nilanjan Mukherjee}, title = {A New Framework for {RTL} Test Points Insertion Facilitating a "Shift-Left DFT" Strategy}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {1--10}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00010}, doi = {10.1109/ITC51656.2023.00010}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IwataMMLKMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JafarzadehKRNAH23, author = {Hanieh Jafarzadeh and Florian Klemme and Jan Dennis Reimer and Zahra Paria Najafi{-}Haghi and Hussam Amrouch and Sybille Hellebrand and Hans{-}Joachim Wunderlich}, title = {Robust Pattern Generation for Small Delay Faults Under Process Variations}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {111--116}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00026}, doi = {10.1109/ITC51656.2023.00026}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JafarzadehKRNAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KandulaKTHZ23, author = {Kranthi Kandula and Ramalingam Kolisetti and Grigor Tshagharyan and Gurgen Harutyunyan and Yervant Zorian}, title = {{SLM} Subsystem for Automotive SoC: Case Study on Path Margin Monitor}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {388--392}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00058}, doi = {10.1109/ITC51656.2023.00058}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KandulaKTHZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KibriaFT23, author = {Rasheed Kibria and Farimah Farahmandi and Mark M. Tehranipoor}, title = {{ARC-FSM-G:} Automatic Security Rule Checking for Finite State Machine at the Netlist Abstraction}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {320--329}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00049}, doi = {10.1109/ITC51656.2023.00049}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KibriaFT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KomarrajuTAC23, author = {Suhasini Komarraju and Akhil Tammana and Chandramouli N. Amarnath and Abhijit Chatterjee}, title = {{OATT:} Outlier Oriented Alternative Testing and Post-Manufacture Tuning of Mixed-Signal/RF Circuits and Systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {37--46}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00014}, doi = {10.1109/ITC51656.2023.00014}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KomarrajuTAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KongalaGWJ23, author = {Sudhakar Kongala and Anuj Gupta and Yash Walia and Sahil Jain}, title = {Novel Methodology to Optimize {TAT} and Resource Utilization for {ATPG} Simulations for Large SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {60--64}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00017}, doi = {10.1109/ITC51656.2023.00017}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KongalaGWJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeKPWC23, author = {Seongkwan Lee and Minho Kang and Cheolmin Park and Jun Yeon Won and Jaemoo Choi}, title = {Method for Adjusting Termination Resistance Using {PMU} in {DC} Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {77--81}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00020}, doi = {10.1109/ITC51656.2023.00020}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeeKPWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeWPKC23, author = {Seongkwan Lee and Jun Yeon Won and Cheolmin Park and Minho Kang and Jaemoo Choi}, title = {Method for Diagnosing Channel Damage Using {FPGA} Transceiver}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {71--76}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00019}, doi = {10.1109/ITC51656.2023.00019}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeeWPKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LefevreDGV23, author = {J. Lefevre and P. Debaud and Patrick Girard and Arnaud Virazel}, title = {Predictor {BIST:} An "All-in-One" Optical Test Solution for {CMOS} Image Sensors}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {310--319}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00048}, doi = {10.1109/ITC51656.2023.00048}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LefevreDGV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiX23, author = {Yu Li and Qiang Xu}, title = {Towards Robust Deep Neural Networks Against Design-Time and Run-Time Failures}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {196--205}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00036}, doi = {10.1109/ITC51656.2023.00036}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiangLLWHYY23, author = {Huaxiao Liang and Xiaoze Lin and Liyang Lai and Naixing Wang and Yu Huang and Fei Yang and Yuxin Yang}, title = {GPU-Based Concurrent Static Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {159--165}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00032}, doi = {10.1109/ITC51656.2023.00032}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiangLLWHYY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiangWYLCKL23, author = {Zhe{-}Jia Liang and Yu{-}Tsung Wu and Yun{-}Feng Yang and James Chien{-}Mo Li and Norman Chang and Akhilesh Kumar and Ying{-}Shiun Li}, title = {High-Speed, Low-Storage Power and Thermal Predictions for {ATPG} Test Patterns}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {206--215}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00037}, doi = {10.1109/ITC51656.2023.00037}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiangWYLCKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuYLWC23, author = {Ching{-}Min Liu and Chia{-}Heng Yen and Shu{-}Wen Lee and Kai{-}Chiang Wu and Mango Chia{-}Tso Chao}, title = {Enhancing Good-Die-in-Bad-Neighborhood Methodology with Wafer-Level Defect Pattern Information}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {357--366}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00053}, doi = {10.1109/ITC51656.2023.00053}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuYLWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LorenzelliEGGKL23, author = {Francesco Lorenzelli and Asser Elsayed and Clement Godfrin and Alexander Grill and Stefan Kubicek and Ruoyu Li and Michele Stucchi and Danny Wan and Kristiaan De Greve and Erik Jan Marinissen and Georges G. E. Gielen}, title = {Wafer-Scale Electrical Characterization of Silicon Quantum Dots from Room to Low Temperatures}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {151--158}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00031}, doi = {10.1109/ITC51656.2023.00031}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LorenzelliEGGKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LyuYLH23, author = {Yinxuan Lyu and Liangliang Yu and Pengju Li and Junlin Huang}, title = {Logic Test Vehicles for High Resolution Diagnosis of Systematic {FEOL/MEOL} Yield Detractors}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {117--121}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00027}, doi = {10.1109/ITC51656.2023.00027}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LyuYLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NandakumarC23, author = {Bharath Nandakumar and Sameer Chillarige}, title = {Low cost production scan chain test for compression based designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {350--356}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00052}, doi = {10.1109/ITC51656.2023.00052}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NandakumarC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OrtegaBJTPC23, author = {Eduardo Ortega and Tyler K. Bletsch and Biresh Kumar Joardar and Jonti Talukdar and Woohyun Paik and Krishnendu Chakrabarty}, title = {Simply-Track-and-Refresh: Efficient and Scalable Rowhammer Mitigation}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {340--349}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00051}, doi = {10.1109/ITC51656.2023.00051}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/OrtegaBJTPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pomeranz23, author = {Irith Pomeranz}, title = {Compaction of Functional Broadside Tests for Path Delay Faults Using Clusters of Propagation Lines}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {105--110}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00025}, doi = {10.1109/ITC51656.2023.00025}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pomeranz23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/R23, author = {Aswin R}, title = {New Algorithm for Fast and Accurate Linearity Testing of High-Resolution {SAR} ADCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {21--29}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00012}, doi = {10.1109/ITC51656.2023.00012}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/R23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RameshKYGRS23, author = {Saidapet Ramesh and Rahul Kalyan and Jesse Yanez and Andreas Glowatz and Maija Ryyn{\"{a}}nen and Sergej Schwarz}, title = {Measuring Non-Redundant {VIA} Test-Coverage for Automotive Designs in Lower Process Nodes}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {286--292}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00045}, doi = {10.1109/ITC51656.2023.00045}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RameshKYGRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SantosCR23, author = {Fernando Fernandes dos Santos and Luigi Carro and Paolo Rech}, title = {Understanding and Improving GPUs' Reliability Combining Beam Experiments with Fault Simulation}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {176--185}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00034}, doi = {10.1109/ITC51656.2023.00034}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SantosCR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SatoN0OIKITZYKK23, author = {Keno Sato and Takayuki Nakatani and Takashi Ishida and Toshiyuki Okamoto and Tamotsu Ichikawa and Shogo Katayama and Daisuke Iimori and Misaki Takagi and Yujie Zhao and Shuhei Yamamoto and Anna Kuwana and Kentaroh Katoh and Kazumi Hatayama and Haruo Kobayashi}, title = {Low Distortion Sinusoidal Signal Generator with Harmonics Cancellation Using Two Types of Digital Predistortion}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {47--55}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00015}, doi = {10.1109/ITC51656.2023.00015}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SatoN0OIKITZYKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SinhaCFPMS23, author = {Arani Sinha and Glenn Col{\'{o}}n{-}Bonet and Michael Fahy and Pankaj Pant and Haijing Mao and Akhilesh Shukla}, title = {Maximizing Stress Coverage by Novel {DFT} Techniques and Relaxed Timing Closure}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {56--59}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00016}, doi = {10.1109/ITC51656.2023.00016}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SinhaCFPMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SinhabahuLWWH23, author = {Nadun Sinhabahu and Katherine Shu{-}Min Li and Sying{-}Jyan Wang and J. R. Wang and Matt Ho}, title = {Machine-Learning Driven Sensor Data Analytics for Yield Enhancement of Wafer Probing}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {93--98}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00023}, doi = {10.1109/ITC51656.2023.00023}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SinhabahuLWWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ThaparTCAC23, author = {Dhruv Thapar and Simon Thomann and Arjun Chaudhuri and Hussam Amrouch and Krishnendu Chakrabarty}, title = {Analysis and Characterization of Defects in FeFETs}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {256--265}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00042}, doi = {10.1109/ITC51656.2023.00042}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ThaparTCAC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/UrbanZSBSYS23, author = {Szczepan Urban and Piotr Zimnowlodzki and Manish Sharma and Shraddha Bodhe and John Schulze and Abdullah Yassine and Adam Styblinski}, title = {Global Control Signal Defect Diagnosis in Volume Production Environment}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {65--70}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00018}, doi = {10.1109/ITC51656.2023.00018}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/UrbanZSBSYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangHHL23, author = {Zihu Wang and Hanbin Hu and Chen He and Peng Li}, title = {Recognizing Wafer Map Patterns Using Semi-Supervised Contrastive Learning with Optimized Latent Representation Learning and Data Augmentation}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {141--150}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00030}, doi = {10.1109/ITC51656.2023.00030}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WangHHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangR23, author = {Li{-}C. Wang and Jeff Rearick}, title = {Welcome Message {ITC} 2023}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {xiii}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00005}, doi = {10.1109/ITC51656.2023.00005}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WangR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/XunYFATH23, author = {Hanzhi Xun and Sicong Yuan and Moritz Fieback and Hassen Aziza and Mottaqiallah Taouil and Said Hamdioui}, title = {Device-Aware Test for Ion Depletion Defects in RRAMs}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {246--255}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00041}, doi = {10.1109/ITC51656.2023.00041}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/XunYFATH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YinCHL23, author = {Yuxuan Yin and Rebecca Chen and Chen He and Peng Li}, title = {Domain-Specific Machine Learning Based Minimum Operating Voltage Prediction Using On-Chip Monitor Data}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {99--104}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00024}, doi = {10.1109/ITC51656.2023.00024}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YinCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YuanZFXMKRCTH23, author = {Sicong Yuan and Z. Zhang and Moritz Fieback and Hanzhi Xun and Erik Jan Marinissen and Gouri Sankar Kar and Sidharth Rao and Sebastien Couet and Mottaqiallah Taouil and Said Hamdioui}, title = {Magnetic Coupling Based Test Development for Contact and Interconnect Defects in STT-MRAMs}, booktitle = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, pages = {236--245}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023.00040}, doi = {10.1109/ITC51656.2023.00040}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/YuanZFXMKRCTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2023, title = {{IEEE} International Test Conference, {ITC} 2023, Anaheim, CA, USA, October 7-15, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITC51656.2023}, doi = {10.1109/ITC51656.2023}, isbn = {979-8-3503-4325-0}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/0001D22, author = {Sebastian Huhn and Rolf Drechsler}, title = {Next Generation Design For Testability, Debug and Reliability Using Formal Techniques}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {609--618}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00086}, doi = {10.1109/ITC50671.2022.00086}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/0001D22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/0007HC0YLCHH22, author = {Xiaopeng Zhang and Shoubo Hu and Zhitang Chen and Shengyu Zhu and Evangeline F. Y. Young and Pengyun Li and Cheng Chen and Yu Huang and Jianye Hao}, title = {RCANet: Root Cause Analysis via Latent Variable Interaction Modeling for Yield Improvement}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {100--107}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00017}, doi = {10.1109/ITC50671.2022.00017}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/0007HC0YLCHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Abdel-HafezDMTN22, author = {Khader S. Abdel{-}Hafez and Michael Dsouza and Likith Kumar Manchukonda and Elddie Tsai and Karthikeyan Natarajan and Ting{-}Pu Tai and Wenhao Hsueh and Smith Lai}, title = {Comprehensive Power-Aware {ATPG} Methodology for Complex Low-Power Designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {334--339}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00041}, doi = {10.1109/ITC50671.2022.00041}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Abdel-HafezDMTN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AhmedT22, author = {Soyed Tuhin Ahmed and Mehdi B. Tahoori}, title = {Compact Functional Test Generation for Memristive Deep Learning Implementations using Approximate Gradient Ranking}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {239--248}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00032}, doi = {10.1109/ITC50671.2022.00032}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AhmedT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AngioneBCCNPQAT22, author = {Francesco Angione and Paolo Bernardi and Andrea Calabrese and Lorenzo Cardone and A. Niccoletti and Davide Piumatti and Stefano Quer and Davide Appello and Vincenzo Tancorre and Roberto Ugioli}, title = {An innovative Strategy to Quickly Grade Functional Test Programs}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {355--364}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00044}, doi = {10.1109/ITC50671.2022.00044}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/AngioneBCCNPQAT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ArgyridesSDHZ22, author = {Costas Argyrides and Vilas Sridharan and Hayk Danoyan and Gurgen Harutyunyan and Yervant Zorian}, title = {A Novel Protection Technique for Embedded Memories with Optimized {PPA}}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {642--645}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00089}, doi = {10.1109/ITC50671.2022.00089}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ArgyridesSDHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ArunachalamKKRB22, author = {Ayush Arunachalam and Athulya Kizhakkayil and Shamik Kundu and Arnab Raha and Suvadeep Banerjee and Robert Jin and Fei Su and Kanad Basu}, title = {Unsupervised Learning-based Early Anomaly Detection in {AMS} Circuits of Automotive SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {229--238}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00031}, doi = {10.1109/ITC50671.2022.00031}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ArunachalamKKRB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BhathejaC0JDRKC22, author = {Kushagra Bhatheja and Shravan K. Chaganti and Degang Chen and Xiankun Robert Jin and Chris C. Dao and Juxiang Ren and Abhishek Kumar and Daniel Correa and Mark Lehmann and Thomas Rodriguez and Eric Kingham and Joel R. Knight and Allan Dobbin and Scott W. Herrin and Doug Garrity}, title = {Low Cost High Accuracy Stimulus Generator for On-chip Spectral Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {514--518}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00063}, doi = {10.1109/ITC50671.2022.00063}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/BhathejaC0JDRKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BhattacharyaSD22, author = {Mayukh Bhattacharya and Beatrice Solignac and Michael D{\"{u}}rr}, title = {Application of Sampling in Industrial Analog Defect Simulation}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {436--445}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00051}, doi = {10.1109/ITC50671.2022.00051}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BhattacharyaSD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BordognaBPS22, author = {Lorella Bordogna and Fabio Brembilla and Alberto Pagani and Marco Spinetta}, title = {New R{\&}R Methodology in Semiconductor Manufacturing Electrical Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {410--419}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00048}, doi = {10.1109/ITC50671.2022.00048}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BordognaBPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BurasXBK22, author = {Brian Buras and Constantinos Xanthopoulos and Ken Butler and Jason Kim}, title = {Zero Trust Approach to {IC} Manufacturing and Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {583--586}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00077}, doi = {10.1109/ITC50671.2022.00077}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BurasXBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChakrabortyB22, author = {Prabuddha Chakraborty and Swarup Bhunia}, title = {AI-Driven Assurance of Hardware {IP} against Reverse Engineering Attacks}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {627--636}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00081}, doi = {10.1109/ITC50671.2022.00081}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChakrabortyB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenKL22, author = {Yan{-}Fu Chen and Duo{-}Yao Kang and Kuen{-}Jong Lee}, title = {Scan-Based Test Chip Design with XOR-based C-testable Functional Blocks}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {82--91}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00015}, doi = {10.1109/ITC50671.2022.00015}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChengLWHLCLT22, author = {Ken Chau{-}Cheung Cheng and Katherine Shu{-}Min Li and Sying{-}Jyan Wang and Andrew Yi{-}Ann Huang and Chen{-}Shiun Lee and Leon Li{-}Yang Chen and Peter Yi{-}Yu Liao and Nova Cheng{-}Yen Tsai}, title = {Wafer Defect Pattern Classification with Explainable-Decision Tree Technique}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {549--553}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00070}, doi = {10.1109/ITC50671.2022.00070}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChengLWHLCLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChengTWSCL22, author = {Ya{-}Chi Cheng and Pai{-}Yu Tan and Cheng{-}Wen Wu and Ming{-}Der Shieh and Chien{-}Hui Chuang and Gordon Liao}, title = {Improving Test Quality of Memory Chips by a Decision Tree-Based Screening Method}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {601--608}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00080}, doi = {10.1109/ITC50671.2022.00080}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChengTWSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChoudhuryGTF22, author = {Muhtadi Choudhury and Minyan Gao and Shahin Tajik and Domenic Forte}, title = {{TAMED:} Transitional Approaches for {LFI} Resilient State Machine Encoding}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {46--55}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00011}, doi = {10.1109/ITC50671.2022.00011}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChoudhuryGTF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CondiaGSRR22, author = {Josie E. Rodriguez Condia and Juan{-}David Guerrero{-}Balaguera and Fernando Fernandes dos Santos and Matteo Sonza Reorda and Paolo Rech}, title = {A Multi-level Approach to Evaluate the Impact of {GPU} Permanent Faults on CNN's Reliability}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {278--287}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00036}, doi = {10.1109/ITC50671.2022.00036}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CondiaGSRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DHondtLGV22, author = {P. D'Hondt and Aymen Ladhar and Patrick Girard and Arnaud Virazel}, title = {A Comprehensive Learning-Based Flow for Cell-Aware Model Generation}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {484--488}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00057}, doi = {10.1109/ITC50671.2022.00057}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DHondtLGV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DasMTF22, author = {Upoma Das and Md Rafid Muttaki and Mark M. Tehranipoor and Farimah Farahmandi}, title = {{ADWIL:} {A} Zero-Overhead Analog Device Watermarking Using Inherent {IP} Features}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {155--164}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00023}, doi = {10.1109/ITC50671.2022.00023}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DasMTF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DebnathCSS22, author = {Mukta Debnath and Animesh Basak Chowdhury and Debasri Saha and Susmita Sur{-}Kolay}, title = {GreyConE: Greybox Fuzzing + Concolic Execution Guided Test Generation for High Level Designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {494--498}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00059}, doi = {10.1109/ITC50671.2022.00059}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DebnathCSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EikiNKIS22, author = {Makoto Eiki and Tomoki Nakamura and Masuo Kajiyama and Michiko Inoue and Michihiro Shintani}, title = {Accurate Failure Rate Prediction Based on Gaussian Process Using {WAT} Data}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {573--577}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00075}, doi = {10.1109/ITC50671.2022.00075}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/EikiNKIS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FarayolaBCS0022, author = {Praise O. Farayola and Isaac Bruce and Shravan K. Chaganti and Abalhassan Sheikh and Srivaths Ravi and Degang Chen}, title = {Optimal Order Polynomial Transformation for Calibrating Systematic Errors in Multisite Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {509--513}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00062}, doi = {10.1109/ITC50671.2022.00062}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/FarayolaBCS0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FilipponiIRAGT22, author = {Gabriele Filipponi and Giusy Iaria and Matteo Sonza Reorda and Davide Appello and Giuseppe Garozzo and Vincenzo Tancorre}, title = {In-field Data Collection System through Logic {BIST} for large Automotive Systems-on-Chip}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {646--649}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00090}, doi = {10.1109/ITC50671.2022.00090}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/FilipponiIRAGT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FoutzSRCCNCPR22, author = {Brian Foutz and Sarthak Singhal and Prateek Kumar Rai and Krishna Chakravadhanula and Vivek Chickermane and Bharath Nandakumar and Sameer Chillarige and Christos Papameletis and Satish Ravichandran}, title = {{PPA} Optimization of Test Points in Automotive Designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {204--212}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00028}, doi = {10.1109/ITC50671.2022.00028}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FoutzSRCCNCPR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Garita-Rodriguez22, author = {Esteban Garita{-}Rodr{\'{\i}}guez and Renato Rimolo{-}Donadio and Rafael Zamora{-}Salazar}, title = {Challenges for High Volume Testing of Embedded {IO} Interfaces in Disaggregated Microprocessor Products}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {456--464}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00053}, doi = {10.1109/ITC50671.2022.00053}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Garita-Rodriguez22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HeGAWFKRPRSL22, author = {Chen He and Paul Grosch and Onder Anilturk and Joyce Witowski and Carl Ford and Rahul Kalyan and John C. Robinson and David W. Price and Jay Rathert and Barry Saville and Dave Lee}, title = {Defect-Directed Stress Testing Based on Inline Inspection Results}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {427--435}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00050}, doi = {10.1109/ITC50671.2022.00050}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HeGAWFKRPRSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HouCTWL22, author = {Kuan{-}Wei Hou and Hsueh{-}Hung Cheng and Chi Tung and Cheng{-}Wen Wu and Juin{-}Ming Lu}, title = {Fault Modeling and Testing of Memristor-Based Spiking Neural Networks}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {92--99}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00016}, doi = {10.1109/ITC50671.2022.00016}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HouCTWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsiaoWLW22, author = {Sam M.{-}H. Hsiao and Lowry P.{-}T. Wang and Aaron C.{-}W. Liang and Charles H.{-}P. Wen}, title = {Existence of Single-Event Double-Node Upsets {(SEDU)} in Radiation-Hardened Latches for Sub-65nm {CMOS} Technologies}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {128--136}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00020}, doi = {10.1109/ITC50671.2022.00020}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HsiaoWLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangZWMYH22, author = {Junhua Huang and Hui{-}Ling Zhen and Naixing Wang and Hui Mao and Mingxuan Yuan and Yu Huang}, title = {Neural Fault Analysis for SAT-based {ATPG}}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {36--45}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00010}, doi = {10.1109/ITC50671.2022.00010}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangZWMYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HukerikarS22, author = {Saurabh Hukerikar and Nirmal R. Saxena}, title = {Runtime Fault Diagnostics for {GPU} Tensor Cores}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {524--528}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00065}, doi = {10.1109/ITC50671.2022.00065}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HukerikarS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HungCBC22, author = {Shao{-}Chun Hung and Arjun Chaudhuri and Sanmitra Banerjee and Krishnendu Chakrabarty}, title = {Fault Diagnosis for Resistive Random-Access Memory and Monolithic Inter-tier Vias in Monolithic 3D Integration}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {118--127}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00019}, doi = {10.1109/ITC50671.2022.00019}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HungCBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Joe0PR22, author = {Jerin Joe and Nilanjan Mukherjee and Irith Pomeranz and Janusz Rajski}, title = {Test Generation for an Iterative Design Flow with {RTL} Changes}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {305--313}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00039}, doi = {10.1109/ITC50671.2022.00039}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Joe0PR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KibriaRFT22, author = {Rasheed Kibria and M. Sazadur Rahman and Farimah Farahmandi and Mark M. Tehranipoor}, title = {RTL-FSMx: Fast and Accurate Finite State Machine Extraction at the {RTL} for Security Applications}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {165--174}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00024}, doi = {10.1109/ITC50671.2022.00024}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KibriaRFT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KilianHTHS22, author = {Tobias Kilian and Markus Hanel and Daniel Tille and Martin Huch and Ulf Schlichtmann}, title = {A Path Selection Flow for Functional Path Ring Oscillators using Physical Design Data}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {258--267}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00034}, doi = {10.1109/ITC50671.2022.00034}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KilianHTHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KunduBER22, author = {Subhadip Kundu and Gaurav Bhargava and Lesly Endrinal and Lavakumar Ranganathan}, title = {Using Custom Fault Models to Improve Understanding of Silicon Failures}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {348--354}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00043}, doi = {10.1109/ITC50671.2022.00043}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KunduBER22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KunduMRGB22, author = {Shamik Kundu and Akul Malhotra and Arnab Raha and Sumeet Kumar Gupta and Kanad Basu}, title = {RIBoNN: Designing Robust In-Memory Binary Neural Network Accelerators}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {504--508}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00061}, doi = {10.1109/ITC50671.2022.00061}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KunduMRGB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KuoHLNBC22, author = {Cheng{-}Sian Kuo and Bing{-}Han Hsieh and James Chien{-}Mo Li and Chris Nigh and Gaurav Bhargava and Mason Chern}, title = {Diagnosing Double Faulty Chains through Failing Bit Separation}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {175--184}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00025}, doi = {10.1109/ITC50671.2022.00025}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KuoHLNBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LaisneCPKSTRZ22, author = {Michael Laisne and Alfred L. Crouch and Michele Portolan and Martin Keim and Hans Martin von Staudt and Bradford G. Van Treuren and Jeff Rearick and Songlin Zuo}, title = {{IEEE} {P1687.1:} Extending the Network Boundaries for Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {382--390}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00084}, doi = {10.1109/ITC50671.2022.00084}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LaisneCPKSTRZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeePKWRCY22, author = {Seongkwan Lee and Cheolmin Park and Minho Kang and Jun Yeon Won and HyungSun Ryu and Jaemoo Choi and Byunghyun Yim}, title = {4.5 Gsps {MIPI} {D-PHY} Receiver Circuit for Automatic Test Equipment}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {563--567}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00073}, doi = {10.1109/ITC50671.2022.00073}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeePKWRCY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeiC22, author = {Jun{-}Yang Lei and Abhijit Chatterjee}, title = {ML-Assisted Bug Emulation Experiments for Post-Silicon Multi-Debug of {AMS} Circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {268--277}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00035}, doi = {10.1109/ITC50671.2022.00035}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeiC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LernerISHMV22, author = {David P. Lerner and Benson Inkley and Shubhada H. Sahasrabudhe and Ethan Hansen and Luis D. Rojas Munoz and Arjan van de Ven}, title = {Optimization of Tests for Managing Silicon Defects in Data Centers}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {578--582}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00076}, doi = {10.1109/ITC50671.2022.00076}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LernerISHMV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiNDMB22, author = {Wei Li and Chris Nigh and Danielle Duvalsaint and Subhasish Mitra and Ronald D. Blanton}, title = {{PEPR:} Pseudo-Exhaustive Physically-Aware Region Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {314--323}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00083}, doi = {10.1109/ITC50671.2022.00083}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiNDMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiaoLGAY22, author = {Yiwen Liao and Rapha{\"{e}}l Latty and Paul R. Genssler and Hussam Amrouch and Bin Yang}, title = {Wafer Map Defect Classification Based on the Fusion of Pattern and Pixel Information}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00006}, doi = {10.1109/ITC50671.2022.00006}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiaoLGAY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiaoNWY22, author = {Yiwen Liao and Zahra Paria Najafi{-}Haghi and Hans{-}Joachim Wunderlich and Bin Yang}, title = {Efficient and Robust Resistive Open Defect Detection Based on Unsupervised Deep Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {185--193}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00026}, doi = {10.1109/ITC50671.2022.00026}, timestamp = {Thu, 11 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiaoNWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinCHLFH22, author = {Wei{-}Chen Lin and Chun Chen and Chao{-}Ho Hsieh and James Chien{-}Mo Li and Eric Jia{-}Wei Fang and Sung S.{-}Y. Hsueh}, title = {ML-Assisted VminBinning with Multiple Guard Bands for Low Power Consumption}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {213--218}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00029}, doi = {10.1109/ITC50671.2022.00029}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinCHLFH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LokiKMK22, author = {Kazuya Loki and Yasuyuki Kai and Kohei Miyase and Seiji Kajihara}, title = {A Practical Online Error Detection Method for Functional Safety Using Three-Site Implications}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {63--72}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00013}, doi = {10.1109/ITC50671.2022.00013}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LokiKMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LuTM22, author = {Shyue{-}Kung Lu and Shi{-}Chun Tseng and Kohei Miyase}, title = {Fine-Grained Built-In Self-Repair Techniques for {NAND} Flash Memories}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {391--399}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00047}, doi = {10.1109/ITC50671.2022.00047}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LuTM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LuWHM22, author = {Shyue{-}Kung Lu and Yu{-}Sheng Wu and Jin{-}Hua Hong and Kohei Miyase}, title = {Fault Resilience Techniques for Flash Memory of {DNN} Accelerators}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {591--600}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00079}, doi = {10.1109/ITC50671.2022.00079}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LuWHM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MaSAC22, author = {Kwondo Ma and Anurup Saha and Chandramouli N. Amarnath and Abhijit Chatterjee}, title = {Efficient Low Cost Alternative Testing of Analog Crossbar Arrays for Deep Neural Networks}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {499--503}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00060}, doi = {10.1109/ITC50671.2022.00060}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MaSAC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Massoudi22, author = {Firooz Massoudi}, title = {In search of Vmin for dynamic power managmenet and reliable operation in mission mode}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {661--664}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00093}, doi = {10.1109/ITC50671.2022.00093}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Massoudi22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MayahiniaTHTA22, author = {Mahta Mayahinia and Mehdi B. Tahoori and Gurgen Harutyunyan and Grigor Tshagharyan and Karen Amirkhanyan}, title = {An Efficient Test Strategy for Detection of Electromigration Impact in Advanced FinFET Memories}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {650--655}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00091}, doi = {10.1109/ITC50671.2022.00091}, timestamp = {Fri, 06 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MayahiniaTHTA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MayahiniaTPCC22, author = {Mahta Mayahinia and Mehdi B. Tahoori and Manu Perumkunnil and Kristof Croes and Francky Catthoor}, title = {Analyzing the Electromigration Challenges of Computation in Resistive Memories}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {534--538}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00067}, doi = {10.1109/ITC50671.2022.00067}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MayahiniaTPCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MittalUCJCPSN22, author = {Soumya Mittal and Szczepan Urban and Kun Young Chung and Jakub Janicki and Wu{-}Tung Cheng and Martin Parley and Manish Sharma and Shaun Nicholson}, title = {Industry Evaluation of Reversible Scan Chain Diagnosis}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {420--426}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00049}, doi = {10.1109/ITC50671.2022.00049}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MittalUCJCPSN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MrugalskiRTW22, author = {Grzegorz Mrugalski and Janusz Rajski and Jerzy Tyszer and Bartosz Wlodarczak}, title = {{DIST:} Deterministic In-System Test with X-masking}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {20--27}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00008}, doi = {10.1109/ITC50671.2022.00008}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MrugalskiRTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NandakumarMCRZL22, author = {Bharath Nandakumar and Madhur Maheshwari and Sameer Chillarige and Robert Redburn and Jeff Zimmerman and Nicholai L'Esperance and Edward Dziarcak}, title = {Scaling physically aware logic diagnosis to complex high volume 7nm server processors}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {340--347}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00042}, doi = {10.1109/ITC50671.2022.00042}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NandakumarMCRZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NatarajanSOCB22, author = {Suriyaprakash Natarajan and Abhijit Sathaye and Chaitali Oak and Nipun Chaplot and Suvadeep Banerjee}, title = {{DEFCON:} Defect Acceleration through Content Optimization}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {298--304}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00038}, doi = {10.1109/ITC50671.2022.00038}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NatarajanSOCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NiewenhuisV22, author = {Benjamin Niewenhuis and Devanathan Varadarajan}, title = {Improving structural coverage of functional tests with checkpoint signature computation}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {587--590}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00078}, doi = {10.1109/ITC50671.2022.00078}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NiewenhuisV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NirmaierHHYP22, author = {Thomas Nirmaier and Manuel Harrant and Marc Huppmann and Wendy You and Georg Pelz}, title = {Virtual Prototyping: Closing the digital gap between product requirements and post-Si verification}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {559--562}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00072}, doi = {10.1109/ITC50671.2022.00072}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NirmaierHHYP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PanL22, author = {Ze{-}Wei Pan and Jin{-}Fu Li}, title = {DFT-Enhanced Test Scheme for Spin-Transfer-Torque {(STT)} MRAMs}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {489--493}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00058}, doi = {10.1109/ITC50671.2022.00058}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/PanL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PandeyTN22, author = {Amit Pandey and Brendan Tully and Karthikeyan Natarajan}, title = {High Speed {IO} Access for Test forms the foundation for Silicon Lifecycle Management}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {656--660}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00092}, doi = {10.1109/ITC50671.2022.00092}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PandeyTN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PaudelT22, author = {Bijay Raj Paudel and Spyros Tragoudas}, title = {The Impact of On-chip Training to Adversarial Attacks in Memristive Crossbar Arrays}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {519--523}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00064}, doi = {10.1109/ITC50671.2022.00064}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PaudelT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pomeranz22, author = {Irith Pomeranz}, title = {Transforming an {\textdollar}n{\textdollar}-Detection Test Set into a Test Set for a Variety of Fault Models}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {474--478}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00055}, doi = {10.1109/ITC50671.2022.00055}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pomeranz22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PortolanPNFS22, author = {Michele Portolan and Antonios Pavlidis and Giorgio Di Natale and Eric Faehn and Haralampos{-}G. Stratigopoulos}, title = {Circuit-to-Circuit Attacks in SoCs via Trojan-Infected {IEEE} 1687 Test Infrastructure}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {539--543}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00068}, doi = {10.1109/ITC50671.2022.00068}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PortolanPNFS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RajskiTTW22, author = {Janusz Rajski and Maciej Trawka and Jerzy Tyszer and Bartosz Wlodarczak}, title = {Hardware Root of Trust for SSN-basedDFT Ecosystems}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {479--483}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00056}, doi = {10.1109/ITC50671.2022.00056}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RajskiTTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SaxenaL22, author = {Nirmal R. Saxena and Atieh Lotfi}, title = {Error Model {(EM)} - {A} New Way of Doing Fault Simulation}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {324--333}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00040}, doi = {10.1109/ITC50671.2022.00040}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SaxenaL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShiLKWWH022, author = {Zhengyuan Shi and Min Li and Sadaf Khan and Liuzheng Wang and Naixing Wang and Yu Huang and Qiang Xu}, title = {DeepTPI: Test Point Insertion with Deep Reinforcement Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {194--203}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00027}, doi = {10.1109/ITC50671.2022.00027}, timestamp = {Sun, 05 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShiLKWWH022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Singh22, author = {Adit D. Singh}, title = {Understanding Vmin Failures for Improved Testing of Timing Marginalities}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {372--381}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00046}, doi = {10.1109/ITC50671.2022.00046}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Singh22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SinghFBBGJH22, author = {Abhairaj Singh and Moritz Fieback and Rajendra Bishnoi and Filip Bradaric and Anteneh Gebregiorgis and Rajiv V. Joshi and Said Hamdioui}, title = {Accelerating {RRAM} Testing with a Low-cost Computation-in-Memory based {DFT}}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {400--409}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00085}, doi = {10.1109/ITC50671.2022.00085}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SinghFBBGJH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SinhaCER22, author = {Arani Sinha and Yonsang Cho and Jon Easter and Meizel V. Leiva Rojas}, title = {Multi-die Parallel Test Fabric for Scalability and Pattern Reusability}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {249--257}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00033}, doi = {10.1109/ITC50671.2022.00033}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SinhaCER22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SinhabahuLLWW22, author = {Nadun Sinhabahu and Katherine Shu{-}Min Li and Jian{-}De Li and J. R. Wang and Sying{-}Jyan Wang}, title = {Yield-Enhanced Probe Head Cleaning with AI-Driven Image and Signal Integrity Pattern Recognition for Wafer Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {554--558}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00071}, doi = {10.1109/ITC50671.2022.00071}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SinhabahuLLWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SohH22, author = {Weng Joe Soh and Chen He}, title = {Enhanced Data Pattern to Detect Defects in Flash Memory Address Decoder}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {544--548}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00069}, doi = {10.1109/ITC50671.2022.00069}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SohH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Srimani022, author = {Supriyo Srimani and Hafizur Rahaman}, title = {Testing of Analog Circuits using Statistical and Machine Learning Techniques}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {619--626}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00087}, doi = {10.1109/ITC50671.2022.00087}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Srimani022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SrivastavaA22, author = {Ankush Srivastava and Jais Abraham}, title = {Low Capture Power At-Speed Test with Local Hot Spot Analysis to Reduce Over-Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {446--455}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00052}, doi = {10.1109/ITC50671.2022.00052}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SrivastavaA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/StaudtEWPC22, author = {Hans Martin von Staudt and Luai Tarek Elnawawy and Sarah Wang and Larry Ping and Jung Woo Choi}, title = {Probeless DfT Scheme for Testing 20k I/Os of an Automotive Micro-LED Headlamp Driver {IC}}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {365--371}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00045}, doi = {10.1109/ITC50671.2022.00045}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/StaudtEWPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/StaudtSBCHC22, author = {Hans Martin von Staudt and Franz Schuler and Rohitaswa Bhattacharya and Justin Wei{-}Lin Cheng and Cheng{-}Da Huang and Parker Chih{-}Chun Chen}, title = {High-Coverage DfT and Reliability Enhancements for Automotive Floating Gate {OTP} Beyond {AEC-Q100}}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {637--641}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00088}, doi = {10.1109/ITC50671.2022.00088}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/StaudtSBCHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/StellariS22, author = {Franco Stellari and Peilin Song}, title = {Reliability Study of 14 nm Scan Chains and Its Application to Hardware Security}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {28--35}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00009}, doi = {10.1109/ITC50671.2022.00009}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/StellariS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TalukdarCBC22, author = {Jonti Talukdar and Arjun Chaudhuri and Mayukh Bhattacharya and Krishnendu Chakrabarty}, title = {Automatic Structural Test Generation for Analog Circuits using Neural Twins}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {145--154}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00022}, doi = {10.1109/ITC50671.2022.00022}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TalukdarCBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Thangamariappan22, author = {Vijayakumar Thangamariappan and Nidhi Agrawal and Jason Kim and Constantinos Xanthopoulos and Ken Butler and Ira Leventhal and Joe Xiao}, title = {Improvements in Automated {IC} Socket Pin Defect Detection}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {568--572}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00074}, doi = {10.1109/ITC50671.2022.00074}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Thangamariappan22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TsaiH22, author = {Chen{-}Lin Tsai and Shi{-}Yu Huang}, title = {Just-Enough Stress Test for Infant-Mortality Screening Using Speed Binning}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {137--144}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00021}, doi = {10.1109/ITC50671.2022.00021}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TsaiH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Uezono0L22, author = {Takumi Uezono and Yi He and Yanjing Li}, title = {Achieving Automotive Safety Requirements through Functional In-Field Self-Test for Deep Learning Accelerators}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {465--473}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00054}, doi = {10.1109/ITC50671.2022.00054}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Uezono0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Wang0WZH22, author = {Xing Wang and Zezhong Wang and Naixing Wang and Weiwei Zhang and Yu Huang}, title = {Compression-Aware {ATPG}}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {108--117}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00018}, doi = {10.1109/ITC50671.2022.00018}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Wang0WZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangLJCC22, author = {Hongfei Wang and Wei Liu and Hai Jin and Yu Chen and Wenjie Cai}, title = {Modeling Challenge Covariances and Design Dependency for Efficient Attacks on Strong PUFs}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00007}, doi = {10.1109/ITC50671.2022.00007}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WangLJCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YangLC22, author = {Dun{-}An Yang and Jing{-}Jia Liou and Harry H. Chen}, title = {Transient Fault Pruning for Effective Candidate Reduction in Functional Debugging}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {73--81}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00014}, doi = {10.1109/ITC50671.2022.00014}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YangLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YangZW22, author = {Min Jian Yang and Yueling Zeng and Li{-}C. Wang}, title = {Language Driven Analytics for Failure Pattern Feedforward and Feedback}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {288--297}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00037}, doi = {10.1109/ITC50671.2022.00037}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YangZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YunLYGGLNPSTYG22, author = {Feng Yun and Yunkun Lin and Lou Yunfei and Lei Gao and Vaibhav Gera and Boxuan Li and Vennela Chowdary Nekkanti and Aditya Rajendra Pharande and Kunal Sheth and Meghana Thommondru and Guizhong Ye and Sandeep Gupta}, title = {Fault-coverage Maximizing March Tests for Memory Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {529--533}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00066}, doi = {10.1109/ITC50671.2022.00066}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/YunLYGGLNPSTYG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZadeganZPL22, author = {Farrokh Ghani Zadegan and Zilin Zhang and Kim Peters{\'{e}}n and Erik Larsson}, title = {Reusing {IEEE} 1687-Compatible Instruments and Sub-Networks over a System Bus}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {219--228}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00030}, doi = {10.1109/ITC50671.2022.00030}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZadeganZPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZouN22, author = {Wei Zou and Benoit Nadeau{-}Dostie}, title = {Configurable {BISR} Chain For Fast Repair Data Loading}, booktitle = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, pages = {56--62}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022.00012}, doi = {10.1109/ITC50671.2022.00012}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZouN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2022, title = {{IEEE} International Test Conference, {ITC} 2022, Anaheim, CA, USA, September 23-30, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ITC50671.2022}, doi = {10.1109/ITC50671.2022}, isbn = {978-1-6654-6270-9}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AhmedSI21, author = {Foisal Ahmed and Michihiro Shintani and Michiko Inoue}, title = {Study on High-Accuracy and Low-Cost Recycled {FPGA} Detection}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {133--142}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00021}, doi = {10.1109/ITC50571.2021.00021}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AhmedSI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AmarnathMC21, author = {Chandramouli N. Amarnath and Md Imran Momtaz and Abhijit Chatterjee}, title = {Hierarchical Failure Modeling and Machine Learning Assisted Correction of Electro-Mechanical Subsystem Failures in Autonomous Vehicles}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {389--398}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00055}, doi = {10.1109/ITC50571.2021.00055}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AmarnathMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AvciO21, author = {Muslum Emir Avci and Sule Ozev}, title = {Background Receiver {IQ} Imbalance Correction for in-Field and Post-Production Testing and Calibration}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {380--388}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00054}, doi = {10.1109/ITC50571.2021.00054}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AvciO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BartschWKSK21, author = {Christian Bartsch and Stephan Wilhelm and Daniel K{\"{a}}stner and Dominik Stoffel and Wolfgang Kunz}, title = {Compositional Fault Propagation Analysis in Embedded Systems using Abstract Interpretation}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {409--418}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00057}, doi = {10.1109/ITC50571.2021.00057}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BartschWKSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChaudhuriCTMDC21, author = {Arjun Chaudhuri and Ching{-}Yuan Chen and Jonti Talukdar and Siddarth Madala and Abhishek Kumar Dubey and Krishnendu Chakrabarty}, title = {Efficient Fault-Criticality Analysis for {AI} Accelerators using a Neural Twin\({}^{\mbox{{\({_\ast}\)}}}\)}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {73--82}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00015}, doi = {10.1109/ITC50571.2021.00015}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChaudhuriCTMDC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenC21, author = {Ching{-}Yuan Chen and Krishnendu Chakrabarty}, title = {On-line Functional Testing of Memristor-mapped Deep Neural Networks using Backdoored Checksums}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {83--92}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00016}, doi = {10.1109/ITC50571.2021.00016}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenCYC21, author = {Yongliang Chen and Xiaole Cui and Wenqiang Ye and Xiaoxin Cui}, title = {The Security Enhancement Techniques of the Double-layer {PUF} Against the ANN-based Modeling Attack}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {63--72}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00014}, doi = {10.1109/ITC50571.2021.00014}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenLJWHCLH21, author = {Leon Li{-}Yang Chen and Katherine Shu{-}Min Li and Xu{-}Hao Jiang and Sying{-}Jyan Wang and Andrew Yi{-}Ann Huang and Jwu E. Chen and Hsing{-}Chung Liang and Chun{-}Lung Hsu}, title = {Semi-Supervised Framework for Wafer Defect Pattern Recognition with Enhanced Labeling}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {208--212}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00029}, doi = {10.1109/ITC50571.2021.00029}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChenLJWHCLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CorsoRATL21, author = {Jorge Corso and Saidapet Ramesh and Kumar Abishek and Ley Teng Tan and Chik Hooi Lew}, title = {Multi-Transition Fault Model {(MTFM)} {ATPG} patterns towards achieving 0 {DPPB} on automotive designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {278--283}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00037}, doi = {10.1109/ITC50571.2021.00037}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CorsoRATL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DuvalsaintB21, author = {Danielle Duvalsaint and R. D. Shawn Blanton}, title = {Characterizing Corruptibility of Logic Locks using {ATPG}}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {213--222}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00030}, doi = {10.1109/ITC50571.2021.00030}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DuvalsaintB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EggersglussMRT21, author = {Stephan Eggersgl{\"{u}}{\ss} and Sylwester Milewski and Janusz Rajski and Jerzy Tyszer}, title = {On Reduction of Deterministic Test Pattern Sets}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {260--267}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00035}, doi = {10.1109/ITC50571.2021.00035}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/EggersglussMRT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FarayolaBCOS0C21, author = {Praise O. Farayola and Isaac Bruce and Shravan K. Chaganti and Abdullah O. Obaidi and Abalhassan Sheikh and Srivaths Ravi and Degang Chen}, title = {Systematic Hardware Error Identification and Calibration for Massive Multisite Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {304--308}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00042}, doi = {10.1109/ITC50571.2021.00042}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/FarayolaBCOS0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GensslerA21, author = {Paul R. Genssler and Hussam Amrouch}, title = {Brain-Inspired Computing for Wafer Map Defect Pattern Classification}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {123--132}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00020}, doi = {10.1109/ITC50571.2021.00020}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GensslerA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HeUL21, author = {Yi He and Takumi Uezono and Yanjing Li}, title = {Efficient Functional In-Field Self-Test for Deep Learning Accelerators}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {93--102}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00017}, doi = {10.1109/ITC50571.2021.00017}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HeUL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuH021, author = {Hanbin Hu and Chen He and Peng Li}, title = {Semi-supervised Wafer Map Pattern Recognition using Domain-Specific Data Augmentation and Contrastive Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {113--122}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00019}, doi = {10.1109/ITC50571.2021.00019}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HuH021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangQXCJDLH21, author = {Xin Huang and Min Qin and Ruosheng Xu and Cheng Chen and Shangling Jui and Zhihao Ding and Pengyun Li and Yu Huang}, title = {Adaptive NN-based Root Cause Analysis in Volume Diagnosis for Yield Improvement}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {30--36}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00010}, doi = {10.1109/ITC50571.2021.00010}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangQXCJDLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IimoriNKOHKSIOI21, author = {Daisuke Iimori and Takayuki Nakatani and Shogo Katayama and Gaku Ogihara and Akemi Hatta and Anna Kuwana and Keno Sato and Takashi Ishida and Toshiyuki Okamoto and Tamotsu Ichikawa and Jianglin Wei and Yujie Zhao and Minh Tri Tran and Kazumi Hatayama and Haruo Kobayashi}, title = {Summing Node and False Summing Node Methods: Accurate Operational Amplifier {AC} Characteristics Testing without Audio Analyzer}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {364--373}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00052}, doi = {10.1109/ITC50571.2021.00052}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/IimoriNKOHKSIOI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KilianATHS21, author = {Tobias Kilian and Heiko Ahrens and Daniel Tille and Martin Huch and Ulf Schlichtmann}, title = {A Scalable Design Flow for Performance Monitors Using Functional Path Ring Oscillators}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {299--303}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00041}, doi = {10.1109/ITC50571.2021.00041}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KilianATHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KlemmeA21, author = {Florian Klemme and Hussam Amrouch}, title = {Machine Learning for Circuit Aging Estimation under Workload Dependency}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {37--46}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00011}, doi = {10.1109/ITC50571.2021.00011}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/KlemmeA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KuoLCHLFH21, author = {Yen{-}Ting Kuo and Wei{-}Chen Lin and Chun Chen and Chao{-}Ho Hsieh and James Chien{-}Mo Li and Eric Jia{-}Wei Fang and Sung S.{-}Y. Hsueh}, title = {Minimum Operating Voltage Prediction in Production Test Using Accumulative Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {47--52}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00012}, doi = {10.1109/ITC50571.2021.00012}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KuoLCHLFH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LarssonMZ21, author = {Erik Larsson and Prathamesh Murali and Ziling Zhang}, title = {Accessing general {IEEE} Std. 1687 networks via functional ports}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {354--363}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00051}, doi = {10.1109/ITC50571.2021.00051}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LarssonMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeKPRCY21, author = {Seongkwan Lee and Minho Kang and Cheolmin Park and HyungSun Ryu and Jaemoo Choi and Byunghyun Yim}, title = {3.5Gsps {MIPI} {C-PHY} Receiver Circuit for Automatic Test Equipment}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {294--298}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00040}, doi = {10.1109/ITC50571.2021.00040}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeeKPRCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LefevreDGV21, author = {J. Lefevre and Philippe Debaud and Patrick Girard and Arnaud Virazel}, title = {A Fast and Low Cost Embedded Test Solution for {CMOS} Image Sensors}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00007}, doi = {10.1109/ITC50571.2021.00007}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LefevreDGV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiSWZHX21, author = {Min Li and Zhengyuan Shi and Zezhong Wang and Weiwei Zhang and Yu Huang and Qiang Xu}, title = {Testability-Aware Low Power Controller Design with Evolutionary Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {324--328}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00046}, doi = {10.1109/ITC50571.2021.00046}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiSWZHX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiaoLCWHCTC21, author = {Peter Yi{-}Yu Liao and Katherine Shu{-}Min Li and Leon Li{-}Yang Chen and Sying{-}Jyan Wang and Andrew Yi{-}Ann Huang and Ken Chau{-}Cheung Cheng and Nova Cheng{-}Yen Tsai and Leon Chou}, title = {WGrid: Wafermap Grid Pattern Recognition with Machine Learning Techniques}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {309--313}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00043}, doi = {10.1109/ITC50571.2021.00043}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LiaoLCWHCTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuC21, author = {Mengyun Liu and Krishnendu Chakrabarty}, title = {Adaptive Methods for Machine Learning-Based Testing of Integrated Circuits and Boards}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {153--162}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00023}, doi = {10.1109/ITC50571.2021.00023}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuO21, author = {Chenwei Liu and Jie Ou}, title = {Smart Sampling for Efficient System Level Test: {A} Robust Machine Learning Approach}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {53--62}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00013}, doi = {10.1109/ITC50571.2021.00013}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuT21, author = {Chenwei Liu and Qiaoyue Tang}, title = {Triplet Convolutional Networks for Classifying Mixed-Type {WBM} Patterns with Noisy Labels}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {200--207}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00028}, doi = {10.1109/ITC50571.2021.00028}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LylinaWW21, author = {Natalia Lylina and Chih{-}Hao Wang and Hans{-}Joachim Wunderlich}, title = {Testability-Enhancing Resynthesis of Reconfigurable Scan Networks}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {20--29}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00009}, doi = {10.1109/ITC50571.2021.00009}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LylinaWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MayahiniaMT21, author = {Mahta Mayahinia and Christopher M{\"{u}}nch and Mehdi B. Tahoori}, title = {Analyzing and Mitigating Sensing Failures in Spintronic-based Computing in Memory}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {268--277}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00036}, doi = {10.1109/ITC50571.2021.00036}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MayahiniaMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MayerSBYHDRPD21, author = {Franziska Mayer and Christian Schott and Enrico Billich and Saeid Yazdani and Ulrich Heinkel and Georg Daler and Bernhard Ruf and Ricardo Pannuzzo and Wolfgang Dickenscheid}, title = {Automatic Verification of Mixed-Signal {ATE} Test Programs using Device Variation}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {374--379}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00053}, doi = {10.1109/ITC50571.2021.00053}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MayerSBYHDRPD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MelisSSA21, author = {Tommaso Melis and Emmanuel Simeu and Luc Saury and Etienne Auvray}, title = {Relevant Signals and Devices for Failure Analysis of Analog and Mixed-signal Circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {243--250}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00033}, doi = {10.1109/ITC50571.2021.00033}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MelisSSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MeschkovGKT21, author = {Sergej Meschkov and Dennis R. E. Gnad and Jonas Krautter and Mehdi B. Tahoori}, title = {Is your secure test infrastructure secure enough? : Attacks based on delay test patterns using transient behavior analysis}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {334--338}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00048}, doi = {10.1109/ITC50571.2021.00048}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/MeschkovGKT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NighBB21, author = {Chris Nigh and Gaurav Bhargava and Ronald D. Blanton}, title = {{AAA:} Automated, On-ATE {AI} Debug of Scan Chain Failures}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {314--318}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00044}, doi = {10.1109/ITC50571.2021.00044}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NighBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PortolanRMLN21, author = {Michele Portolan and Vincent Reynaud and Paolo Maistri and R{\'{e}}gis Leveugle and Giorgio Di Natale}, title = {Security {EDA} Extension through {P1687.1} and 1687 Callbacks}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {344--353}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00050}, doi = {10.1109/ITC50571.2021.00050}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PortolanRMLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PrasanthPA21, author = {V. Prasanth and Rubin A. Parekhji and Bharadwaj Amrutur}, title = {Exploiting Application Tolerance for Functional Safety}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {399--408}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00056}, doi = {10.1109/ITC50571.2021.00056}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PrasanthPA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RahmanLGRFT21, author = {M. Sazadur Rahman and Henian Li and Rui Guo and Fahim Rahman and Farimah Farahmandi and Mark M. Tehranipoor}, title = {{LL-ATPG:} Logic-Locking Aware Test Using Valet Keys in an Untrusted Environment}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {180--189}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00026}, doi = {10.1109/ITC50571.2021.00026}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RahmanLGRFT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RasoolzadehS021, author = {Shahram Rasoolzadeh and Aein Rezaei Shahmirzadi and Amir Moradi}, title = {Impeccable Circuits {III}}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {163--169}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00024}, doi = {10.1109/ITC50571.2021.00024}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/RasoolzadehS021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SatoIOIWNZKYKH021, author = {Keno Sato and Takashi Ishida and Toshiyuki Okamoto and Tamotsu Ichikawa and Jianglin Wei and Takayuki Nakatani and Yujie Zhao and Shogo Katayama and Shuhei Yamamoto and Anna Kuwana and Kazumi Hatayama and Haruo Kobayashi}, title = {Revisit to Accurate {ADC} Testing with Incoherent Sampling Using Proper Sinusoidal Signal and Sampling Frequencies}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {284--288}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00038}, doi = {10.1109/ITC50571.2021.00038}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SatoIOIWNZKYKH021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShangSKHK21, author = {Yang Shang and Makoto Shinohara and Eiji Kato and Masaichi Hashimoto and Joanna Kiljan}, title = {Open-short Normalization Method for a Quick Defect Identification in Branched Traces with High-resolution Time-domain Reflectometry}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {233--242}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00032}, doi = {10.1109/ITC50571.2021.00032}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShangSKHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShintaniMINKE21, author = {Michihiro Shintani and Riaz{-}ul{-}haque Mian and Michiko Inoue and Tomoki Nakamura and Masuo Kajiyama and Makoto Eiki}, title = {Wafer-level Variation Modeling for Multi-site {RF} {IC} Testing via Hierarchical Gaussian Process}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {103--112}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00018}, doi = {10.1109/ITC50571.2021.00018}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ShintaniMINKE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SilvaBH021, author = {Felipe Augusto da Silva and Ahmet Cagri Bagbaba and Said Hamdioui and Christian Sauer}, title = {An automated formal-based approach for reducing undetected faults in {ISO} 26262 hardware compliant designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {329--333}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00047}, doi = {10.1109/ITC50571.2021.00047}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SilvaBH021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunJRDNMB21, author = {Yi Sun and Hui Jiang and Lakshmi Ramakrishnan and Jennifer Dworak and Kundan Nepal and Theodore W. Manikas and R. Iris Bahar}, title = {Low Power Shift and Capture through ATPG-Configured Embedded Enable Capture Bits}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {319--323}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00045}, doi = {10.1109/ITC50571.2021.00045}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SunJRDNMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SuparjoCS21, author = {Bambang Suparjo and Jugantor Chetia and Ankit R. Shah}, title = {Seamless Physical Implementation of {ASIC} Hierarchical Integrated Scan Architecture}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {339--343}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00049}, doi = {10.1109/ITC50571.2021.00049}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SuparjoCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TalukdarCDASC21, author = {Jonti Talukdar and Siyuan Chen and Amitabh Das and Sohrab Aftabjahani and Peilin Song and Krishnendu Chakrabarty}, title = {A BIST-based Dynamic Obfuscation Scheme for Resilience against Removal and Oracle-guided Attacks\({}^{\mbox{*}}\)}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {170--179}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00025}, doi = {10.1109/ITC50571.2021.00025}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TalukdarCDASC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TraynorHYK21, author = {Stephen Traynor and Chen He and Y. Y. Yu and Ken Klein}, title = {Adaptive High Voltage Stress Methodology to Enable Automotive Quality on FinFET Technologies}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {289--293}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00039}, doi = {10.1109/ITC50571.2021.00039}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TraynorHYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/VafaeiHTF21, author = {Arash Vafaei and Nick Hooten and Mark M. Tehranipoor and Farimah Farahmandi}, title = {SymbA: Symbolic Execution at C-level for Hardware Trojan Activation}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {223--232}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00031}, doi = {10.1109/ITC50571.2021.00031}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/VafaeiHTF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WuKLNB21, author = {Mu{-}Ting Wu and Cheng{-}Sian Kuo and James Chien{-}Mo Li and Chris Nigh and Gaurav Bhargava}, title = {Improving Volume Diagnosis and Debug with Test Failure Clustering and Reorganization}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {251--259}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00034}, doi = {10.1109/ITC50571.2021.00034}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WuKLNB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WuRTMKH21, author = {Lizhou Wu and Siddharth Rao and Mottaqiallah Taouil and Erik Jan Marinissen and Gouri Sankar Kar and Said Hamdioui}, title = {Testing {STT-MRAM:} Manufacturing Defects, Fault Models, and Test Solutions}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {143--152}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00022}, doi = {10.1109/ITC50571.2021.00022}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/WuRTMKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YangCHLC21, author = {Dun{-}An Yang and Yu{-}Teng Chang and Ting{-}Shuo Hsu and Jing{-}Jia Liou and Harry H. Chen}, title = {ACE-Pro: Reduction of Functional Errors with {ACE} Propagation Graph}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {10--19}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00008}, doi = {10.1109/ITC50571.2021.00008}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YangCHLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZengWS21, author = {Yueling Jenny Zeng and Li{-}C. Wang and Chuanhe Jay Shan}, title = {MINiature Interactive Offset Networks (MINIONs) for Wafer Map Classification}, booktitle = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, pages = {190--199}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021.00027}, doi = {10.1109/ITC50571.2021.00027}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZengWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2021, title = {{IEEE} International Test Conference, {ITC} 2021, Anaheim, CA, USA, October 10-15, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC50571.2021}, doi = {10.1109/ITC50571.2021}, isbn = {978-1-6654-1695-5}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/0001B20, author = {Zeye Liu and R. D. Shawn Blanton}, title = {High Defect-Density Yield Learning using Three-Dimensional Logic Test Chips}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325244}, doi = {10.1109/ITC44778.2020.9325244}, timestamp = {Mon, 25 Jan 2021 08:44:58 +0100}, biburl = {https://dblp.org/rec/conf/itc/0001B20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AgrawalYXTXHSL20, author = {Nidhi Agrawal and Min{-}Jian Yang and Constantinos Xanthopoulos and Vijayakumar Thangamariappan and Joe Xiao and Chee{-}Wah Ho and Keith Schaub and Ira Leventhal}, title = {Automated Socket Anomaly Detection through Deep Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325269}, doi = {10.1109/ITC44778.2020.9325269}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AgrawalYXTXHSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AhnSKSKOK20, author = {Sang{-}Uck Ahn and Beom{-}Kyu Seo and Hyun{-}Woo Kim and Yeoun{-}Sook Shin and Hyung{-}Tae Kim and Ghil{-}Geun Oh and Young{-}Dae Kim}, title = {Cost-Effective Test Method for screening out Unexpected Failure in High Speed Serial Interface IPs}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325235}, doi = {10.1109/ITC44778.2020.9325235}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AhnSKSKOK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AvciO20, author = {Muslum Emir Avci and Sule Ozev}, title = {Design Optimization for N-port {RF} Network Reflectometers under Noise and Gain Imperfections}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325256}, doi = {10.1109/ITC44778.2020.9325256}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AvciO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AzimiS20, author = {Sarah Azimi and Luca Sterpone}, title = {Digital Design Techniques for Dependable High Performance Computing}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325281}, doi = {10.1109/ITC44778.2020.9325281}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/AzimiS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BabyBEPMGCDKS20, author = {Manu Baby and Bernd B{\"{u}}ttner and Piet Engelke and Ulrike Pfannkuchen and Reinhard Meier and Jonathan Gaudet and Jean{-}Fran{\c{c}}ois C{\^{o}}t{\'{e}} and Givargis Danialy and Martin Keim and Lori Schramm}, title = {{IJTAG} Through a Two-Pin Chip Interface}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325232}, doi = {10.1109/ITC44778.2020.9325232}, timestamp = {Tue, 13 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/BabyBEPMGCDKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BoschiSGKH20, author = {Gabriele Boschi and Elisa Spano and Hayk T. Grigoryan and Arun Kumar and Gurgen Harutyunyan}, title = {Die-to-Die Testing and {ECC} Error Mitigation in Automotive and Industrial Safety Applications}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325242}, doi = {10.1109/ITC44778.2020.9325242}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BoschiSGKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BramleyHDSR20, author = {Richard Bramley and Yanxiang Huang and Guangshan Duan and Nirmal R. Saxena and Paul Racunas}, title = {On the Measurement of Safe Fault Failure Rates in High-Performance Compute Processors}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325239}, doi = {10.1109/ITC44778.2020.9325239}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BramleyHDSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BrauchlerD20, author = {David Brauchler and Jennifer Dworak}, title = {Multi-Level Access Protection for Future {IEEE} {P1687.1} {IJTAG} Networks}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325276}, doi = {10.1109/ITC44778.2020.9325276}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BrauchlerD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CantoroFSRAP20, author = {Riccardo Cantoro and Dario Foti and Sandro Sartoni and Matteo Sonza Reorda and Lorena Anghel and Michele Portolan}, title = {New Perspectives on Core In-field Path Delay Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325260}, doi = {10.1109/ITC44778.2020.9325260}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/CantoroFSRAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CantoroHKMSS20, author = {Riccardo Cantoro and Martin Huch and Tobias Kilian and Raffaele Martone and Ulf Schlichtmann and Giovanni Squillero}, title = {Machine Learning based Performance Prediction of Microcontrollers using Speed Monitors}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325253}, doi = {10.1109/ITC44778.2020.9325253}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CantoroHKMSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CasarsaHZ20, author = {M. Casarsa and Gurgen Harutyunyan and Yervant Zorian}, title = {Test and Diagnosis Solution for Functional Safety}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325275}, doi = {10.1109/ITC44778.2020.9325275}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CasarsaHZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChaudhuriTSC20, author = {Arjun Chaudhuri and Jonti Talukdar and Fei Su and Krishnendu Chakrabarty}, title = {Functional Criticality Classification of Structural Faults in {AI} Accelerators}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325272}, doi = {10.1109/ITC44778.2020.9325272}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChaudhuriTSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenH20, author = {Jun Chen and Masanori Hashimoto}, title = {Proactive Supply Noise Mitigation with Low-Latency Minor Voltage Regulator and Lightweight Current Prediction}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325257}, doi = {10.1109/ITC44778.2020.9325257}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenHH20, author = {Wei{-}Hao Chen and Chu{-}Chun Hsu and Shi{-}Yu Huang}, title = {Rapid {PLL} Monitoring By {A} Novel min-MAX Time-to-Digital Converter}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325217}, doi = {10.1109/ITC44778.2020.9325217}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenJSCN20, author = {Siyuan Chen and Jinwook Jung and Peilin Song and Krishnendu Chakrabarty and Gi{-}Joon Nam}, title = {BISTLock: Efficient {IP} Piracy Protection using {BIST}}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325210}, doi = {10.1109/ITC44778.2020.9325210}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenJSCN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenLCWHCTL20, author = {Leon Li{-}Yang Chen and Katherine Shu{-}Min Li and Ken Chau{-}Cheung Cheng and Sying{-}Jyan Wang and Andrew Yi{-}Ann Huang and Leon Chou and Nova Cheng{-}Yen Tsai and Chen{-}Shiun Lee}, title = {TestDNA-E: Wafer Defect Signature for Pattern Recognition by Ensemble Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325237}, doi = {10.1109/ITC44778.2020.9325237}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChenLCWHCTL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChowdhuryABFHDF20, author = {Sreeja Chowdhury and Rabin Yu Acharya and William Boullion and Andrew Felder and Mark Howard and Jia Di and Domenic Forte}, title = {A Weak Asynchronous RESet {(ARES)} {PUF} Using Start-up Characteristics of Null Conventional Logic Gates}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325278}, doi = {10.1109/ITC44778.2020.9325278}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChowdhuryABFHDF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChuangHWLTCW20, author = {Chien{-}Hui Chuang and Kuan{-}Wei Hou and Cheng{-}Wen Wu and Mincent Lee and Chia{-}Heng Tsai and Hao Chen and Min{-}Jer Wang}, title = {A Deep Learning-Based Screening Method for Improving the Quality and Reliability of Integrated Passive Devices}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325221}, doi = {10.1109/ITC44778.2020.9325221}, timestamp = {Wed, 26 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChuangHWLTCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CoteKJRMKOERCMY20, author = {Jean{-}Fran{\c{c}}ois C{\^{o}}t{\'{e}} and Mark Kassab and Wojciech Janiszewski and Ricardo Rodrigues and Reinhard Meier and Bartosz Kaczmarek and Peter Orlando and Geir Eide and Janusz Rajski and Glenn Col{\'{o}}n{-}Bonet and Naveen Mysore and Ya Yin and Pankaj Pant}, title = {Streaming Scan Network {(SSN):} An Efficient Packetized Data Network for Testing of Complex SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325233}, doi = {10.1109/ITC44778.2020.9325233}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CoteKJRMKOERCMY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FrederiksenAH20, author = {Steven J. Frederiksen and John Aromando and Michael S. Hsiao}, title = {Automated Assertion Generation from Natural Language Specifications}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325264}, doi = {10.1109/ITC44778.2020.9325264}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FrederiksenAH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GaoJ20, author = {Wei Gao and Tao Jing}, title = {Modeling Accuracy of Wideband Power Amplifiers with Memory effects via Measurements}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325245}, doi = {10.1109/ITC44778.2020.9325245}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GaoJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GeurkovK20, author = {Vadim Geurkov and Lev Kirischian}, title = {A Unified Method of Designing Signature Analyzers for Digital and Mixed-Signal Circuits Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325274}, doi = {10.1109/ITC44778.2020.9325274}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GeurkovK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GuazzelliF20, author = {Ricardo Aquino Guazzelli and Laurent Fesquet}, title = {At-speed DfT Architecture for Bundled-data Design}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325261}, doi = {10.1109/ITC44778.2020.9325261}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GuazzelliF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HeTBS20, author = {Chen He and Stephen Traynor and Gayathri Bhagavatheeswaran and Hector Sanchez}, title = {Stress, Test, and Simulation of Analog IOs on Automotive ICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325224}, doi = {10.1109/ITC44778.2020.9325224}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HeTBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HeY20, author = {Chen He and Yanyao Yu}, title = {Wafer Level Stress: Enabling Zero Defect Quality for Automotive Microcontrollers without Package Burn-In}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325213}, doi = {10.1109/ITC44778.2020.9325213}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HeY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HolstKSRHWW20, author = {Stefan Holst and Matthias Kampmann and Alexander Sprenger and Jan Dennis Reimer and Sybille Hellebrand and Hans{-}Joachim Wunderlich and Xiaoqing Wen}, title = {Logic Fault Diagnosis of Hidden Delay Defects}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325234}, doi = {10.1109/ITC44778.2020.9325234}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HolstKSRHWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuNH020, author = {Hanbin Hu and Nguyen Nguyen and Chen He and Peng Li}, title = {Advanced Outlier Detection Using Unsupervised Learning for Screening Potential Customer Returns}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325225}, doi = {10.1109/ITC44778.2020.9325225}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HuNH020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangFB20, author = {Qicheng Huang and Chenlei Fang and R. D. Shawn Blanton}, title = {{LAIDAR:} Learning for Accuracy and Ideal Diagnostic Resolution}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325212}, doi = {10.1109/ITC44778.2020.9325212}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangFB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangFB20a, author = {Qicheng Huang and Chenlei Fang and R. D. Shawn Blanton}, title = {Knowledge Transfer for Diagnosis Outcome Preview with Limited Data}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325214}, doi = {10.1109/ITC44778.2020.9325214}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangFB20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ItsujiUTIH20, author = {Hiroaki Itsuji and Takumi Uezono and Tadanobu Toba and Kojiro Ito and Masanori Hashimoto}, title = {Concurrent Detection of Failures in {GPU} Control Logic for Reliable Parallel Computing}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325216}, doi = {10.1109/ITC44778.2020.9325216}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ItsujiUTIH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KalyanamMSA20, author = {Vijay Kiran Kalyanam and Eric Mahurin and Michael Spence and Jacob A. Abraham}, title = {Functional Test Sequences for Inducing Voltage Droops in a Multi-Threaded Processor}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325271}, doi = {10.1109/ITC44778.2020.9325271}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KalyanamMSA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KarmakarC20, author = {Rajit Karmakar and Santanu Chattopadhyay}, title = {Hardware {IP} Protection Using Logic Encryption and Watermarking}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325223}, doi = {10.1109/ITC44778.2020.9325223}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/KarmakarC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KhanG20, author = {Mohammad Nasim Imtiaz Khan and Swaroop Ghosh}, title = {Assuring Security and Reliability of Emerging Non-Volatile Memories}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325231}, doi = {10.1109/ITC44778.2020.9325231}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KhanG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KomarrajuC20, author = {Suhasini Komarraju and Abhijit Chatterjee}, title = {Fast {EVM} Tuning of {MIMO} Wireless Systems Using Collaborative Parallel Testing and Implicit Reward Driven Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325270}, doi = {10.1109/ITC44778.2020.9325270}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/KomarrajuC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KroegerCGDK20, author = {Trevor Kroeger and Wei Cheng and Sylvain Guilley and Jean{-}Luc Danger and Naghmeh Karimi}, title = {Cross-PUF Attacks on Arbiter-PUFs through their Power Side-Channel}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325241}, doi = {10.1109/ITC44778.2020.9325241}, timestamp = {Fri, 11 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KroegerCGDK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ladnushkin20, author = {Maxim Ladnushkin}, title = {Flip-flops fanout splitting in scan designs}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325247}, doi = {10.1109/ITC44778.2020.9325247}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Ladnushkin20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LaisneCPKSATR20, author = {Mike Laisne and Alfred L. Crouch and Michele Portolan and Martin Keim and Hans Martin von Staudt and M. Abdalwahab and Bradford G. Van Treuren and Jeff Rearick}, title = {Modeling Novel Non-JTAG {IEEE} 1687-Like Architectures}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325248}, doi = {10.1109/ITC44778.2020.9325248}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LaisneCPKSATR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeCKKLJ20, author = {Hayoung Lee and Keewon Cho and Sungho Kang and Wooheon Kang and Seungtaek Lee and Woosik Jeong}, title = {Fail Memory Configuration Set for {RA} Estimation}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325273}, doi = {10.1109/ITC44778.2020.9325273}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeeCKKLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiWG20, author = {Mingye Li and Fangzhou Wang and Sandeep Gupta}, title = {Data-driven fault model development for superconducting logic}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325220}, doi = {10.1109/ITC44778.2020.9325220}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LiWG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuC20, author = {Mengyun Liu and Krishnendu Chakrabarty}, title = {Online Fault Detection in ReRAM-Based Computing Systems by Monitoring Dynamic Power Consumption}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325259}, doi = {10.1109/ITC44778.2020.9325259}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuMM0RTW20, author = {Yingdi Liu and Sylwester Milewski and Grzegorz Mrugalski and Nilanjan Mukherjee and Janusz Rajski and Jerzy Tyszer and Bartosz Wldarczak}, title = {X-Tolerant Tunable Compactor for In-System Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325266}, doi = {10.1109/ITC44778.2020.9325266}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuMM0RTW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LylinaAWW20, author = {Natalia Lylina and Ahmed Atteya and Chih{-}Hao Wang and Hans{-}Joachim Wunderlich}, title = {Security Preserving Integration and Resynthesis of Reconfigurable Scan Networks}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325227}, doi = {10.1109/ITC44778.2020.9325227}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LylinaAWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MaLQHWMSKHY20, author = {Haiying Ma and Ligang Lu and Haitao Qian and Jing Han and Xin Wen and Fanjin Meng and Rahul Singhal and Martin Keim and Yu Huang and Wu Yang}, title = {Fast Bring-Up of an {AI} SoC through {IEEE} 1687 Integrating Embedded TAPs and {IEEE} 1500 Interfaces}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325251}, doi = {10.1109/ITC44778.2020.9325251}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/MaLQHWMSKHY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MhamdiGVBL20, author = {Safa Mhamdi and Patrick Girard and Arnaud Virazel and Alberto Bosio and Aymen Ladhar}, title = {A Learning-Based Cell-Aware Diagnosis Flow for Industrial Customer Returns}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325246}, doi = {10.1109/ITC44778.2020.9325246}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MhamdiGVBL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MomtazAC20, author = {Md Imran Momtaz and Chandramouli N. Amarnath and Abhijit Chatterjee}, title = {Concurrent Error Detection in Embedded Digital Control of Nonlinear Autonomous Systems Using Adaptive State Space Checks}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325229}, doi = {10.1109/ITC44778.2020.9325229}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MomtazAC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Nadeau-DostieR20, author = {Benoit Nadeau{-}Dostie and Luc Romain}, title = {Memory repair logic sharing techniques and their impact on yield}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325280}, doi = {10.1109/ITC44778.2020.9325280}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Nadeau-DostieR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NandakumarCMCER20, author = {Bharath Nandakumar and Sameer Chillarige and Anil Malik and Atul Chabbra and Nicholai L'Esperance and Robert Redburn}, title = {Improved Chain Diagnosis Methodology for Clock and Control Signal Defect Identification}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325236}, doi = {10.1109/ITC44778.2020.9325236}, timestamp = {Fri, 14 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NandakumarCMCER20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OhHGLJ20, author = {Youngsu Oh and Dongmin Han and Byeongseon Go and Seungtaek Lee and Woosik Jeong}, title = {Novel Eye Diagram Estimation Technique to Assess Signal Integrity in High-Speed Memory Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325279}, doi = {10.1109/ITC44778.2020.9325279}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/OhHGLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PanZLCG20, author = {Renjian Pan and Zhaobo Zhang and Xin Li and Krishnendu Chakrabarty and Xinli Gu}, title = {Unsupervised Root-Cause Analysis for Integrated Systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325268}, doi = {10.1109/ITC44778.2020.9325268}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PanZLCG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PandeyLNGNSSC20, author = {Sujay Pandey and Zhiwei Liao and Shreyas Nandi and Sanya Gupta and Suriyaprakash Natarajan and Arani Sinha and Adit D. Singh and Abhijit Chatterjee}, title = {{SAT-ATPG} Generated Multi-Pattern Scan Tests for Cell Internal Defects: Coverage Analysis for Resistive Opens and Shorts}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325240}, doi = {10.1109/ITC44778.2020.9325240}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PandeyLNGNSSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PengHPCFTSY20, author = {Hanson Peng and Mao{-}Yuan Hsia and Man{-}Ting Pang and I.{-}Y. Chang and Jeff Fan and Huaxing Tang and Manish Sharma and Wu Yang}, title = {Using Volume Cell-aware Diagnosis Results to Improve Physical Failure Analysis Efficiency}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325262}, doi = {10.1109/ITC44778.2020.9325262}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PengHPCFTSY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pomeranz20, author = {Irith Pomeranz}, title = {Selecting Close-to-Functional Path Delay Faults for Test Generation}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325255}, doi = {10.1109/ITC44778.2020.9325255}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pomeranz20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RamanLMNY20, author = {Srikanth Venkataraman and Pongpachara Limpisathian and Pascal Meinerzhagen and Suriyaprakash Natarajan and Eric Yang}, title = {Automating Design For Yield: Silicon Learning to Predictive Models and Design Optimization}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325263}, doi = {10.1109/ITC44778.2020.9325263}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/RamanLMNY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RoyMA20, author = {Soham Roy and Spencer K. Millican and Vishwani D. Agrawal}, title = {Machine Intelligence for Efficient Test Pattern Generation}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325250}, doi = {10.1109/ITC44778.2020.9325250}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RoyMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SagirkayaD20, author = {H{\"{u}}seyin Sagirkaya and G{\"{o}}khan Durgun}, title = {Avionics Simulation Environment}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325215}, doi = {10.1109/ITC44778.2020.9325215}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SagirkayaD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SaikiranGC20, author = {Marampally Saikiran and Mona Ganji and Degang Chen}, title = {Robust DfT Techniques for Built-in Fault Detection in Operational Amplifiers with High Coverage}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325226}, doi = {10.1109/ITC44778.2020.9325226}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SaikiranGC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Sanabria-Borbon20, author = {Adriana C. Sanabria{-}Borbon and Nithyashankari Gummidipoondi Jayasankaran and S. Y. Lee and Edgar S{\'{a}}nchez{-}Sinencio and Jiang Hu and Jeyavijayan (JV) Rajendran}, title = {Schmitt Trigger-Based Key Provisioning for Locking Analog/RF Integrated Circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325209}, doi = {10.1109/ITC44778.2020.9325209}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Sanabria-Borbon20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Sanchez-Martinez20, author = {Cesar A. S{\'{a}}nchez{-}Mart{\'{\i}}nez and Paulo L{\'{o}}pez{-}Meyer and Esdras Ju{\'{a}}rez{-}Hern{\'{a}}ndez and Aaron Desiga{-}Orenday and Andr{\'{e}}s Viveros{-}Wacher}, title = {High Speed Serial Links Risk Assessment in Industrial Post-Silicon Validation Exploiting Machine Learning Techniques}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325238}, doi = {10.1109/ITC44778.2020.9325238}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Sanchez-Martinez20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SchvittzBR20, author = {Rafael B. Schvittz and Paulo F. Butzen and Leomar S. da Rosa}, title = {Methods for Susceptibility Analysis of Logic Gates in the Presence of Single Event Transients}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325252}, doi = {10.1109/ITC44778.2020.9325252}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SchvittzBR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShpiroWTZL20, author = {Uri Shpiro and Khen Wee and Kun{-}Han Tsai and Justyna Zawada and Xijiang Lin}, title = {Test Challenges of Intel {IA} Cores}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325265}, doi = {10.1109/ITC44778.2020.9325265}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShpiroWTZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/StaudtBRL20, author = {Hans Martin von Staudt and Mohamed Anas Benhebibi and Jeff Rearick and Michael Laisne}, title = {Industrial Application of {IJTAG} Standards to the Test of Big-A/little-d devices}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325267}, doi = {10.1109/ITC44778.2020.9325267}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/StaudtBRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SternMTFT20, author = {Andrew Stern and Dhwani Mehta and Shahin Tajik and Farimah Farahmandi and Mark M. Tehranipoor}, title = {{SPARTA:} {A} Laser Probing Approach for Trojan Detection}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325222}, doi = {10.1109/ITC44778.2020.9325222}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SternMTFT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SuGZ20, author = {Fei Su and Prashant Goteti and Min Zhang}, title = {Unleashing the Power of Anomaly Data for Soft Failure Predictive Analytics}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325243}, doi = {10.1109/ITC44778.2020.9325243}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SuGZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunterWCVDXGG20, author = {Stephen Sunter and Michal Wolinski and Anthony Coyette and Ronny Vanhooren and Wim Dobbelaere and Nektar Xama and Jhon Gomez and Georges G. E. Gielen}, title = {Quick Analyses for Improving Reliability and Functional Safety of Mixed-Signal ICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325230}, doi = {10.1109/ITC44778.2020.9325230}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SunterWCVDXGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Thornton20, author = {Mitchell A. Thornton}, title = {Introduction to Quantum Computation Reliability}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325277}, doi = {10.1109/ITC44778.2020.9325277}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Thornton20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TsaiYLZ0CRKRWZ20, author = {Fong{-}Jyun Tsai and Chong{-}Siao Ye and Kuen{-}Jong Lee and Shi{-}Xuan Zheng and Yu Huang and Wu{-}Tung Cheng and Sudhakar M. Reddy and Mark Kassab and Janusz Rajski and Chen Wang and Justyna Zawada}, title = {Prediction of Test Pattern Count and Test Data Volume for Scan Architectures under Different Input Channel Configurations}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325219}, doi = {10.1109/ITC44778.2020.9325219}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TsaiYLZ0CRKRWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WuHLL20, author = {Chen{-}Hung Wu and Cheng{-}Yun Hsieh and Jiun{-}Yun Li and James Chien{-}Mo Li}, title = {qATG: Automatic Test Generation for Quantum Circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325228}, doi = {10.1109/ITC44778.2020.9325228}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WuHLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WuRTMKH20, author = {Lizhou Wu and Siddharth Rao and Mottaqiallah Taouil and Erik Jan Marinissen and Gouri Sankar Kar and Said Hamdioui}, title = {Characterization, Modeling and Test of Synthetic Anti-Ferromagnet Flip Defect in STT-MRAMs}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325258}, doi = {10.1109/ITC44778.2020.9325258}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/WuRTMKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YunNKSDBG20, author = {Jongsin Yun and Benoit Nadeau{-}Dostie and Martin Keim and Lori Schramm and Cyrille Dray and El Mehdi Boujamaa and Khushal Gelda}, title = {{MBIST} Supported Multi Step Trim for Reliable eMRAM Sensing}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325218}, doi = {10.1109/ITC44778.2020.9325218}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YunNKSDBG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZahidGFBV20, author = {Ussama Zahid and Giulio Gambardella and Nicholas J. Fraser and Michaela Blott and Kees A. Vissers}, title = {{FAT:} Training Neural Networks for Reliable Inference Under Hardware Faults}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325249}, doi = {10.1109/ITC44778.2020.9325249}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZahidGFBV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZengWSS20, author = {Yueling Jenny Zeng and Li{-}C. Wang and Chuanhe Jay Shan and Nik Sumikawa}, title = {Learning {A} Wafer Feature With One Training Sample}, booktitle = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, pages = {1--10}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020.9325254}, doi = {10.1109/ITC44778.2020.9325254}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZengWSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2020, title = {{IEEE} International Test Conference, {ITC} 2020, Washington, DC, USA, November 1-6, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ITC44778.2020}, doi = {10.1109/ITC44778.2020}, isbn = {978-1-7281-9113-3}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AbadirA19, author = {Magdy Abadir and Sohrab Aftabjahani}, title = {An Overview of the International Microprocessor/ SoC Test, Security and Validation (MTV)Workshop}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000128}, doi = {10.1109/ITC44170.2019.9000128}, timestamp = {Mon, 24 Feb 2020 17:28:46 +0100}, biburl = {https://dblp.org/rec/conf/itc/AbadirA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AbadirA19a, author = {Magdy Abadir and Sohrab Aftabjahani}, title = {An Overview of the International Verification and Security Workshop {(IVSW)}}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000165}, doi = {10.1109/ITC44170.2019.9000165}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AbadirA19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AgboTH19, author = {Innocent Okwudili Agbo and Mottaqiallah Taouil and Said Hamdioui}, title = {Reliability Modeling and Mitigation for Embedded Memories}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000175}, doi = {10.1109/ITC44170.2019.9000175}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AgboTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BoschiLLSGHSZ19, author = {Gabriele Boschi and Donato Luongo and Duccio Lazzarotti and Hanna Shaheen and Hayk T. Grigoryan and Gurgen Harutyunyan and Samvel K. Shoukourian and Yervant Zorian}, title = {Memory {FIT} Rate Mitigation Technique for Automotive SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000158}, doi = {10.1109/ITC44170.2019.9000158}, timestamp = {Mon, 03 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/BoschiLLSGHSZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChaudhuriLC19, author = {Arjun Chaudhuri and Mengyun Liu and Krishnendu Chakrabarty}, title = {Fault-Tolerant Neuromorphic Computing Systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000146}, doi = {10.1109/ITC44170.2019.9000146}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChaudhuriLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChaudhuriYCC19, author = {Arjun Chaudhuri and Bonan Yan and Yiran Chen and Krishnendu Chakrabarty}, title = {Hardware Fault Tolerance for Binary {RRAM} Crossbars}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000179}, doi = {10.1109/ITC44170.2019.9000179}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChaudhuriYCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenC19, author = {Tao Chen and Degang Chen}, title = {Built-in self-test and self-calibration for analog and mixed signal circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000120}, doi = {10.1109/ITC44170.2019.9000120}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChenC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenLCW19, author = {Hao Chen and Mincent Lee and Liang{-}Yen Chen and Min{-}Jer Wang}, title = {High Quality Test Methodology for Highly Reliable Devices}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000174}, doi = {10.1109/ITC44170.2019.9000174}, timestamp = {Wed, 26 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChenLCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChowdhuryGBMF19, author = {Sreeja Chowdhury and Fatemeh Ganji and Troy Bryant and Nima Maghari and Domenic Forte}, title = {Recycled Analog and Mixed Signal Chip Detection at Zero Cost Using {LDO} Degradation}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000118}, doi = {10.1109/ITC44170.2019.9000118}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChowdhuryGBMF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChuH19, author = {Wei Chu and Shi{-}Yu Huang}, title = {Overall Strategy for Online Clock System Checking Supporting Heterogeneous Integration}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000116}, doi = {10.1109/ITC44170.2019.9000116}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChuH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DamljanovicJPSS19, author = {Aleksa Damljanovic and Artur Jutman and Michele Portolan and Ernesto S{\'{a}}nchez and Giovanni Squillero and Anton Tsertov}, title = {Simulation-based Equivalence Checking between {IEEE} 1687 {ICL} and {RTL}}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000181}, doi = {10.1109/ITC44170.2019.9000181}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DamljanovicJPSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DobbelaereCCVXG19, author = {Wim Dobbelaere and Frederik Colle and Anthony Coyette and Ronny Vanhooren and Nektar Xama and Jhon Gomez and Georges G. E. Gielen}, title = {Applying Vstress and defect activation coverage to produce zero-defect mixed-signal automotive ICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000123}, doi = {10.1109/ITC44170.2019.9000123}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DobbelaereCCVXG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DuncanRLFT19, author = {Adam Duncan and Fahim Rahman and Andrew Lukefahr and Farimah Farahmandi and Mark M. Tehranipoor}, title = {{FPGA} Bitstream Security: {A} Day in the Life}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000145}, doi = {10.1109/ITC44170.2019.9000145}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DuncanRLFT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DuvalsaintJNB19, author = {Danielle Duvalsaint and Xiaoxiao Jin and Benjamin Niewenhuis and R. D. (Shawn) Blanton}, title = {Characterization of Locked Combinational Circuits via {ATPG}}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000130}, doi = {10.1109/ITC44170.2019.9000130}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DuvalsaintJNB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Eggersgluss19, author = {Stephan Eggersgl{\"{u}}{\ss}}, title = {Towards Complete Fault Coverage by Test Point Insertion using Optimization-SAT Techniques}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000152}, doi = {10.1109/ITC44170.2019.9000152}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Eggersgluss19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EggersglussHJMR19, author = {Stephan Eggersgl{\"{u}}{\ss} and Said Hamdioui and Artur Jutman and Maria K. Michael and Jaan Raik and Matteo Sonza Reorda and Mehdi Baradaran Tahoori and Elena Ioana Vatajelu}, title = {{IEEE} European Test Symposium {(ETS)}}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000148}, doi = {10.1109/ITC44170.2019.9000148}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/EggersglussHJMR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EnyediM19, author = {Szil{\'{a}}rd Enyedi and Liviu Miclea}, title = {{IEEE} International Conference on Automation, Quality and Testing, Robotics {(AQTR)}}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000144}, doi = {10.1109/ITC44170.2019.9000144}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/EnyediM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FarzanaRTF19, author = {Nusrat Farzana and Fahim Rahman and Mark M. Tehranipoor and Farimah Farahmandi}, title = {SoC Security Verification using Property Checking}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000170}, doi = {10.1109/ITC44170.2019.9000170}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FarzanaRTF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FiebackWMARMTH19, author = {Moritz Fieback and Lizhou Wu and Guilherme Cardoso Medeiros and Hassen Aziza and Siddharth Rao and Erik Jan Marinissen and Mottaqiallah Taouil and Said Hamdioui}, title = {Device-Aware Test: {A} New Test Approach Towards {DPPB} Level}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000134}, doi = {10.1109/ITC44170.2019.9000134}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/FiebackWMARMTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FloridiaPRSLM19, author = {Andrea Floridia and Davide Piumatti and Annachiara Ruospo and Ernesto S{\'{a}}nchez and Sergio de Luca and Rosario Martorana}, title = {A Decentralized Scheduler for On-line Self-test Routines in Multi-core Automotive System-on-Chips}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000129}, doi = {10.1109/ITC44170.2019.9000129}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FloridiaPRSLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ForteBKPT19, author = {Domenic Forte and Swarup Bhunia and Ramesh Karri and Jim Plusquellic and Mark M. Tehranipoor}, title = {{IEEE} International Symposium on Hardware Oriented Security and Trust {(HOST):} Past, Present, and Future}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000111}, doi = {10.1109/ITC44170.2019.9000111}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ForteBKPT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GaoMHSBHCGM19, author = {Zhan Gao and Santosh Malagi and Min{-}Chun Hu and Joe Swenton and Rogier Baert and Jos Huisken and Bilal Chehab and Kees Goossens and Erik Jan Marinissen}, title = {Application of Cell-Aware Test on an Advanced 3nm {CMOS} Technology Library}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000164}, doi = {10.1109/ITC44170.2019.9000164}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GaoMHSBHCGM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GebregiorgisT19, author = {Anteneh Gebregiorgis and Mehdi Baradaran Tahoori}, title = {Testing of Neuromorphic Circuits: Structural vs Functional}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000110}, doi = {10.1109/ITC44170.2019.9000110}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GebregiorgisT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HamdiouiFNT19, author = {Said Hamdioui and Moritz Fieback and Surya Nagarajan and Mottaqiallah Taouil}, title = {Testing Computation-in-Memory Architectures Based on Emerging Memories}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000117}, doi = {10.1109/ITC44170.2019.9000117}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HamdiouiFNT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/He19, author = {Chen He}, title = {Advanced Burn-In - An Optimized Product Stress and Test Flow for Automotive Microcontrollers}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000147}, doi = {10.1109/ITC44170.2019.9000147}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/He19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HeL19, author = {Yi He and Yanjing Li}, title = {Time-Slicing Soft Error Resilience in Microprocessors for Reliable and Energy-Efficient Execution}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000180}, doi = {10.1109/ITC44170.2019.9000180}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HeL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HolstSKWW19, author = {Stefan Holst and Eric Schneider and Michael A. Kochte and Xiaoqing Wen and Hans{-}Joachim Wunderlich}, title = {Variation-Aware Small Delay Fault Diagnosis on Compressed Test Responses}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000143}, doi = {10.1109/ITC44170.2019.9000143}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HolstSKWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangLTCWJCL19, author = {Andrew Yi{-}Ann Huang and Katherine Shu{-}Min Li and Cheng{-}Yen Tsai and Ken Chau{-}Cheung Cheng and Sying{-}Jyan Wang and Xu{-}Hao Jiang and Leon Chou and Chen{-}Shiun Lee}, title = {TestDNA: Novel Wafer Defect Signature for Diagnosis and Yield Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000166}, doi = {10.1109/ITC44170.2019.9000166}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HuangLTCWJCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IbrahimK19, author = {Ahmed M. Y. Ibrahim and Hans G. Kerkhoff}, title = {{DARS:} An {EDA} Framework for Reliability and Functional Safety Management of System-on-Chips}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000112}, doi = {10.1109/ITC44170.2019.9000112}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/IbrahimK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IchiyamaKI19, author = {Kiyotaka Ichiyama and Takashi Kusaka and Masahiro Ishida}, title = {A Jitter Injection Module for Production Test of 52-Gbps {PAM4} Signal Interfaces}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000138}, doi = {10.1109/ITC44170.2019.9000138}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IchiyamaKI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IkedaSLMXN19, author = {Kosuke Ikeda and Keith Schaub and Ira Leventhal and Yiorgos Makris and Constantinos Xanthopoulos and Deepika Neethirajan}, title = {Subtle Anomaly Detection of Microscopic Probes using Deep learning based Image Completion}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000126}, doi = {10.1109/ITC44170.2019.9000126}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/IkedaSLMXN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/InoueLW19, author = {Michiko Inoue and Xiaowei Li and Cheng{-}Wen Wu}, title = {Asian Test Symposium - Past, Present and Future -}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000151}, doi = {10.1109/ITC44170.2019.9000151}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/InoueLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JayasankaranSAS19, author = {Nithyashankari Gummidipoondi Jayasankaran and Adriana C. Sanabria{-}Borbon and Amr Abuellil and Edgar S{\'{a}}nchez{-}Sinencio and Jiang Hu and Jeyavijayan Rajendran}, title = {Breaking Analog Locking Techniques via Satisfiability Modulo Theories}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000113}, doi = {10.1109/ITC44170.2019.9000113}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JayasankaranSAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KimLY19, author = {Jisuk Kim and Jinyub Lee and Sungjoo Yoo}, title = {Machine Learning-Based Automatic Generation of eFuse Configuration in {NAND} Flash Chip}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--9}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000162}, doi = {10.1109/ITC44170.2019.9000162}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KimLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KoganA19, author = {Tal Kogan and Yehonatan Abotbol}, title = {Virtual Memory Structures Facilitating Memory {BIST} Insertion In Complex SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000153}, doi = {10.1109/ITC44170.2019.9000153}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KoganA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LarssonMK19, author = {Erik Larsson and Prathamesh Murali and Gani Kumisbek}, title = {{IEEE} Std. {P1687.1:} Translator and Protocol}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000135}, doi = {10.1109/ITC44170.2019.9000135}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LarssonMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeHLIZ19, author = {Kuen{-}Jong Lee and Shi{-}Yu Huang and Huawei Li and Tomoo Inoue and Yervant Zorian}, title = {International Test Conference in Asia (ITC-Asia) - Bridging {ITC} and Test Community in Asia}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000177}, doi = {10.1109/ITC44170.2019.9000177}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeeHLIZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiLH19, author = {Huawei Li and Xiaowei Li and Yinhe Han}, title = {China Test Conference {(CTC)} - Extending the Global Test Forum to China}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000121}, doi = {10.1109/ITC44170.2019.9000121}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LiLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiangCK19, author = {Tung{-}Che Liang and Krishnendu Chakrabarty and Ramesh Karri}, title = {Programmable Daisychaining of Microelectrodes for {IP} Protection in {MEDA} Biochips}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000167}, doi = {10.1109/ITC44170.2019.9000167}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiangCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuHFB19, author = {Zeye Liu and Qicheng Huang and Chenlei Fang and R. D. (Shawn) Blanton}, title = {Improving Test Chip Design Efficiency via Machine Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000131}, doi = {10.1109/ITC44170.2019.9000131}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuHFB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuLCG19, author = {Mengyun Liu and Xin Li and Krishnendu Chakrabarty and Xinli Gu}, title = {Knowledge Transfer in Board-Level Functional Fault Identification using Domain Adaptation}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000172}, doi = {10.1109/ITC44170.2019.9000172}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuLCG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LotfiHBRSBH19, author = {Atieh Lotfi and Saurabh Hukerikar and Keshav Balasubramanian and Paul Racunas and Nirmal R. Saxena and Richard Bramley and Yanxiang Huang}, title = {Resiliency of automotive object detection networks on {GPU} architectures}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--9}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000150}, doi = {10.1109/ITC44170.2019.9000150}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LotfiHBRSBH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LylinaARSBW19, author = {Natalia Lylina and Ahmed Atteya and Pascal Raiola and Matthias Sauer and Bernd Becker and Hans{-}Joachim Wunderlich}, title = {Security Compliance Analysis of Reconfigurable Scan Networks}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--9}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000114}, doi = {10.1109/ITC44170.2019.9000114}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LylinaARSBW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MaazSH19, author = {Mohammad Urf Maaz and Alexander Sprenger and Sybille Hellebrand}, title = {A Hybrid Space Compactor for Adaptive X-Handling}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000159}, doi = {10.1109/ITC44170.2019.9000159}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MaazSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/McLaurinK19, author = {Teresa McLaurin and Rob Knoth}, title = {The Challenges of Implementing an {MBIST} Interface: {A} Practical Application}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000157}, doi = {10.1109/ITC44170.2019.9000157}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/McLaurinK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MozaffariBNAPSC19, author = {Seyed Nima Mozaffari and Bonita Bhaskaran and Kaushik Narayanun and Ayub Abdollahian and Vinod Pagalone and Shantanu Sarangi and Jonathon E. Colburn}, title = {An Efficient Supervised Learning Method to Predict Power Supply Noise During At-speed Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000171}, doi = {10.1109/ITC44170.2019.9000171}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MozaffariBNAPSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MukherjeeTTSLMM19, author = {Nilanjan Mukherjee and Jerzy Tyszer and Daniel Tille and Mahendar Sapati and Yingdi Liu and Jeffrey Mayer and Sylwester Milewski and Elham K. Moghaddam and Janusz Rajski and Jedrzej Solecki}, title = {Test Time and Area Optimized BrST Scheme for Automotive ICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000133}, doi = {10.1109/ITC44170.2019.9000133}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MukherjeeTTSLMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NienWLCCCLKC19, author = {Yu{-}Teng Nien and Kai{-}Chiang Wu and Dong{-}Zhen Lee and Ying{-}Yen Chen and Po{-}Lin Chen and Mason Chern and Jih{-}Nung Lee and Shu{-}Yi Kao and Mango Chia{-}Tso Chao}, title = {Methodology of Generating Timing-Slack-Based Cell-Aware Tests}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000119}, doi = {10.1109/ITC44170.2019.9000119}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/NienWLCCCLKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OckunzziGKTPJ19, author = {Kelly Ockunzzi and Richard Grupp and Brion Keller and Mark Taylor and Sreekanth Pai and Greeshma Jayakumar}, title = {Applications of Hierarchical Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000156}, doi = {10.1109/ITC44170.2019.9000156}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/OckunzziGKTPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OmuroSKO19, author = {Toshiyuki Omuro and Shigeo Nakamura Surname and Takashi Kimura and Kiyokawa Omuro}, title = {A New Test Method for the Large Current Magnetic Sensors}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000142}, doi = {10.1109/ITC44170.2019.9000142}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/OmuroSKO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PandeyGLNSC19, author = {Sujay Pandey and Sanya Gupta and Madhu Sudhan L. and Suriya Natarajan and Arani Sinha and Abhijit Chatterjee}, title = {Characterization of Library Cells for Open-circuit Defect Exposure: {A} Systematic Methodology}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000154}, doi = {10.1109/ITC44170.2019.9000154}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PandeyGLNSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PapameletisCFSC19, author = {Christos Papameletis and Vivek Chickermane and Brian Foutz and Sarthak Singhal and Krishna Chakravadhanula}, title = {Optimized Physical {DFT} Synthesis of Unified Compression and {LBIST} for Automotive Applications}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000115}, doi = {10.1109/ITC44170.2019.9000115}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PapameletisCFSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pomeranz19, author = {Irith Pomeranz}, title = {Iterative Test Generation for Gate-Exhaustive Faults to Cover the Sites of Undetectable Target Faults}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000124}, doi = {10.1109/ITC44170.2019.9000124}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pomeranz19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pomeranz19a, author = {Irith Pomeranz}, title = {Compaction of a Functional Broadside Test Set through the Compaction of a Functional Test Sequence without Sequential Fault Simulation}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000161}, doi = {10.1109/ITC44170.2019.9000161}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pomeranz19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ReddyBM19, author = {Gaurav Rajavendra Reddy and Mohammad{-}Mahdi Bidmeshki and Yiorgos Makris}, title = {{VIPER:} {A} Versatile and Intuitive Pattern GenERator for Early Design Space Exploration}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000169}, doi = {10.1109/ITC44170.2019.9000169}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ReddyBM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RojasHC19, author = {Luis D. Rojas and Kevin Hess and Christina Carter{-}Brown}, title = {Effectively Using Machine Learning to Expedite System Level Test Failure Debug}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000163}, doi = {10.1109/ITC44170.2019.9000163}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RojasHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SchromHMVKF19, author = {Gerhard Schrom and Michael J. Hill and Sarath Makala and Ravi Sankar Vunnam and Arun Krishnamoorthy and Ryan Ferguson}, title = {Efficiency Measurement Method for Fully Integrated Voltage Regulators used in 4\({}^{\mbox{th}}\) and 5\({}^{\mbox{th}}\) Generation Intel{\textregistered} Core{\texttrademark} Microprocessors}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000125}, doi = {10.1109/ITC44170.2019.9000125}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SchromHMVKF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShanWWS19, author = {Chuanhe Jay Shan and Ahmed Wahba and Li{-}C. Wang and Nik Sumikawa}, title = {Deploying {A} Machine Learning Solution As {A} Surrogate}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000109}, doi = {10.1109/ITC44170.2019.9000109}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShanWWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShenLHW19, author = {Cheng{-}Hsien Shen and Aaron C.{-}W. Liang and Charles C.{-}H. Hsu and Charles H.{-}P. Wen}, title = {{FAE:} Autoencoder-Based Failure Binning of {RTL} Designs for Verification and Debugging}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000178}, doi = {10.1109/ITC44170.2019.9000178}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ShenLHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShoukourianSS19, author = {Samvel K. Shoukourian and Yuri Shoukourian and Vladimir Sahakyan}, title = {Armenia: Communicating to World Community in Electronic Test and Design}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000139}, doi = {10.1109/ITC44170.2019.9000139}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ShoukourianSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Singh19, author = {Adit D. Singh}, title = {An Adaptive Approach to Minimize System Level Tests Targeting Low Voltage {DVFS} Failures}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000173}, doi = {10.1109/ITC44170.2019.9000173}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Singh19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/StamenkovicBCNP19, author = {Zoran Stamenkovic and Alberto Bosio and Gy{\"{o}}rgy Cserey and Ondrej Nov{\'{a}}k and Witold A. Pleskacz and Luk{\'{a}}s Sekanina and Andreas Steininger and Goran Stojanovic and Viera Stopjakov{\'{a}}}, title = {International Symposium on Design and Diagnostics of Electronic Circuits and Systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000137}, doi = {10.1109/ITC44170.2019.9000137}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/StamenkovicBCNP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SuGZ19, author = {Fei Su and Prashant Goteti and Min Zhang}, title = {On Freedom from Interference in Mixed-Criticality Systems: {A} Causal Learning Approach}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000160}, doi = {10.1109/ITC44170.2019.9000160}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SuGZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Sunter19, author = {Stephen Sunter}, title = {Efficient Analog Defect Simulation}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000141}, doi = {10.1109/ITC44170.2019.9000141}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Sunter19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/VashisthaRPA19, author = {Nidish Vashistha and M. Tanjidur Rahman and Olivia P. Paradis and Navid Asadizanjani}, title = {Is Backside the New Backdoor in Modern SoCs?: Invited Paper}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000127}, doi = {10.1109/ITC44170.2019.9000127}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/VashisthaRPA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangG19, author = {Fangzhou Wang and Sandeep Gupta}, title = {Multi-cell characterization: Developing robust cells and abstraction for Rapid Single Flux Quantum {(RSFQ)} Logic}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000132}, doi = {10.1109/ITC44170.2019.9000132}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/WangG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/XuA19, author = {Zheng Xu and Jacob Abraham}, title = {Safety Design of a Convolutional Neural Network Accelerator with Error Localization and Correction}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000149}, doi = {10.1109/ITC44170.2019.9000149}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/XuA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YangK19, author = {Jingchi Yang and David C. Keezer}, title = {A Framework for Design of Self-Repairing Digital Systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000155}, doi = {10.1109/ITC44170.2019.9000155}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YangK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhongC19, author = {Zhanwei Zhong and Krishnendu Chakrabarty}, title = {Fault Recovery in Micro-Electrode-Dot-Array Digital Microfluidic Biochips Using an {IJTAG} NetworkBehaviors}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000176}, doi = {10.1109/ITC44170.2019.9000176}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhongC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhongZZHC19, author = {Zhanwei Zhong and Haodong Zhu and Peiran Zhang and Tony Jun Huang and Krishnendu Chakrabarty}, title = {Structural Test and Functional Test for Digital Acoustofluidic Biochips}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000140}, doi = {10.1109/ITC44170.2019.9000140}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhongZZHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZorianHCL19, author = {Yervant Zorian and Vladimir Hahanov and Svetlana Chumachenko and Eugenia Litvinova}, title = {17th {IEEE} East-West Design and Test Symposium}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000168}, doi = {10.1109/ITC44170.2019.9000168}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZorianHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZorianSV19, author = {Apik Zorian and Basim Shanyour and Milir Vaseekar}, title = {Machine Learning-Based {DFT} Recommendation System for {ATPG} {QOR}}, booktitle = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITC44170.2019.9000136}, doi = {10.1109/ITC44170.2019.9000136}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZorianSV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2019, title = {{IEEE} International Test Conference, {ITC} 2019, Washington, DC, USA, November 9-15, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8977396/proceeding}, isbn = {978-1-7281-4823-6}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/0004SJP18, author = {Meng Li and Kaveh Shamsi and Yier Jin and David Z. Pan}, title = {TimingSAT: Decamouflaging Timing-based Logic Obfuscation}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624671}, doi = {10.1109/TEST.2018.8624671}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/0004SJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/0005MRT018, author = {Yu Huang and Sylwester Milewski and Janusz Rajski and Jerzy Tyszer and Chen Wang}, title = {Hypercompression of Test Patterns}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624868}, doi = {10.1109/TEST.2018.8624868}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/0005MRT018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AhmedFIM18, author = {Alif Ahmed and Farimah Farahmandi and Yousef Iskander and Prabhat Mishra}, title = {Scalable Hardware Trojan Activation by Interleaving Concrete Simulation and Symbolic Execution}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624854}, doi = {10.1109/TEST.2018.8624854}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/AhmedFIM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AndraudOLMV18, author = {Martin Andraud and Laura Isabel Galindez Olascoaga and Yichuan Lu and Yiorgos Makris and Marian Verhelst}, title = {On the use of Bayesian Networks for Resource-Efficient Self-Calibration of Analog/RF ICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624893}, doi = {10.1109/TEST.2018.8624893}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AndraudOLMV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ault18, author = {Trevor Ault}, title = {Optimizing the Use of Simulations for Commissioning with Systems Engineering Principles and Objective Analysis}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624713}, doi = {10.1109/TEST.2018.8624713}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Ault18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CantoroDRS18, author = {Riccardo Cantoro and Aleksa Damljanovic and Matteo Sonza Reorda and Giovanni Squillero}, title = {A New Technique to Generate Test Sequences for Reconfigurable Scan Networks}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624742}, doi = {10.1109/TEST.2018.8624742}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CantoroDRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CasarsaH18, author = {M. Casarsa and Gurgen Harutyunyan}, title = {Case Study and Advanced Functional Safety Solution for Automotive SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624740}, doi = {10.1109/TEST.2018.8624740}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CasarsaH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChagantiSDAAC18, author = {Shravan K. Chaganti and Abalhassan Sheikh and Sumit Dubey and Frank Ankapong and Nitin Agarwal and Degang Chen}, title = {Fast and accurate linearity test for DACs with various architectures using segmented models}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624753}, doi = {10.1109/TEST.2018.8624753}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChagantiSDAAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChaudhuriC18, author = {Arjun Chaudhuri and Krishnendu Chakrabarty}, title = {Analysis of Process Variations, Defects, and Design-Induced Coupling in Memristors}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624819}, doi = {10.1109/TEST.2018.8624819}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChaudhuriC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChillarigeCMNSC18, author = {Sameer Chillarige and Atul Chhabra and Anil Malik and Bharath Nandakumar and Joe Swenton and Krishna Chakravadhanula}, title = {Improving Diagnosis Resolution and Performance at High Compression Ratios}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624829}, doi = {10.1109/TEST.2018.8624829}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChillarigeCMNSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DasSC18, author = {Sourav Das and Fei Su and Sreejit Chakravarty}, title = {A PVT-Resilient No-Touch {DFT} Methodology for Prebond {TSV} Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624691}, doi = {10.1109/TEST.2018.8624691}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DasSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DolatsaraYHBS18, author = {Majid Ahadi Dolatsara and Huan Yu and Jose Ale Hejase and Wiren Dale Becker and Madhavan Swaminathan}, title = {Polynomial Chaos modeling for jitter estimation in high-speed links}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624875}, doi = {10.1109/TEST.2018.8624875}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DolatsaraYHBS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FernC18, author = {Nicole Fern and Kwang{-}Ting (Tim) Cheng}, title = {Pre-silicon Formal Verification of {JTAG} Instruction Opcodes for Security}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624739}, doi = {10.1109/TEST.2018.8624739}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FernC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FiebackTH18, author = {Moritz Fieback and Mottaqiallah Taouil and Said Hamdioui}, title = {Testing Resistive Memories: Where are We and What is Missing?}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624895}, doi = {10.1109/TEST.2018.8624895}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/FiebackTH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FultonP18, author = {Nathan Fulton and Andr{\'{e}} Platzer}, title = {Safe {AI} for {CPS} (Invited Paper)}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624774}, doi = {10.1109/TEST.2018.8624774}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/FultonP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GrigoryanSHZA18, author = {Hayk T. Grigoryan and Samvel K. Shoukourian and Gurgen Harutyunyan and Yervant Zorian and Costas Argyrides}, title = {Advanced ECC-Based {FIT} Rate Mitigation Technique for Automotive SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624837}, doi = {10.1109/TEST.2018.8624837}, timestamp = {Mon, 03 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/GrigoryanSHZA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Gupta18, author = {Pallav Gupta}, title = {An Effective Methodology for Automated Diagnosis of Functional Pattern Failures to Support Silicon Debug}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624881}, doi = {10.1109/TEST.2018.8624881}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Gupta18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HapkeM18, author = {Friedrich Hapke and Peter C. Maxwell}, title = {Total Critical Area Based Testing}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624825}, doi = {10.1109/TEST.2018.8624825}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HapkeM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HoFVBG18, author = {Tien{-}Phu Ho and Eric Faehn and Arnaud Virazel and Alberto Bosio and Patrick Girard}, title = {An Effective Intra-Cell Diagnosis Flow for Industrial SRAMs}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624799}, doi = {10.1109/TEST.2018.8624799}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HoFVBG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HoqueCCB18, author = {Tamzidul Hoque and Jonathan Cruz and Prabuddha Chakraborty and Swarup Bhunia}, title = {Hardware {IP} Trust Validation: Learn (the Untrustworthy), and Verify}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624727}, doi = {10.1109/TEST.2018.8624727}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HoqueCCB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HossainSIO18, author = {Fakir Sharif Hossain and Michihiro Shintani and Michiko Inoue and Alex Orailoglu}, title = {Variation-Aware Hardware Trojan Detection through Power Side-channel}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624866}, doi = {10.1109/TEST.2018.8624866}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HossainSIO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HowellHBVDGRSFR18, author = {Will Howell and Friedrich Hapke and Edward Brazil and Srikanth Venkataraman and R. Datta and Andreas Glowatz and Wilfried Redemund and J. Schmerberg and Anja Fast and Janusz Rajski}, title = {{DPPM} Reduction Methods and New Defect Oriented Test Methods Applied to Advanced FinFET Technologies}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624906}, doi = {10.1109/TEST.2018.8624906}, timestamp = {Mon, 01 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HowellHBVDGRSFR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangFMB18, author = {Qicheng Huang and Chenlei Fang and Soumya Mittal and R. D. Shawn Blanton}, title = {Improving Diagnosis Efficiency via Machine Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624884}, doi = {10.1109/TEST.2018.8624884}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangFMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangGLG18, author = {I{-}De Huang and Pallav Gupta and Loganathan Lingappan and Vijay Gangaram}, title = {Online Scan Diagnosis : {A} Novel Approach to Volume Diagnosis}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624686}, doi = {10.1109/TEST.2018.8624686}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangGLG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IchiyamaKI18, author = {Kiyotaka Ichiyama and Takashi Kusaka and Masahiro Ishida}, title = {A Stressed Eye Testing Module for Production Test of 30-Gbps {NRZ} Signal Interfaces}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624841}, doi = {10.1109/TEST.2018.8624841}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IchiyamaKI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JinZCG18, author = {Shi Jin and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Self-Learning Health-Status Analysis for a Core Router System}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624712}, doi = {10.1109/TEST.2018.8624712}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JinZCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KanD18, author = {Senwen Kan and Jennifer Dworak}, title = {{IJTAG} Integrity Checking with Chained Hashing}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624777}, doi = {10.1109/TEST.2018.8624777}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KanD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KapatsoriLAM18, author = {Christiana Kapatsori and Yu Liu and Angelos Antonopoulos and Yiorgos Makris}, title = {Hardware Dithering: {A} Run-Time Method for Trojan Neutralization in Wireless Cryptographic ICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624705}, doi = {10.1109/TEST.2018.8624705}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/KapatsoriLAM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KatselasHJPM18, author = {Leonidas Katselas and Alkis A. Hatzopoulos and Hailong Jiao and Christos Papameletis and Erik Jan Marinissen}, title = {On-Chip Toggle Generators to Provide Realistic Conditions during Test of Digital 2D-SoCs and 3D-SICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624803}, doi = {10.1109/TEST.2018.8624803}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KatselasHJPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KhanG18, author = {Mohammad Nasim Imtiaz Khan and Swaroop Ghosh}, title = {Test of Supply Noise for Emerging Non-Volatile Memory}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624896}, doi = {10.1109/TEST.2018.8624896}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KhanG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KoganABHMZ18, author = {Tal Kogan and Yehonatan Abotbol and Gabriele Boschi and Gurgen Harutyunyan and N. Martirosyan and Yervant Zorian}, title = {Advanced Uniformed Test Approach For Automotive SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624810}, doi = {10.1109/TEST.2018.8624810}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KoganABHMZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KungLR18, author = {Yi{-}Cheng Kung and Kuen{-}Jong Lee and Sudhakar M. Reddy}, title = {Generating Compact Test Patterns for {DC} and {AC} Faults Using One {ATPG} Run}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624678}, doi = {10.1109/TEST.2018.8624678}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KungLR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LanHWLS018, author = {Shuyue Lan and Chao Huang and Zhilu Wang and Hengyi Liang and Wenhao Su and Qi Zhu}, title = {Design Automation for Intelligent Automotive Systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624723}, doi = {10.1109/TEST.2018.8624723}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LanHWLS018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeinoSDFL18, author = {Klas Leino and Shayak Sen and Anupam Datta and Matt Fredrikson and Linyi Li}, title = {Influence-Directed Explanations for Deep Convolutional Networks}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624792}, doi = {10.1109/TEST.2018.8624792}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeinoSDFL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinAYP18, author = {Yibo Lin and Mohamed Baker Alawieh and Wei Ye and David Z. Pan}, title = {Machine Learning for Yield Learning and Optimization}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624733}, doi = {10.1109/TEST.2018.8624733}, timestamp = {Mon, 28 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinAYP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Liu0RRT18, author = {Yingdi Liu and Nilanjan Mukherjee and Janusz Rajski and Sudhakar M. Reddy and Jerzy Tyszer}, title = {Deterministic Stellar {BIST} for In-System Automotive Test}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624872}, doi = {10.1109/TEST.2018.8624872}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Liu0RRT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuPYLCG18, author = {Mengyun Liu and Renjian Pan and Fangming Ye and Xin Li and Krishnendu Chakrabarty and Xinli Gu}, title = {Fine-Grained Adaptive Testing Based on Quality Prediction}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624891}, doi = {10.1109/TEST.2018.8624891}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuPYLCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuXWC18, author = {Mengyun Liu and Lixue Xia and Yu Wang and Krishnendu Chakrabarty}, title = {Fault Tolerance for RRAM-Based Matrix Operations}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624687}, doi = {10.1109/TEST.2018.8624687}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuXWC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ManjunathHSETMM18, author = {Niveditha Manjunath and Dieter Haerle and Stephen Sabanal and Herbert Eichinger and Hermann Tauber and Andreas Machne and Christian Manthey and Mikko Vaananen and Radu Grosu and Dejan Nickovic}, title = {Production Tests Coverage Analysis in the Simulation Environment}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624870}, doi = {10.1109/TEST.2018.8624870}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ManjunathHSETMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MarinissenFPSJW18, author = {Erik Jan Marinissen and Ferenc Fodor and Arnita Podpod and Michele Stucchi and Yu{-}Rong Jian and Cheng{-}Wen Wu}, title = {Solutions to Multiple Probing Challenges for Test Access to Multi-Die Stacked Integrated Circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624731}, doi = {10.1109/TEST.2018.8624731}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MarinissenFPSJW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/McLaurinL18, author = {Teresa L. McLaurin and Ignatius P. Lawrence}, title = {Improving Power, Performance and Area with Test: {A} Case Study}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624752}, doi = {10.1109/TEST.2018.8624752}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/McLaurinL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NairBTTGHZ18, author = {Sarath Mohanachandran Nair and Rajendra Bishnoi and Mehdi Baradaran Tahoori and Grigor Tshagharyan and Hayk T. Grigoryan and Gurgen Harutyunyan and Yervant Zorian}, title = {Defect injection, Fault Modeling and Test Algorithm Generation Methodology for {STT-MRAM}}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624725}, doi = {10.1109/TEST.2018.8624725}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/NairBTTGHZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NeroSWS18, author = {Matthew Nero and Chuanhe Jay Shan and Li{-}C. Wang and Nik Sumikawa}, title = {Concept Recognition in Production Yield Data Analytics}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624714}, doi = {10.1109/TEST.2018.8624714}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NeroSWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pomeranz18, author = {Irith Pomeranz}, title = {On Close-to-Functional Test Sequences}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624703}, doi = {10.1109/TEST.2018.8624703}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pomeranz18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RajskiTZ18, author = {Janusz Rajski and Jerzy Tyszer and Justyna Zawada}, title = {On New Class of Test Points and Their Applications}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624900}, doi = {10.1109/TEST.2018.8624900}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RajskiTZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Rangel-PatinoRH18, author = {Francisco E. Rangel{-}Patino and Jos{\'{e}} Ernesto Rayas{-}S{\'{a}}nchez and Nagib Hakim}, title = {Transmitter and Receiver Equalizers Optimization Methodologies for High-Speed Links in Industrial Computer Platforms Post-Silicon Validation}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624794}, doi = {10.1109/TEST.2018.8624794}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Rangel-PatinoRH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShanyourT18, author = {Basim Shanyour and Spyros Tragoudas}, title = {Detection of Low Power Trojans in Standard Cell Designs using Built-in Current Sensors}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624715}, doi = {10.1109/TEST.2018.8624715}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShanyourT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShenPCLP18, author = {Ting{-}Yu Shen and Chia{-}Cheng Pai and Tsai{-}Chieh Chen and James Chien{-}Mo Li and Samuel Pan}, title = {Test methodology for {PCHB/PCFB} Asynchronous Circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624757}, doi = {10.1109/TEST.2018.8624757}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShenPCLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShintaniIN18, author = {Michihiro Shintani and Michiko Inoue and Yoshiyuki Nakamura}, title = {Artificial Neural Network Based Test Escape Screening Using Generative Model}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624821}, doi = {10.1109/TEST.2018.8624821}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShintaniIN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SternBSSFT18, author = {Andrew Stern and Ulbert Botero and Bicky Shakya and Hao{-}Ting Shen and Domenic Forte and Mark M. Tehranipoor}, title = {{EMFORCED:} EM-based Fingerprinting Framework for Counterfeit Detection with Demonstration on Remarked and Cloned ICs}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624679}, doi = {10.1109/TEST.2018.8624679}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SternBSSFT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SuG18, author = {Fei Su and Prashant Goteti}, title = {Improving Analog Functional Safety Using Data-Driven Anomaly Detection}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624716}, doi = {10.1109/TEST.2018.8624716}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SuG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TshagharyanHZGG18, author = {Grigor Tshagharyan and Gurgen Harutyunyan and Yervant Zorian and Anteneh Gebregiorgis and Mohammad Saber Golanbari and Rajendra Bishnoi and Mehdi Baradaran Tahoori}, title = {Modeling and Testing of Aging Faults in FinFET Memories for Automotive Applications}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624890}, doi = {10.1109/TEST.2018.8624890}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TshagharyanHZGG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Wang18, author = {Li{-}C. Wang}, title = {An Autonomous System View To Apply Machine Learning}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624844}, doi = {10.1109/TEST.2018.8624844}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Wang18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangLLL18, author = {Ying Wang and Wen Li and Huawei Li and Xiaowei Li}, title = {Lightweight Timing Channel Protection for Shared {DRAM} Controller}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624848}, doi = {10.1109/TEST.2018.8624848}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/WangLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WohlWMC18, author = {Peter Wohl and John A. Waicukauski and Gregory A. Maston and Jonathon E. Colburn}, title = {{XLBIST:} X-Tolerant Logic {BIST}}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624738}, doi = {10.1109/TEST.2018.8624738}, timestamp = {Sat, 26 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WohlWMC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WuTRMH18, author = {Lizhou Wu and Mottaqiallah Taouil and Siddharth Rao and Erik Jan Marinissen and Said Hamdioui}, title = {Electrical Modeling of {STT-MRAM} Defects}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624749}, doi = {10.1109/TEST.2018.8624749}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WuTRMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YasinS18, author = {Muhammad Yasin and Ozgur Sinanoglu}, title = {Towards Provably Secure Logic Locking for Hardening Hardware Security Dissertation Summary: {IEEE} {TTTC} {E.J.} McCluskey Doctoral Thesis Award Competition}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624809}, doi = {10.1109/TEST.2018.8624809}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YasinS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangLC18, author = {Ling Zhang and Zipeng Li and Krishnendu Chakrabarty}, title = {Built-In Self-Diagnosis and Fault-Tolerant Daisy-Chain Design in {MEDA} Biochips}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624847}, doi = {10.1109/TEST.2018.8624847}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhangLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhongLYC18, author = {Zhanwei Zhong and Guoliang Li and Qinfu Yang and Krishnendu Chakrabarty}, title = {Access-Time Minimization in the {IEEE} 1687 Network Using Broadcast and Hardware Parallelism}, booktitle = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, pages = {1--10}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/TEST.2018.8624850}, doi = {10.1109/TEST.2018.8624850}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhongLYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2018, title = {{IEEE} International Test Conference, {ITC} 2018, Phoenix, AZ, USA, October 29 - Nov. 1, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8610502/proceeding}, isbn = {978-1-5386-8382-8}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AraiII17, author = {Masayuki Arai and Shingo Inuyama and Kazuhiko Iwasaki}, title = {Layout-aware 2-step window-based pattern reordering for fast bridge/open test generation}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242060}, doi = {10.1109/TEST.2017.8242060}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/AraiII17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BecklerB17, author = {Matthew Beckler and Ronald D. Blanton}, title = {Fault simulation acceleration for {TRAX} dictionary construction using GPUs}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242078}, doi = {10.1109/TEST.2017.8242078}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BecklerB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Chakravadhanula17, author = {Krishna Chakravadhanula and Vivek Chickermane and Paul Cunningham and Brian Foutz and Dale Meehl and Louis Milano and Christos Papameletis and David Scott and Steev Wilcox}, title = {Advancing test compression to the physical dimension}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242035}, doi = {10.1109/TEST.2017.8242035}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Chakravadhanula17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChillarigeMSSC17, author = {Sameer Chillarige and Anil Malik and Sharjinder Singh and Joe Swenton and Krishna Chakravadhanula}, title = {High throughput multiple device diagnosis system}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242051}, doi = {10.1109/TEST.2017.8242051}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChillarigeMSSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DeyatiMJC17, author = {Sabyasachi Deyati and Barry J. Muldrey and Byunghoo Jung and Abhijit Chatterjee}, title = {Concurrent built in test and tuning of beamforming {MIMO} systems using learning assisted performance optimization}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242031}, doi = {10.1109/TEST.2017.8242031}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DeyatiMJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DingLL17, author = {Xiaoan Ding and Xi Liang and Yanjing Li}, title = {Cross-layer refresh mitigation for efficient and reliable {DRAM} systems: {A} comparative study}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242065}, doi = {10.1109/TEST.2017.8242065}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DingLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DongGLRSWW17, author = {Yan Dong and Grady Giles and GuoLiang Li and Jeff Rearick and John Schulze and James Wingfield and Tim Wood}, title = {Maximizing scan pin and bandwidth utilization with a scan routing fabric}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242053}, doi = {10.1109/TEST.2017.8242053}, timestamp = {Sat, 03 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DongGLRSWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DuS17, author = {Boyang Du and Luca Sterpone}, title = {Fault tolerant electronic system design}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242080}, doi = {10.1109/TEST.2017.8242080}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DuS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ElnaggarCT17, author = {Rana Elnaggar and Krishnendu Chakrabarty and Mehdi Baradaran Tahoori}, title = {Run-time hardware trojan detection using performance counters}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242063}, doi = {10.1109/TEST.2017.8242063}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ElnaggarCT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EsenCXDVG17, author = {Baris Esen and Anthony Coyette and Nektar Xama and Wim Dobbelaere and Ronny Vanhooren and Georges G. E. Gielen}, title = {Non-intrusive detection of defects in mixed-signal integrated circuits using light activation}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242056}, doi = {10.1109/TEST.2017.8242056}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/EsenCXDVG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GhermanFASD17, author = {Valentin Gherman and Emna Farjallah and Jean{-}Marc Armani and Marcelino Seif and Luigi Dilillo}, title = {Improvement of the tolerated raw bit error rate in {NAND} flash-based SSDs with the help of embedded statistics}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242066}, doi = {10.1109/TEST.2017.8242066}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GhermanFASD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GuptaCDE17, author = {Saurabh Gupta and Al Crouch and Jennifer Dworak and Daniel Engels}, title = {Increasing {IJTAG} bandwidth and managing security through parallel locking-SIBs}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242034}, doi = {10.1109/TEST.2017.8242034}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GuptaCDE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HolstSKKMWKW17, author = {Stefan Holst and Eric Schneider and Koshi Kawagoe and Michael A. Kochte and Kohei Miyase and Hans{-}Joachim Wunderlich and Seiji Kajihara and Xiaoqing Wen}, title = {Analysis and mitigation or IR-Drop induced scan shift-errors}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242055}, doi = {10.1109/TEST.2017.8242055}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HolstSKKMWKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HongL17, author = {Shuo{-}Lian Hong and Kuen{-}Jong Lee}, title = {A run-pause-resume silicon debug technique with cycle granularity for multiple clock domain systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242077}, doi = {10.1109/TEST.2017.8242077}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HongL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IshidaI17, author = {Masahiro Ishida and Kiyotaka Ichiyama}, title = {A jitter separation and {BER} estimation method for asymmetric total jitter distributions}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242058}, doi = {10.1109/TEST.2017.8242058}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IshidaI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JainATP17, author = {Nimit Jain and Nitin Agarwal and Rajavelu Thinakaran and Rubin A. Parekhji}, title = {Low cost dynamic error detection in linearity testing of {SAR} ADCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242030}, doi = {10.1109/TEST.2017.8242030}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JainATP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JeongYWO17, author = {Jae Woong Jeong and Ender Yilmaz and LeRoy Winemberg and Sule Ozev}, title = {Built-in self-test for stability measurement of low dropout regulator}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242033}, doi = {10.1109/TEST.2017.8242033}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JeongYWO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JinCJBKGGC17, author = {Xiankun Jin and Tao Chen and Mayank Jain and Arun Kumar Barman and David Kramer and Doug Garrity and Randall L. Geiger and Degang Chen}, title = {An on-chip {ADC} {BIST} solution and the {BIST} enabled calibration scheme}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242032}, doi = {10.1109/TEST.2017.8242032}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/JinCJBKGGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JinZCG17, author = {Shi Jin and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Changepoint-based anomaly detection in a core router system}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242043}, doi = {10.1109/TEST.2017.8242043}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JinZCG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JinZCG17a, author = {Shi Jin and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Symbol-based health-status analysis in a core router system}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242044}, doi = {10.1109/TEST.2017.8242044}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JinZCG17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Klosterboer17, author = {Bob Klosterboer}, title = {Testing beyond the green light}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242025}, doi = {10.1109/TEST.2017.8242025}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Klosterboer17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KoganABHKSZ17, author = {Tal Kogan and Yehonatan Abotbol and Gabriele Boschi and Gurgen Harutyunyan and I. Kroul and Hanna Shaheen and Yervant Zorian}, title = {Advanced functional safety mechanisms for embedded memories and IPs in automotive SoCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242046}, doi = {10.1109/TEST.2017.8242046}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KoganABHKSZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KunduKKK17, author = {Subhadip Kundu and Kuldip Kumar and Rishi Kumar and Rohit Kapur}, title = {Diagnosing multiple faulty chains with low pin convolution compressor using compressed production test set}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242048}, doi = {10.1109/TEST.2017.8242048}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KunduKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Kunkel17, author = {Joachim Kunkel}, title = {Automotive keynote: Look Mom! No hands!}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242027}, doi = {10.1109/TEST.2017.8242027}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Kunkel17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LaisneSBE17, author = {Michael Laisne and Hans Martin von Staudt and Sourabh Bhalerao and Mark Eason}, title = {Single-pin test control for Big A, little {D} devices}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242069}, doi = {10.1109/TEST.2017.8242069}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LaisneSBE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Lin17, author = {Xijiang Lin}, title = {On applying scan based structural test for designs with dual-edge triggered flip-flops}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242054}, doi = {10.1109/TEST.2017.8242054}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Lin17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinHTCW17, author = {Bing{-}Yang Lin and Hsin{-}Wei Hung and Shu{-}Mei Tseng and Chi Chen and Cheng{-}Wen Wu}, title = {Highly reliable and low-cost symbiotic {IOT} devices and systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242076}, doi = {10.1109/TEST.2017.8242076}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LinHTCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuFB17, author = {Zeye Liu and Phillip Fynan and Ronald D. Blanton}, title = {Front-end layout reflection for test chip design}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242041}, doi = {10.1109/TEST.2017.8242041}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuFB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LukasYC17, author = {Christopher J. Lukas and Farah B. Yahya and Benton H. Calhoun}, title = {Modeling trans-threshold correlations for reducing functional test time in ultra-low power systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242039}, doi = {10.1109/TEST.2017.8242039}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LukasYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Mathew17, author = {Sanu Mathew}, title = {Security keynote: Ultra-low-energy security circuit primitives for IoT platforms}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242026}, doi = {10.1109/TEST.2017.8242026}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Mathew17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MhiraHBCMNJPB17, author = {Souhir Mhira and Vincent Huard and Ahmed Benhassain and Florian Cacho and David Meyer and Sylvie Naudet and Abhishek Jain and C. R. Parthasarathy and Alain Bravaix}, title = {Cognitive approach to support dynamic aging compensation}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242042}, doi = {10.1109/TEST.2017.8242042}, timestamp = {Fri, 06 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/MhiraHBCMNJPB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MilewskiMRSTZ17, author = {Sylwester Milewski and Nilanjan Mukherjee and Janusz Rajski and Jedrzej Solecki and Jerzy Tyszer and Justyna Zawada}, title = {Full-scan {LBIST} with capture-per-cycle hybrid test points}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242036}, doi = {10.1109/TEST.2017.8242036}, timestamp = {Fri, 02 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MilewskiMRSTZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NahiyanSVCFT17, author = {Adib Nahiyan and Mehdi Sadi and Rahul Vittal and Gustavo K. Contreras and Domenic Forte and Mark M. Tehranipoor}, title = {Hardware trojan detection through information flow security verification}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242062}, doi = {10.1109/TEST.2017.8242062}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NahiyanSVCFT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OdintsovJD17, author = {Sergei Odintsov and Artur Jutman and Sergei Devadze}, title = {Marginal {PCB} assembly defect detection on {DDR3/4} memory bus}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242070}, doi = {10.1109/TEST.2017.8242070}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/OdintsovJD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PintoH17, author = {Sonal Pinto and Michael S. Hsiao}, title = {{RTL} functional test generation using factored concolic execution}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242038}, doi = {10.1109/TEST.2017.8242038}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PintoH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pomeranz17, author = {Irith Pomeranz}, title = {Selecting target bridging faults for uniform circuit coverage}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242061}, doi = {10.1109/TEST.2017.8242061}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pomeranz17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pomeranz17a, author = {Irith Pomeranz}, title = {{POSTT:} Path-oriented static test compaction for transition faults in scan circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242073}, doi = {10.1109/TEST.2017.8242073}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pomeranz17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PradeepNMMN17, author = {W. Pradeep and P. Narayanan and R. Mittal and N. Maheshwari and N. Naresh}, title = {Frequency scaled segmented {(FSS)} scan architecture for optimized scan-shift power and faster test application time}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242052}, doi = {10.1109/TEST.2017.8242052}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PradeepNMMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PrasanthFR17, author = {V. Prasanth and David Foley and Srivaths Ravi}, title = {Demystifying automotive safety and security for semiconductor developer}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242074}, doi = {10.1109/TEST.2017.8242074}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PrasanthFR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PrasanthPA17, author = {V. Prasanth and Rubin A. Parekhji and Bharadwaj Amrutur}, title = {Safety analysis for integrated circuits in the context of hybrid systems}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242045}, doi = {10.1109/TEST.2017.8242045}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PrasanthPA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RobertazziSWTT17, author = {Raphael Robertazzi and Micheal Scheurman and Matt Wordeman and Shurong Tian and Christy Tyberg}, title = {Analytical test of 3D integrated circuits}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242067}, doi = {10.1109/TEST.2017.8242067}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RobertazziSWTT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RoyG17, author = {Surajit Kumar Roy and Chandan Giri}, title = {Design-for-test and test time optimization for 3D SOCs}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242082}, doi = {10.1109/TEST.2017.8242082}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/RoyG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SarsonR17, author = {Peter Sarson and Jeff Rearick}, title = {Use models for extending {IEEE} 1687 to analog test}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242068}, doi = {10.1109/TEST.2017.8242068}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SarsonR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShanBPCW17, author = {Chuanhe Jay Shan and Pietro Babighian and Yan Pan and John M. Carulli and Li{-}C. Wang}, title = {Systematic defect detection methodology for volume diagnosis: {A} data mining perspective}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242050}, doi = {10.1109/TEST.2017.8242050}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShanBPCW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SinhaPSSS17, author = {Arani Sinha and Sujay Pandey and Ayush Singhal and Alodeep Sanyal and Alan Schmaltz}, title = {DFM-aware fault model and {ATPG} for intra-cell and inter-cell defects}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242059}, doi = {10.1109/TEST.2017.8242059}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SinhaPSSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SrivastavaSSS17, author = {Ankush Srivastava and Adit D. Singh and Virendra Singh and Kewal K. Saluja}, title = {Exploiting path delay test generation to develop better {TDF} tests for small delay defects}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242072}, doi = {10.1109/TEST.2017.8242072}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SrivastavaSSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SumikawaNW17, author = {Nik Sumikawa and Matt Nero and Li{-}C. Wang}, title = {Kernel based clustering for quality improvement and excursion detection}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242071}, doi = {10.1109/TEST.2017.8242071}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SumikawaNW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunterS17, author = {Stephen Sunter and Peter Sarson}, title = {{A/MS} benchmark circuits for comparing fault simulation, DFT, and test generation methods}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--7}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242079}, doi = {10.1109/TEST.2017.8242079}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SunterS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TshagharyanHZ17, author = {Grigor Tshagharyan and Gurgen Harutyunyan and Yervant Zorian}, title = {An effective functional safety solution for automotive systems-on-chip}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242075}, doi = {10.1109/TEST.2017.8242075}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TshagharyanHZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/VenkataramanPBA17, author = {Srikanth Venkataraman and Irith Pomeranz and Shraddha Bodhe and M. Enamul Amyeen}, title = {Test reordering for improved scan chain diagnosis using an enhanced defect diagnosis procedure}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242049}, doi = {10.1109/TEST.2017.8242049}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/VenkataramanPBA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangSSNSW17, author = {Li{-}C. Wang and Sebastian Siatkowski and Chuanhe Jay Shan and Matthew Nero and Nikolas Sumikawa and LeRoy Winemberg}, title = {Some considerations on choosing an outlier method for automotive product lines}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242047}, doi = {10.1109/TEST.2017.8242047}, timestamp = {Fri, 05 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WangSSNSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangSSSH17, author = {Jiafan Wang and Congyin Shi and Adriana C. Sanabria{-}Borbon and Edgar S{\'{a}}nchez{-}Sinencio and Jiang Hu}, title = {Thwarting analog {IC} piracy via combinational locking}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242064}, doi = {10.1109/TEST.2017.8242064}, timestamp = {Wed, 28 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/WangSSSH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/XanthopoulosSRM17, author = {Constantinos Xanthopoulos and Peter Sarson and Heinz Reiter and Yiorgos Makris}, title = {Automated die inking: {A} pattern recognition-based approach}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242040}, doi = {10.1109/TEST.2017.8242040}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/XanthopoulosSRM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/XuZTBC17, author = {Li Xu and Yuming Zhuang and Rajavelu Thinakaran and Kenneth M. Butler and Degang Chen}, title = {Accurate {ADC} testing with significantly relaxed instrumentation including large cumulative jitter}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242057}, doi = {10.1109/TEST.2017.8242057}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/XuZTBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangCLJ17, author = {Ying Zhang and Krishnendu Chakrabarty and Huawei Li and Jianhui Jiang}, title = {Software-based online self-testing of network-on-chip using bounded model checking}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242037}, doi = {10.1109/TEST.2017.8242037}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ZhangCLJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhuangC17, author = {Yuming Zhuang and Degang Chen}, title = {Accurate and robust spectral testing with relaxed instrumentation requirements}, booktitle = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/TEST.2017.8242081}, doi = {10.1109/TEST.2017.8242081}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ZhuangC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2017, title = {{IEEE} International Test Conference, {ITC} 2017, Fort Worth, TX, USA, October 31 - Nov. 2, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8227522/proceeding}, isbn = {978-1-5386-3413-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AhmadiXNOPM16, author = {Ali Ahmadi and Constantinos Xanthopoulos and Amit Nahar and Bob Orr and Michael Pas and Yiorgos Makris}, title = {Harnessing process variations for optimizing wafer-level probe-test flow}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805835}, doi = {10.1109/TEST.2016.7805835}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/AhmadiXNOPM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AlamTF16, author = {Md. Mahbub Alam and Mark M. Tehranipoor and Domenic Forte}, title = {Recycled {FPGA} detection using exhaustive {LUT} path delay characterization}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805854}, doi = {10.1109/TEST.2016.7805854}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AlamTF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AmyeenKCNVJGS16, author = {M. Enamul Amyeen and Dongok Kim and Maheshwar Chandrasekar and Mohammad Noman and Srikanth Venkataraman and Anurag Jain and Neha Goel and Ramesh Sharma}, title = {A novel diagnostic test generation methodology and its application in production failure isolation}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805821}, doi = {10.1109/TEST.2016.7805821}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/AmyeenKCNVJGS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ArmstrongM16, author = {Dave Armstrong and Gary Maier}, title = {Known-good-die test methods for large, thin, high-power digital devices}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805851}, doi = {10.1109/TEST.2016.7805851}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ArmstrongM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AroraABS16, author = {Shalini Arora and Aman Aflaki and Sounil Biswas and Masashi Shimanouchi}, title = {{SERDES} external loopback test using production parametric-test hardware}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805841}, doi = {10.1109/TEST.2016.7805841}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/AroraABS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BanerjeeCA16, author = {Suvadeep Banerjee and Abhijit Chatterjee and Jacob A. Abraham}, title = {Efficient cross-layer concurrent error detection in nonlinear control systems using mapped predictive check states}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805861}, doi = {10.1109/TEST.2016.7805861}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/BanerjeeCA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ButlerND16, author = {Kenneth M. Butler and Amit Nahar and W. Robert Daasch}, title = {What we know after twelve years developing and deploying test data analytics solutions}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805844}, doi = {10.1109/TEST.2016.7805844}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ButlerND16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChaoLWLL16, author = {Huina Chao and Huawei Li and Tiancheng Wang and Xiaowei Li and Bo Liu}, title = {An accurate algorithm for computing mutation coverage in model checking}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805864}, doi = {10.1109/TEST.2016.7805864}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChaoLWLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CoyetteEDVG16, author = {Anthony Coyette and Baris Esen and Wim Dobbelaere and Ronny Vanhooren and Georges G. E. Gielen}, title = {Automatic test signal generation for mixed-signal integrated circuits using circuit partitioning and interval analysis}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805867}, doi = {10.1109/TEST.2016.7805867}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CoyetteEDVG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DevanathanK16, author = {V. R. Devanathan and Sumant Kale}, title = {A reconfigurable built-in memory self-repair architecture for heterogeneous cores with embedded {BIST} datapath}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805870}, doi = {10.1109/TEST.2016.7805870}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/DevanathanK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DobbelaereVMMCE16, author = {Wim Dobbelaere and Ronny Vanhooren and Willy De Man and Koen Matthijs and Anthony Coyette and Baris Esen and Georges G. E. Gielen}, title = {Analog fault coverage improvement using final-test dynamic part average testing}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805829}, doi = {10.1109/TEST.2016.7805829}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DobbelaereVMMCE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EsenCGDV16, author = {Baris Esen and Anthony Coyette and Georges G. E. Gielen and Wim Dobbelaere and Ronny Vanhooren}, title = {Effective {DC} fault models and testing approach for open defects in analog circuits}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805830}, doi = {10.1109/TEST.2016.7805830}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/EsenCGDV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FanVSRJDK16, author = {Y. Fan and A. Verma and Y. Su and L. Rose and J. Janney and V. Do and S. Kumar}, title = {{RF} test accuracy and capacity enhancement on {ATE} for silicon {TV} tuners}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805842}, doi = {10.1109/TEST.2016.7805842}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/FanVSRJDK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FynanLNMSB16, author = {Phillip Fynan and Zeye Liu and Benjamin Niewenhuis and Soumya Mittal and Marcin Strajwas and R. D. (Shawn) Blanton}, title = {Logic characterization vehicle design reflection via layout rewiring}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805849}, doi = {10.1109/TEST.2016.7805849}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FynanLNMSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Hansen16, author = {Ken Hansen}, title = {Keynote address Thursday: Addressing semiconductor industry needs: Defining the future through creative, exciting research}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {11}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805818}, doi = {10.1109/TEST.2016.7805818}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Hansen16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HouCLLKC16, author = {Chih{-}Sheng Hou and Yong{-}Xiao Chen and Jin{-}Fu Li and Chih{-}Yen Lo and Ding{-}Ming Kwai and Yung{-}Fa Chou}, title = {A built-in self-repair scheme for DRAMs with spare rows, columns, and bits}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805832}, doi = {10.1109/TEST.2016.7805832}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HouCLLKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsuSSLCSC16, author = {Chun{-}Kai Hsu and Peter Sarson and Gregor Schatzberger and Friedrich Peter Leisenberger and John M. Carulli Jr. and Siddhartha Siddhartha and Kwang{-}Ting Cheng}, title = {Variation and failure characterization through pattern classification of test data from multiple test stages}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805845}, doi = {10.1109/TEST.2016.7805845}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HsuSSLCSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JagannadhaYSCSB16, author = {Pavan Kumar Datla Jagannadha and Mahmut Yilmaz and Milind Sonawane and Sailendra Chadalavada and Shantanu Sarangi and Bonita Bhaskaran and Ayub Abdollahian}, title = {Advanced test methodology for complex SoCs}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805857}, doi = {10.1109/TEST.2016.7805857}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/JagannadhaYSCSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JinZCG16, author = {Shi Jin and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Accurate anomaly detection using correlation-based time-series analysis in a core router system}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805836}, doi = {10.1109/TEST.2016.7805836}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JinZCG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JohnsonNJCMD16, author = {Michael Johnson and Brian Noble and Mark Johnson and Jim Crafts and Cynthia Manya and John Deforge}, title = {Active reliability monitor: Defect level extrinsic reliability monitoring on 22nm {POWER8} and zSeries processors}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805871}, doi = {10.1109/TEST.2016.7805871}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/JohnsonNJCMD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KadamRCA16, author = {Gurunath Kadam and Markus Rudack and Krishnendu Chakrabarty and Juergen Alt}, title = {Supply-voltage optimization to account for process variations in high-volume manufacturing testing}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805846}, doi = {10.1109/TEST.2016.7805846}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KadamRCA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KelirisSCKMK16, author = {Anastasis Keliris and Hossein Salehghaffari and Brian R. Cairl and Prashanth Krishnamurthy and Michail Maniatakos and Farshad Khorrami}, title = {Machine learning-based defense against process-aware attacks on Industrial Control Systems}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805855}, doi = {10.1109/TEST.2016.7805855}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/KelirisSCKMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KunduBK16, author = {Subhadip Kundu and Parthajit Bhattacharya and Rohit Kapur}, title = {Handling wrong mapping: {A} new direction towards better diagnosis with low pin convolution compressors}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805822}, doi = {10.1109/TEST.2016.7805822}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/KunduBK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeTK16, author = {Kuen{-}Jong Lee and Pin{-}Hao Tang and Michael A. Kochte}, title = {An on-chip self-test architecture with test patterns recorded in scan chains}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805865}, doi = {10.1109/TEST.2016.7805865}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LeeTK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiJLXC16, author = {Tianjian Li and Li Jiang and Xiaoyao Liang and Qiang Xu and Krishnendu Chakrabarty}, title = {Defect tolerance for CNFET-based SRAMs}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805833}, doi = {10.1109/TEST.2016.7805833}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiJLXC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiLYCHL16, author = {Zipeng Li and Kelvin Yi{-}Tse Lai and Po{-}Hsien Yu and Krishnendu Chakrabarty and Tsung{-}Yi Ho and Chen{-}Yi Lee}, title = {Built-in self-test for micro-electrode-dot-array digital microfluidic biochips}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805847}, doi = {10.1109/TEST.2016.7805847}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiLYCHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LienL16, author = {Wei{-}Cheng Lien and Kuen{-}Jong Lee}, title = {Output bit selection methodology for test response compaction}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805873}, doi = {10.1109/TEST.2016.7805873}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LienL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LimX0BA16, author = {Carlston Lim and Yang Xue and Xin Li and Ronald D. Blanton and M. Enamul Amyeen}, title = {Diagnostic resolution improvement through learning-guided physical failure analysis}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805824}, doi = {10.1109/TEST.2016.7805824}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LimX0BA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuMMRRT16, author = {Yingdi Liu and Elham K. Moghaddam and Nilanjan Mukherjee and Sudhakar M. Reddy and Janusz Rajski and Jerzy Tyszer}, title = {Minimal area test points for deterministic patterns}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805825}, doi = {10.1109/TEST.2016.7805825}, timestamp = {Fri, 02 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuMMRRT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MittalLNB16, author = {Soumya Mittal and Zeye Liu and Ben Niewenhuis and R. D. (Shawn) Blanton}, title = {Test chip design for optimal cell-aware diagnosability}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805850}, doi = {10.1109/TEST.2016.7805850}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MittalLNB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MoghaddamMRTZ16, author = {Elham K. Moghaddam and Nilanjan Mukherjee and Janusz Rajski and Jerzy Tyszer and Justyna Zawada}, title = {Test point insertion in hybrid test compression/LBIST architectures}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805826}, doi = {10.1109/TEST.2016.7805826}, timestamp = {Fri, 02 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MoghaddamMRTZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MuldreyDC16, author = {Barry John Muldrey and Sabyasachi Deyati and Abhijit Chatterjee}, title = {{DE-LOC:} Design validation and debugging under limited observation and control, pre- and post-silicon for mixed-signal systems}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805868}, doi = {10.1109/TEST.2016.7805868}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/MuldreyDC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MurakamiKMKMK16, author = {Masahiro Murakami and Haruo Kobayashi and Shaiful Nizam Bin Mohyar and Osamu Kobayashi and Takahiro Miki and Junya Kojima}, title = {{I-Q} signal generation techniques for communication {IC} testing and {ATE} systems}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805858}, doi = {10.1109/TEST.2016.7805858}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/MurakamiKMKMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NakamuraA16, author = {Takayuki Nakamura and Koji Asami}, title = {Novel crosstalk evaluation method for high-density signal traces using clock waveform conversion technique}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805859}, doi = {10.1109/TEST.2016.7805859}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/NakamuraA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NakuraTIIKIA16, author = {Toru Nakura and Naoki Terao and Masahiro Ishida and Rimon Ikeno and Takashi Kusaka and Tetsuya Iizuka and Kunihiro Asada}, title = {Power supply impedance emulation to eliminate overkills and underkills due to the impedance difference between {ATE} and customer board}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805860}, doi = {10.1109/TEST.2016.7805860}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/NakuraTIIKIA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PanDSCFBC16, author = {Yan Pan and Rao Desineni and Kannan Sekar and Atul Chittora and Sherwin Fernandes and Neerja Bawaskar and John M. Carulli}, title = {Pylon: Towards an integrated customizable volume diagnosis infrastructure}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805872}, doi = {10.1109/TEST.2016.7805872}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/PanDSCFBC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Papavramidou16, author = {Panagiota Papavramidou}, title = {Memory repair for high fault rates}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805874}, doi = {10.1109/TEST.2016.7805874}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Papavramidou16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Portolan16, author = {Michele Portolan}, title = {Accessing 1687 systems using arbitrary protocols}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805839}, doi = {10.1109/TEST.2016.7805839}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Portolan16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Rhines16, author = {Walden C. Rhines}, title = {Plenary keynote address Tuesday: The business of test: Test and semiconductor economics}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805816}, doi = {10.1109/TEST.2016.7805816}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Rhines16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Roberts16, author = {Gordon W. Roberts}, title = {Mixed-signal {ATE} technology and its impact on today's electronic system}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805852}, doi = {10.1109/TEST.2016.7805852}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Roberts16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Rutenbar16, author = {Rob A. Rutenbar}, title = {Keynote address Wednesday: Hardware inference accelerators for machine learning}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805817}, doi = {10.1109/TEST.2016.7805817}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Rutenbar16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SadiCTCWT16, author = {Mehdi Sadi and Gustavo K. Contreras and Dat Tran and Jifeng Chen and LeRoy Winemberg and Mark M. Tehranipoor}, title = {{BIST-RM:} BIST-assisted reliability management of SoCs using on-chip clock sweeping and machine learning}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805862}, doi = {10.1109/TEST.2016.7805862}, timestamp = {Tue, 30 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SadiCTCWT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SaleemT16, author = {Kamran Saleem and Nur A. Touba}, title = {Using symbolic canceling to improve diagnosis from compacted response}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805823}, doi = {10.1109/TEST.2016.7805823}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SaleemT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Sarson16, author = {Peter Sarson}, title = {Test time efficient group delay filter characterization technique using a discrete chirped excitation signal}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805853}, doi = {10.1109/TEST.2016.7805853}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Sarson16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SequeiraNGC16, author = {Jyotsna Sequeira and Suriyaprakash Natarajan and Prashant Goteti and Nitin Chaudhary}, title = {Fault simulation for analog test coverage}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805831}, doi = {10.1109/TEST.2016.7805831}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SequeiraNGC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShawHBN16, author = {David Shaw and Dirk Hoops and Kenneth M. Butler and Amit Nahar}, title = {Statistical outlier screening as a test solution health monitor}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805837}, doi = {10.1109/TEST.2016.7805837}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ShawHBN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunterVM16, author = {Stephen Sunter and Alessandro Valerio and Riccardo Miglierina}, title = {Automated measurement of defect tolerance in mixed-signal ICs}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805869}, doi = {10.1109/TEST.2016.7805869}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SunterVM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TangKIC16, author = {Jack Tang and Ramesh Karri and Mohamed Ibrahim and Krishnendu Chakrabarty}, title = {Securing digital microfluidic biochips by randomizing checkpoints}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805856}, doi = {10.1109/TEST.2016.7805856}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TangKIC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TsertovJDRLZCMK16, author = {Anton Tsertov and Artur Jutman and Sergei Devadze and Matteo Sonza Reorda and Erik Larsson and Farrokh Ghani Zadegan and Riccardo Cantoro and Mehrdad Montazeri and Rene Krenz{-}Baath}, title = {A suite of {IEEE} 1687 benchmark networks}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805840}, doi = {10.1109/TEST.2016.7805840}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TsertovJDRLZCMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ValleroSPCCTKGR16, author = {Alessandro Vallero and Alessandro Savino and Gianfranco Politano and Stefano Di Carlo and Athanasios Chatzidimitriou and Sotiris Tselonis and Manolis Kaliorakis and Dimitris Gizopoulos and Marc Riera and Ramon Canal and Antonio Gonz{\'{a}}lez and Maha Kooli and Alberto Bosio and Giorgio Di Natale}, title = {Cross-layer system reliability assessment framework for hardware faults}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805863}, doi = {10.1109/TEST.2016.7805863}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ValleroSPCCTKGR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangC16, author = {Ran Wang and Krishnendu Chakrabarty}, title = {Testing of interposer-based 2.5D integrated circuits}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805875}, doi = {10.1109/TEST.2016.7805875}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WangC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WuL16, author = {Cheng{-}Hung Wu and Kuen{-}Jong Lee}, title = {Transformation of multiple fault models to a unified model for {ATPG} efficiency enhancement}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805866}, doi = {10.1109/TEST.2016.7805866}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/WuL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/XiangCF16, author = {Dong Xiang and Krishnendu Chakrabarty and Hideo Fujiwara}, title = {A unified test and fault-tolerant multicast solution for network-on-chip designs}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805827}, doi = {10.1109/TEST.2016.7805827}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/XiangCF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YoonCR16, author = {Insik Yoon and Ashwin Chintaluri and Arijit Raychowdhury}, title = {{EMACS:} Efficient {MBIST} architecture for test and characterization of {STT-MRAM} arrays}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805834}, doi = {10.1109/TEST.2016.7805834}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/YoonCR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZadeganKL16, author = {Farrokh Ghani Zadegan and Rene Krenz{-}Baath and Erik Larsson}, title = {Upper-bound computation for optimal retargeting in {IEEE1687} networks}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805838}, doi = {10.1109/TEST.2016.7805838}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZadeganKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangHSGASWD16, author = {Fanchen Zhang and Daphne Hwong and Yi Sun and Allison Garcia and Soha Alhelaly and Geoff Shofner and LeRoy Winemberg and Jennifer Dworak}, title = {Putting wasted clock cycles to use: Enhancing fortuitous cell-aware fault detection with scan shift capture}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--10}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805828}, doi = {10.1109/TEST.2016.7805828}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ZhangHSGASWD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhengHLWTC16, author = {Chih{-}Chieh Zheng and Shi{-}Yu Huang and Shyue{-}Kung Lu and Ting{-}Chi Wang and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {Online slack-time binning for IO-registered die-to-die interconnects}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805848}, doi = {10.1109/TEST.2016.7805848}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ZhengHLWTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhuangUJSMC16, author = {Yuming Zhuang and Akhilesh Kesavan Unnithan and Arun Joseph and Siva Sudani and Benjamin Magstadt and Degang Chen}, title = {Low cost ultra-pure sine wave generation with self calibration}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805843}, doi = {10.1109/TEST.2016.7805843}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ZhuangUJSMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2016, title = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7794484/proceeding}, isbn = {978-1-4673-8773-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AceroFHMMNPRTZ15, author = {Cesar Acero and Derek Feltham and Friedrich Hapke and Elham K. Moghaddam and Nilanjan Mukherjee and Vidya Neerkundar and Marek Patyra and Janusz Rajski and Jerzy Tyszer and Justyna Zawada}, title = {Embedded deterministic test points for compact cell-aware tests}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342383}, doi = {10.1109/TEST.2015.7342383}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/AceroFHMMNPRTZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Arabi15, author = {Karim Arabi}, title = {Brain-inspired computing}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342374}, doi = {10.1109/TEST.2015.7342374}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Arabi15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BackerHK15, author = {Jerry Backer and David H{\'{e}}ly and Ramesh Karri}, title = {Secure design-for-debug for Systems-on-Chip}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342418}, doi = {10.1109/TEST.2015.7342418}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/BackerHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BasakZB15, author = {Abhishek Basak and Fengchao Zhang and Swarup Bhunia}, title = {PiRA: {IC} authentication utilizing intrinsic variations in pin resistance}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342388}, doi = {10.1109/TEST.2015.7342388}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/BasakZB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BlantonNL15, author = {R. D. (Shawn) Blanton and Benjamin Niewenhuis and Zeye (Dexter) Liu}, title = {Design reflection for optimal test-chip implementation}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342379}, doi = {10.1109/TEST.2015.7342379}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BlantonNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Bottoms15, author = {William R. Bottoms}, title = {Can we ensure reliability in the era of heterogeneous integration?}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342376}, doi = {10.1109/TEST.2015.7342376}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Bottoms15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DeutschC15, author = {Sergej Deutsch and Krishnendu Chakrabarty}, title = {Contactless pre-bond {TSV} fault diagnosis using duty-cycle detectors and ring oscillators}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342389}, doi = {10.1109/TEST.2015.7342389}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DeutschC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DeutschC15a, author = {Sergej Deutsch and Krishnendu Chakrabarty}, title = {Test and debug solutions for 3D-stacked integrated circuits}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342421}, doi = {10.1109/TEST.2015.7342421}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DeutschC15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DinhYHC15, author = {Trung Anh Dinh and Shigeru Yamashita and Tsung{-}Yi Ho and Krishnendu Chakrabarty}, title = {A general testing method for digital microfluidic biochips under physical constraints}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342403}, doi = {10.1109/TEST.2015.7342403}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DinhYHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EbrahimiT15, author = {Mojtaba Ebrahimi and Mehdi Baradaran Tahoori}, title = {Stepped parity: {A} low-cost multiple bit upset detection technique}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342410}, doi = {10.1109/TEST.2015.7342410}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/EbrahimiT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FernKC15, author = {Nicole Fern and Shrikant Kulkarni and Kwang{-}Ting (Tim) Cheng}, title = {Hardware Trojans hidden in {RTL} don't cares - Automated insertion and prevention methodologies}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342387}, doi = {10.1109/TEST.2015.7342387}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FernKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IizukaMHO15, author = {Shoichi Iizuka and Yutaka Masuda and Masanori Hashimoto and Takao Onoye}, title = {Stochastic timing error rate estimation under process and temporal variations}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342404}, doi = {10.1109/TEST.2015.7342404}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IizukaMHO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IshidaI15, author = {Masahiro Ishida and Kiyotaka Ichiyama}, title = {An {ATE} system for testing 2.4-GHz {RF} digital communication devices with {QAM} signal interfaces}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342394}, doi = {10.1109/TEST.2015.7342394}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/IshidaI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JeongKO15, author = {Jae Woong Jeong and Jennifer Kitchen and Sule Ozev}, title = {A self-compensating built-in self-test solution for {RF} phased array mismatch}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342414}, doi = {10.1109/TEST.2015.7342414}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/JeongKO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JiangPJLLX15, author = {Li Jiang and Pu Pang and Naifeng Jing and Sung Kyu Lim and Xiaoyao Liang and Qiang Xu}, title = {On diagnosable and tunable 3D clock network design for lifetime reliability enhancement}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342405}, doi = {10.1109/TEST.2015.7342405}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JiangPJLLX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JiangX15, author = {Li Jiang and Qiang Xu}, title = {Yield and reliability enhancement for 3D ICs: Dissertation summary: {IEEE} {TTTC} {E.J.} McCluskey doctoral thesis award competition finalist}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--11}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342423}, doi = {10.1109/TEST.2015.7342423}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JiangX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Kahng15, author = {Andrew B. Kahng}, title = {Modeling the future of semiconductors (and test!)}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342375}, doi = {10.1109/TEST.2015.7342375}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Kahng15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KarunagaranSF15, author = {Sriram Karunagaran and Karuna P. Sahoo and Masahiro Fujita}, title = {Hardware in loop testing of an insulin pump}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342416}, doi = {10.1109/TEST.2015.7342416}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/KarunagaranSF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Krenz-BaathZL15, author = {Rene Krenz{-}Baath and Farrokh Ghani Zadegan and Erik Larsson}, title = {Access time minimization in {IEEE} 1687 networks}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342408}, doi = {10.1109/TEST.2015.7342408}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Krenz-BaathZL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiGLC15, author = {Zipeng Li and Sandeep Kumar Goel and Frank Lee and Krishnendu Chakrabarty}, title = {Efficient observation-point insertion for diagnosability enhancement in digital circuits}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342380}, doi = {10.1109/TEST.2015.7342380}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiGLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinHC15, author = {Fan Lin and Chun{-}Kai Hsu and Kwang{-}Ting Cheng}, title = {AdaTest: An efficient statistical test framework for test escape screening}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342391}, doi = {10.1109/TEST.2015.7342391}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinR15, author = {Xijiang Lin and Sudhakar M. Reddy}, title = {On generating high quality tests based on cell functions}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342382}, doi = {10.1109/TEST.2015.7342382}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LinR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinSBM15, author = {David Lin and Eshan Singh and Clark W. Barrett and Subhasish Mitra}, title = {A structured approach to post-silicon validation and debug using symbolic quick error detection}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342397}, doi = {10.1109/TEST.2015.7342397}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LinSBM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuVHM15, author = {Yu Liu and Georgios Volanis and Ke Huang and Yiorgos Makris}, title = {Concurrent hardware Trojan detection in wireless cryptographic ICs}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342386}, doi = {10.1109/TEST.2015.7342386}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LiuVHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LongTLH15, author = {An{-}Jim Long and David Tsai and Kent Lien and Steve Hsu}, title = {Tolerance analysis of fixture fabrication, from drilling holes to pointing accuracy}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342401}, doi = {10.1109/TEST.2015.7342401}, timestamp = {Thu, 23 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LongTLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LuSHKHM15, author = {Yichuan Lu and Kiruba S. Subramani and He Huang and Nathan Kupp and Ke Huang and Yiorgos Makris}, title = {A comparative study of one-shot statistical calibration methods for analog / {RF} ICs}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342415}, doi = {10.1109/TEST.2015.7342415}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LuSHKHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MarinissenWWFKS15, author = {Erik Jan Marinissen and Bart De Wachter and Teng Wang and Jens Fiedler and Jorg Kiesewetter and Karsten Stoll}, title = {Automated testing of bare die-to-die stacks}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342412}, doi = {10.1109/TEST.2015.7342412}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MarinissenWWFKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/McGintyHNC15, author = {Stephen McGinty and Daniel Hadad and Chris Nappi and Brian Caquelin}, title = {Developing a modern platform for test engineering - Introducing the origen semiconductor developer's kit}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342393}, doi = {10.1109/TEST.2015.7342393}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/McGintyHNC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MingJYWM15, author = {Xue Ming and Koelz Johann and Lee Chow York and Lee Kwan Wee and Shi Zhi Min}, title = {Electrical package defect testing for volume production}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342400}, doi = {10.1109/TEST.2015.7342400}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/MingJYWM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MrugalskiRRST15, author = {Grzegorz Mrugalski and Janusz Rajski and Lukasz Rybak and Jedrzej Solecki and Jerzy Tyszer}, title = {A deterministic {BIST} scheme based on EDT-compressed test patterns}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342398}, doi = {10.1109/TEST.2015.7342398}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/MrugalskiRRST15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OborilT15, author = {Fabian Oboril and Mehdi Baradaran Tahoori}, title = {Cross-layer approaches for an aging-aware design of nanoscale microprocessors: Dissertation summary: {IEEE} {TTTC} {E.J.} McCluskey doctoral thesis award competition finalist}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342422}, doi = {10.1109/TEST.2015.7342422}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/OborilT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OckunzziOG15, author = {Kelly A. Ockunzzi and Michael R. Ouellette and Kevin W. Gorman}, title = {Optimizing delay tests at the memory boundary}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342411}, doi = {10.1109/TEST.2015.7342411}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/OckunzziOG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Okawara15, author = {Hideo Okawara}, title = {eRNA: Refining of reconstructed digital waveform}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342392}, doi = {10.1109/TEST.2015.7342392}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Okawara15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PayakapanKPYCKD15, author = {Tassanee Payakapan and Senwen Kan and Ken Pham and Kathy Yang and Jean{-}Francois Cote and Martin Keim and Jennifer Dworak}, title = {A case study: Leverage {IEEE} 1687 based method to automate modeling, verification, and test access for embedded instruments in a server processor}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342407}, doi = {10.1109/TEST.2015.7342407}, timestamp = {Mon, 25 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PayakapanKPYCKD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/QuerbachYVBKPC15, author = {Bruce Querbach and Tan Peter Yanyang and Lovelace Van and David Blankenbeckler and Rahul Khanna and Sudeep Puligundla and Patrick Chiang}, title = {Platform {IO} and system memory test using {L3} cache based test {(CBT)} and parallel execution of {CPGC} Intel {BIST} engine}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342399}, doi = {10.1109/TEST.2015.7342399}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/QuerbachYVBKPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RashidzadehJSM15, author = {Rashid Rashidzadeh and Esrafil Jedari and Tareq Muhammad Supon and Vladimir Mashkovtsev}, title = {A DLL-based test solution for through silicon via {(TSV)} in 3D-stacked ICs}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342390}, doi = {10.1109/TEST.2015.7342390}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/RashidzadehJSM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SiatkowskiCWSWD15, author = {Sebastian Siatkowski and Chia{-}Ling Chang and Li{-}C. Wang and Nikolas Sumikawa and LeRoy Winemberg and W. Robert Daasch}, title = {Generalization of an outlier model into a "global" perspective}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342396}, doi = {10.1109/TEST.2015.7342396}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SiatkowskiCWSWD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/StratigopoulosB15, author = {Haralampos{-}G. D. Stratigopoulos and Manuel J. Barrag{\'{a}}n and Salvador Mir and Herv{\'{e}} Le Gall and Neha Bhargava and Ankur Bal}, title = {Evaluation of low-cost mixed-signal test techniques for circuits with long simulation times}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342385}, doi = {10.1109/TEST.2015.7342385}, timestamp = {Wed, 28 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/StratigopoulosB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunterCR15, author = {Stephen K. Sunter and Jean{-}Francois Cote and Jeff Rearick}, title = {Streaming fast access to ADCs and DACs for mixed-signal {ATPG}}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342395}, doi = {10.1109/TEST.2015.7342395}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SunterCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TanwirPHL15, author = {Sarmad Tanwir and Sarvesh Prabhu and Michael S. Hsiao and Loganathan Lingappan}, title = {Information-theoretic and statistical methods of failure log selection for improved diagnosis}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342381}, doi = {10.1109/TEST.2015.7342381}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TanwirPHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TiernanSPWD15, author = {Kevin Tiernan and Snehamay Sinha and Lily Pang and Robert Williams and Ken Delling}, title = {How many probes is enough? {A} low cost method for probe card depopulation with low risk}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--5}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342413}, doi = {10.1109/TEST.2015.7342413}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TiernanSPWD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TsaiHTC15, author = {Meng{-}Ting Tsai and Shi{-}Yu Huang and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {Monitoring the delay of long interconnects via distributed {TDC}}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342406}, doi = {10.1109/TEST.2015.7342406}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TsaiHTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TsoutsosM15, author = {Nektarios Georgios Tsoutsos and Michail Maniatakos}, title = {Extending residue-based fault tolerance to encrypted computation}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342419}, doi = {10.1109/TEST.2015.7342419}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/TsoutsosM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/VartziotisKGC15, author = {Fotios Vartziotis and Xrysovalantis Kavousianos and Panagiotis Georgiou and Krishnendu Chakrabarty}, title = {Test-access-mechanism optimization for multi-Vdd SoCs}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342420}, doi = {10.1109/TEST.2015.7342420}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/VartziotisKGC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/VoineaK15, author = {Adrian I. Voinea and Stefan Kampfer}, title = {Rapid prototyping and test before silicon of integrated pressure sensors}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--9}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342402}, doi = {10.1109/TEST.2015.7342402}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/VoineaK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YamaguchiDKIUS15, author = {Takahiro J. Yamaguchi and Katsuhiko Degawa and Masayuki Kawabata and Masahiro Ishida and Koichiro Uekusa and Mani Soma}, title = {A new method for measuring alias-free aperture jitter in an {ADC} output}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342384}, doi = {10.1109/TEST.2015.7342384}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/YamaguchiDKIUS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YangHSLSPC15, author = {Hao{-}Yu Yang and Rei{-}Fu Huang and Chin{-}Lung Su and Kuan{-}Hong Lin and Hang{-}Kaung Shu and Chi{-}Wei Peng and Mango Chia{-}Tso Chao}, title = {Testing methods for quaternary content addressable memory using charge-sharing sensing scheme}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342409}, doi = {10.1109/TEST.2015.7342409}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/YangHSLSPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YaoCZLWXWY15, author = {Song Yao and Xiaoming Chen and Jie Zhang and Qiaoyi Liu and Jia Wang and Qiang Xu and Yu Wang and Huazhong Yang}, title = {FASTrust: Feature analysis for third-party {IP} trust verification}, booktitle = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, pages = {1--10}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/TEST.2015.7342417}, doi = {10.1109/TEST.2015.7342417}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YaoCZLWXWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2015, title = {2015 {IEEE} International Test Conference, {ITC} 2015, Anaheim, CA, USA, October 6-8, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7331771/proceeding}, isbn = {978-1-4673-6578-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AbeKSKKK14, author = {Fumitaka Abe and Yutaro Kobayashi and Kenji Sawada and Keisuke Kato and Osamu Kobayashi and Haruo Kobayashi}, title = {Low-distortion signal generation for {ADC} testing}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035304}, doi = {10.1109/TEST.2014.7035304}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AbeKSKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AdhamCLHH14, author = {Saman Adham and Jonathan Chang and Hung{-}Jen Liao and John Hung and Ting{-}Hua Hsieh}, title = {The importance of DFX, a foundry perspective}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035311}, doi = {10.1109/TEST.2014.7035311}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AdhamCLHH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AgrawalCE14, author = {Mukesh Agrawal and Krishnendu Chakrabarty and Bill Eklow}, title = {A distributed, reconfigurable, and reusable bist infrastructure for 3D-stacked ICs}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035333}, doi = {10.1109/TEST.2014.7035333}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AgrawalCE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AhmadiHNCM14, author = {Ali Ahmadi and Ke Huang and Suriyaprakash Natarajan and John M. Carulli Jr. and Yiorgos Makris}, title = {Spatio-temporal wafer-level correlation modeling with progressive sampling: {A} pathway to {HVM} yield estimation}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035325}, doi = {10.1109/TEST.2014.7035325}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AhmadiHNCM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ajouri14, author = {Stacy Ajouri}, title = {The desire-friction ratio of Adaptive test}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035289}, doi = {10.1109/TEST.2014.7035289}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Ajouri14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BishnoiEOT14, author = {Rajendra Bishnoi and Mojtaba Ebrahimi and Fabian Oboril and Mehdi Baradaran Tahoori}, title = {Read disturb fault detection in {STT-MRAM}}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035342}, doi = {10.1109/TEST.2014.7035342}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BishnoiEOT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BlantonNT14, author = {Ronald D. Blanton and Ben Niewenhuis and Carl Taylor}, title = {Logic characterization vehicle design for maximal information extraction for yield learning}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035345}, doi = {10.1109/TEST.2014.7035345}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BlantonNT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Bose14, author = {Pradip Bose}, title = {Energy-secure computer architectures}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035284}, doi = {10.1109/TEST.2014.7035284}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Bose14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Bowen14, author = {Carl Bowen}, title = {Concerns over predictability of supply and quality}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035288}, doi = {10.1109/TEST.2014.7035288}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Bowen14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BowmanPNAAYYH14, author = {Keith A. Bowman and Alex Park and Venkat Narayanan and Francois Atallah and Alain Artieri and Sei Seung Yoon and Kendrick Yuen and David Hansquine}, title = {Trading-off on-die observability for cache minimum supply voltage reduction in system-on-chip (SoC) processors}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035322}, doi = {10.1109/TEST.2014.7035322}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BowmanPNAAYYH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Brat14, author = {Guillaume Brat}, title = {Compositional verification using formal analysis for a flight critical system}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035309}, doi = {10.1109/TEST.2014.7035309}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Brat14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CaiWM14, author = {X. Cai and Peter Wohl and Daniel Martin}, title = {Fault sharing in a copy-on-write based {ATPG} system}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035349}, doi = {10.1109/TEST.2014.7035349}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CaiWM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Cassano14, author = {Luca Cassano}, title = {Analysis and test of the effects of single event upsets affecting the configuration memory of SRAM-based FPGAs}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035366}, doi = {10.1109/TEST.2014.7035366}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Cassano14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChaoCCCLLHKCL14, author = {Shih{-}Min Chao and Po{-}Juei Chen and Jing{-}Yu Chen and Po{-}Hao Chen and Ang{-}Feng Lin and James Chien{-}Mo Li and Pei{-}Ying Hsueh and Chun{-}Yi Kuo and Ying{-}Yen Chen and Jih{-}Nung Li}, title = {Divide and conquer diagnosis for multiple defects}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035362}, doi = {10.1109/TEST.2014.7035362}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChaoCCCLLHKCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Chen14, author = {Harry H. Chen}, title = {The case for analyzing system level failures using structural patterns}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035346}, doi = {10.1109/TEST.2014.7035346}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Chen14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenLPW14, author = {Hao Chen and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Wafer Level Chip Scale Package copper pillar probing}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035315}, doi = {10.1109/TEST.2014.7035315}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenLPW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChengG14, author = {Da Cheng and Sandeep K. Gupta}, title = {Optimizing redundancy design for chip-multiprocessors for flexible utility functions}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035368}, doi = {10.1109/TEST.2014.7035368}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChengG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CherMHSMGDDKSSS14, author = {Chen{-}Yong Cher and K. Paul Muller and Ruud A. Haring and David L. Satterfield and Thomas E. Musta and Thomas Gooding and Kristan D. Davis and Marc Boris Dombrowa and Gerard V. Kopcsay and Robert M. Senger and Yutaka Sugawara and Krishnan Sugavanam}, title = {Soft error resiliency characterization and improvement on {IBM} BlueGene/Q processor using accelerated proton irradiation}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035317}, doi = {10.1109/TEST.2014.7035317}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CherMHSMGDDKSSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Curtis14, author = {Bill Curtis}, title = {Delivering security by design in the Internet of Things}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035283}, doi = {10.1109/TEST.2014.7035283}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Curtis14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/David-GrignotAL14, author = {Stephane David{-}Grignot and Florence Aza{\"{\i}}s and Laurent Latorre and Francois Lefevre}, title = {Low-cost phase noise testing of complex {RF} ICs using standard digital {ATE}}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035301}, doi = {10.1109/TEST.2014.7035301}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/David-GrignotAL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DeutschC14, author = {Sergej Deutsch and Krishnendu Chakrabarty}, title = {Massive signal tracing using on-chip {DRAM} for in-system silicon debug}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035363}, doi = {10.1109/TEST.2014.7035363}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DeutschC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DworakCCP14, author = {Jennifer Dworak and Zoe Conroy and Alfred L. Crouch and John C. Potter}, title = {Board security enhancement using new locking SIB-based architectures}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035355}, doi = {10.1109/TEST.2014.7035355}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DworakCCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EllurS14, author = {Harsharaj Ellur and Kalpesh Shah}, title = {A Tag based solution for efficient utilization of efuse for memory repair}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035324}, doi = {10.1109/TEST.2014.7035324}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/EllurS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ErbSKSWB14, author = {Dominik Erb and Karsten Scheibler and Michael A. Kochte and Matthias Sauer and Hans{-}Joachim Wunderlich and Bernd Becker}, title = {Test pattern generation in presence of unknown values based on restricted symbolic logic}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035350}, doi = {10.1109/TEST.2014.7035350}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ErbSKSWB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FanVJK14, author = {Y. Fan and A. Verma and J. Janney and S. Kumar}, title = {Testing silicon {TV} tuners on {ATE} without {TV} signal generator}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035352}, doi = {10.1109/TEST.2014.7035352}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FanVJK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Floyd14, author = {Brian A. Floyd}, title = {Market opportunities and testing challenges for millimeter-wave radios and radars}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035302}, doi = {10.1109/TEST.2014.7035302}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Floyd14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FujitaM14, author = {Masahiro Fujita and Alan Mishchenko}, title = {Efficient SAT-based {ATPG} techniques for all multiple stuck-at faults}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035351}, doi = {10.1109/TEST.2014.7035351}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FujitaM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Gattiker14, author = {Anne Gattiker}, title = {Big data and test}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035327}, doi = {10.1109/TEST.2014.7035327}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Gattiker14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GielenDVCE14, author = {Georges G. E. Gielen and Wim Dobbelaere and Ronny Vanhooren and Anthony Coyette and Baris Esen}, title = {Design and test of analog circuits towards sub-ppm level}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035330}, doi = {10.1109/TEST.2014.7035330}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GielenDVCE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GurumurthyPGR14, author = {Sankar Gurumurthy and Mustansir Pratapgarhwala and Curtis Gilgan and Jeff Rearick}, title = {Comparing the effectiveness of cache-resident tests against cycleaccurate deterministic functional patterns}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035348}, doi = {10.1109/TEST.2014.7035348}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GurumurthyPGR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HanS14, author = {Chao Han and Adit D. Singh}, title = {On the testing of hazard activated open defects}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035277}, doi = {10.1109/TEST.2014.7035277}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HanS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HellebrandIKKLW14, author = {Sybille Hellebrand and Thomas Indlekofer and Matthias Kampmann and Michael A. Kochte and Chang Liu and Hans{-}Joachim Wunderlich}, title = {{FAST-BIST:} Faster-than-at-Speed {BIST} targeting hidden delay defects}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035360}, doi = {10.1109/TEST.2014.7035360}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HellebrandIKKLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Hernandez14, author = {Julio Vazquez Hernandez}, title = {Error prediction and detection methodologies for reliable circuit operation under {NBTI}}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035364}, doi = {10.1109/TEST.2014.7035364}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Hernandez14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IshidaKNKA14, author = {Masahiro Ishida and Takashi Kusaka and Toru Nakura and Satoshi Komatsu and Kunihiro Asada}, title = {Statistical silicon results of dynamic power integrity control of {ATE} for eliminating overkills and underkills}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035299}, doi = {10.1109/TEST.2014.7035299}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IshidaKNKA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JeonLA14, author = {Hyeran Jeon and Gabriel H. Loh and Murali Annavaram}, title = {Efficient {RAS} support for die-stacked {DRAM}}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035318}, doi = {10.1109/TEST.2014.7035318}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JeonLA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KellerCFCGSSPS14, author = {Brion L. Keller and Krishna Chakravadhanula and Brian Foutz and Vivek Chickermane and Akhil Garg and Richard Schoonover and James Sage and Don Pearl and Thomas J. Snethen}, title = {Efficient testing of hierarchical core-based SOCs}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035292}, doi = {10.1109/TEST.2014.7035292}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KellerCFCGSSPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KumarKMMRRTW14, author = {Amit Kumar and Mark Kassab and Elham K. Moghaddam and Nilanjan Mukherjee and Janusz Rajski and Sudhakar M. Reddy and Jerzy Tyszer and Chen Wang}, title = {Isometric test compression with low toggling activity}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035293}, doi = {10.1109/TEST.2014.7035293}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KumarKMMRRTW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KuxUKSMBH14, author = {Andreas Kux and Rudolf Ullmann and Thomas Kern and Roland Strunz and Hanno Melzner and Stephan Beuven and Andreas Haase}, title = {Latent defect detection in microcontroller embedded flash test using device stress and wordline outlier screening}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035298}, doi = {10.1109/TEST.2014.7035298}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KuxUKSMBH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Larsen14, author = {Kenneth Larsen}, title = {Emulation and its connection to test}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035338}, doi = {10.1109/TEST.2014.7035338}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Larsen14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Lee14, author = {Bong Hyun Lee}, title = {Thermal-aware mobile SoC design and test in 14nm finfet technology}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035320}, doi = {10.1109/TEST.2014.7035320}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Lee14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeHE14, author = {J. K. Jerry Lee and Amr Haggag and William Eklow}, title = {Protecting against emerging vmin failures in advanced technology nodes}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035278}, doi = {10.1109/TEST.2014.7035278}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeeHE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeW14, author = {Kuen{-}Jong Lee and Cheng{-}Hung Wu}, title = {An efficient diagnosis-aware pattern generation procedure for transition faults}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035361}, doi = {10.1109/TEST.2014.7035361}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeeW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Liang14, author = {Yue Liang}, title = {Yield and performance improvement through technology-design co-optimization in advanced technology nodes}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035312}, doi = {10.1109/TEST.2014.7035312}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Liang14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinCWLLPW14, author = {Bing{-}Yang Lin and Wan{-}Ting Chiang and Cheng{-}Wen Wu and Mincent Lee and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Redundancy architectures for channel-based 3D {DRAM} yield improvement}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035331}, doi = {10.1109/TEST.2014.7035331}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LinCWLLPW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinHC14, author = {Fan Lin and Chun{-}Kai Hsu and Kwang{-}Ting Cheng}, title = {Feature engineering with canonical analysis for effective statistical tests screening test escapes}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035344}, doi = {10.1109/TEST.2014.7035344}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Mak14, author = {T. M. Mak}, title = {Interposer test: Testing PCBs that have shrunk 100x}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035334}, doi = {10.1109/TEST.2014.7035334}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Mak14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MarinissenWODPB14, author = {Erik Jan Marinissen and Bart De Wachter and Stephen O'Loughlin and Sergej Deutsch and Christos Papameletis and Tobias Burgherr}, title = {Vesuvius-3D: {A} 3D-DfT demonstrator}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035332}, doi = {10.1109/TEST.2014.7035332}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MarinissenWODPB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MarinissenWSKTH14, author = {Erik Jan Marinissen and Bart De Wachter and Ken Smith and Jorg Kiesewetter and Mottaqiallah Taouil and Said Hamdioui}, title = {Direct probing on large-array fine-pitch micro-bumps of a wide-I/O logic-memory interface}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035314}, doi = {10.1109/TEST.2014.7035314}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MarinissenWSKTH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Meier14, author = {Alexandra von Meier}, title = {Recruiting distributed resources for grid resilience: The need for transparency}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035287}, doi = {10.1109/TEST.2014.7035287}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Meier14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MirkhaniA14, author = {Shahrzad Mirkhani and Jacob A. Abraham}, title = {{EAGLE:} {A} regression model for fault coverage estimation using a simulation based metric}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035347}, doi = {10.1109/TEST.2014.7035347}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MirkhaniA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MittalKP14, author = {Rajesh Mittal and Mudasir Kawoosa and Rubin A. Parekhji}, title = {Systematic approach for trim test time optimization: Case study on a multi-core {RF} {SOC}}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035319}, doi = {10.1109/TEST.2014.7035319}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MittalKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Murmann14, author = {Boris Murmann}, title = {Teaching an old dog new tricks: Views on the future of mixed-signal {IC} design}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035306}, doi = {10.1109/TEST.2014.7035306}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Murmann14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Murthy14, author = {Praveen K. Murthy}, title = {Top ten challenges in Big Data security and privacy}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035307}, doi = {10.1109/TEST.2014.7035307}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Murthy14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MuthyalaT14, author = {Sreenivaas S. Muthyala and Nur A. Touba}, title = {Improving test compression with scan feedforward techniques}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035358}, doi = {10.1109/TEST.2014.7035358}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MuthyalaT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Pagarkar14, author = {Sajjad Pagarkar}, title = {Challenges of testing 100M chips}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035300}, doi = {10.1109/TEST.2014.7035300}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Pagarkar14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ParkK14, author = {Myeong{-}Jae Park and Jaeha Kim}, title = {A built-in self-test circuit for jitter tolerance measurement in high-speed wireline receivers}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035305}, doi = {10.1109/TEST.2014.7035305}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ParkK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PengYTTCLHKLTCH14, author = {Chun{-}Hsien Peng and ChiaYu Yang and Adonis Tsu and Chung{-}Jin Tsai and Yosen Chen and C.{-}Y. Lin and Kai Hong and Kaipon Kao and Paul C. P. Liang and Chao Long Tsai and Charles Chien and H. C. Hwang}, title = {A novel {RF} self test for a combo SoC on digital {ATE} with multi-site applications}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035303}, doi = {10.1109/TEST.2014.7035303}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PengYTTCLHKLTCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PinoJF14, author = {Youngok K. Pino and Vinayaka Jyothi and Matthew French}, title = {Intra-die process variation aware anomaly detection in FPGAs}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035343}, doi = {10.1109/TEST.2014.7035343}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PinoJF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PoulosV14, author = {Zissis Poulos and Andreas G. Veneris}, title = {Clustering-based failure triage for {RTL} regression debugging}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035339}, doi = {10.1109/TEST.2014.7035339}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PoulosV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PrabhuABH14, author = {Sarvesh Prabhu and Vineeth V. Acharya and Sharad Bagri and Michael S. Hsiao}, title = {A diagnosis-friendly {LBIST} architecture with property checking}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035359}, doi = {10.1109/TEST.2014.7035359}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PrabhuABH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PurtellM14, author = {Michael Purtell and Subhasish Mitra}, title = {Welcome message}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035248}, doi = {10.1109/TEST.2014.7035248}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PurtellM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/QuerbachKBZAESD14, author = {Bruce Querbach and Rahul Khanna and David Blankenbeckler and Yulan Zhang and Ronald T. Anderson and David G. Ellis and Zale T. Schoenborn and Sabyasachi Deyati and Patrick Chiang}, title = {A reusable {BIST} with software assisted repair technology for improved memory and {IO} debug, validation and test time}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035340}, doi = {10.1109/TEST.2014.7035340}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/QuerbachKBZAESD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RashidzadehB14, author = {Rashid Rashidzadeh and Iftekhar Ibne Basith}, title = {A test probe for {TSV} using resonant inductive coupling}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035367}, doi = {10.1109/TEST.2014.7035367}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RashidzadehB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RobertazziNS14, author = {Raphael Robertazzi and Janusz Nowak and Jonathan Sun}, title = {Analytical {MRAM} test}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035341}, doi = {10.1109/TEST.2014.7035341}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RobertazziNS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Roos14, author = {Mark Roos}, title = {{ATE} and test equipment vendors; Hardware not software}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035291}, doi = {10.1109/TEST.2014.7035291}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Roos14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RyanAHJL14, author = {Paul G. Ryan and Irfan Aziz and William B. Howell and Teresa K. Janczak and Davia J. Lu}, title = {Process defect trends and strategic test gaps}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035276}, doi = {10.1109/TEST.2014.7035276}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RyanAHJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Saeed14, author = {Samah Mohamed Saeed}, title = {DfST: Design for secure testability}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035365}, doi = {10.1109/TEST.2014.7035365}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Saeed14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SaeedASK14, author = {Samah Mohamed Saeed and Sk Subidh Ali and Ozgur Sinanoglu and Ramesh Karri}, title = {Test-mode-only scan attack and countermeasure for contemporary scan architectures}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035357}, doi = {10.1109/TEST.2014.7035357}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SaeedASK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Schneider14, author = {Kevin Schneider}, title = {Microgrids as a resiliency resource}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035286}, doi = {10.1109/TEST.2014.7035286}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Schneider14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Schroeder14, author = {Bianca Schroeder}, title = {A tale of two lives: Under test and in the wild}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035316}, doi = {10.1109/TEST.2014.7035316}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Schroeder14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SchulzeT14, author = {John Schulze and Ryan Tally}, title = {Mitigating voltage droop during scan with variable shift frequency}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035295}, doi = {10.1109/TEST.2014.7035295}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SchulzeT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShaikhWASTLR14, author = {Raashid Shaikh and Pradeep Wilson and Khushboo Agarwal and H. V. Sanjay and Rajesh Tiwari and Kaushik Lath and Srivaths Ravi}, title = {At-speed capture power reduction using layout-aware granular clock gate enable controls}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035296}, doi = {10.1109/TEST.2014.7035296}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShaikhWASTLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShiN14, author = {Xiaobing Shi and Nicola Nicolici}, title = {On-chip constrained random stimuli generation for post-silicon validation using compact masks}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035337}, doi = {10.1109/TEST.2014.7035337}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShiN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShirleyDNC14, author = {C. Glenn Shirley and W. Robert Daasch and Phil Nigh and Zoe Conroy}, title = {Board manufacturing test correlation to {IC} manufacturing test}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035336}, doi = {10.1109/TEST.2014.7035336}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShirleyDNC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Smith14, author = {Wesley Smith}, title = {Collaboration and teamwork obstacles}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035290}, doi = {10.1109/TEST.2014.7035290}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Smith14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Soma14, author = {Mani Soma}, title = {Analog fault models: Back to the future?}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035280}, doi = {10.1109/TEST.2014.7035280}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Soma14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SongSW14, author = {Peilin Song and Franco Stellari and Alan J. Weger}, title = {Counterfeit {IC} detection using light emission}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035356}, doi = {10.1109/TEST.2014.7035356}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SongSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunterJDV14, author = {Stephen Sunter and Krzysztof Jurga and Peter Dingenen and Ronny Vanhooren}, title = {Practical random sampling of potential defects for analog fault simulation}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035281}, doi = {10.1109/TEST.2014.7035281}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SunterJDV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunterSL14, author = {Stephen Sunter and Saghir A. Shaikh and Qing Lin}, title = {Fast {BIST} of {I/O} Pin {AC} specifications and inter-chip delays}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035297}, doi = {10.1109/TEST.2014.7035297}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SunterSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Swaminathan14, author = {Madhavan Swaminathan}, title = {Managing signal, power and thermal integrity for 3D integration}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035313}, doi = {10.1109/TEST.2014.7035313}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Swaminathan14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TikkanenSSWA14, author = {Jeff Tikkanen and Sebastian Siatkowski and Nik Sumikawa and Li{-}C. Wang and Magdy S. Abadir}, title = {Yield optimization using advanced statistical correlation methods}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035326}, doi = {10.1109/TEST.2014.7035326}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TikkanenSSWA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Trimberger14, author = {Steve Trimberger}, title = {Security solutions in the first-generation Zynq All-Programmable SoC}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035282}, doi = {10.1109/TEST.2014.7035282}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Trimberger14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TzouBC14, author = {Nicholas Tzou and Debesh Bhatta and Abhijit Chatterjee}, title = {Low cost back end signal processing driven bandwidth interleaved signal acquisition using free running undersampling clocks and mixing signals}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035279}, doi = {10.1109/TEST.2014.7035279}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TzouBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Vadari14, author = {Mani Vadari}, title = {Dynamic microgrids - {A} potential solution for enhanced resiliency in distribution systems}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035285}, doi = {10.1109/TEST.2014.7035285}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Vadari14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/VillarragaSBRBF14, author = {Carlos Villarraga and Bernard Schmidt and Binghao Bao and Rakesh Raman and Christian Bartsch and Thomas Fehmel and Dominik Stoffel and Wolfgang Kunz}, title = {Software in a hardware view: New models for HW-dependent software in SoC verification and test}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035308}, doi = {10.1109/TEST.2014.7035308}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/VillarragaSBRBF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangKSC14, author = {Xian Wang and Blanchard Kenfack and Estella Silva and Abhijit Chatterjee}, title = {A self-tuning architecture for buck converters based on alternative test}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035353}, doi = {10.1109/TEST.2014.7035353}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WangKSC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WohlWCS14, author = {Peter Wohl and John A. Waicukauski and Jonathon E. Colburn and Milind Sonawane}, title = {Achieving extreme scan compression for SoC Designs}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035294}, doi = {10.1109/TEST.2014.7035294}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WohlWCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/XanthopoulosHPN14, author = {Constantinos Xanthopoulos and Ke Huang and Abbas Poonawala and Amit Nahar and Bob Orr and John M. Carulli Jr. and Yiorgos Makris}, title = {{IC} laser trimming speed-up through wafer-level spatial correlation modeling}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035329}, doi = {10.1109/TEST.2014.7035329}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/XanthopoulosHPN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/XuC14, author = {Li Xu and Degang Chen}, title = {Fast co-test of linearity and spectral performance with non-coherent sampled and amplitude clipped data}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035354}, doi = {10.1109/TEST.2014.7035354}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/XuC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YeZCG14, author = {Fangming Ye and Zhaobo Zhang and Krishnendu Chakrabarty and Xinli Gu}, title = {Knowledge discovery and knowledge transfer in board-level functional fault diagnosis}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035335}, doi = {10.1109/TEST.2014.7035335}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YeZCG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Yeric14, author = {Greg Yeric}, title = {Design, technology and yield in the post-moore era}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035310}, doi = {10.1109/TEST.2014.7035310}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Yeric14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZadeganCL14, author = {Farrokh Ghani Zadegan and Gunnar Carlsson and Erik Larsson}, title = {Robustness of TAP-based scan networks}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035321}, doi = {10.1109/TEST.2014.7035321}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZadeganCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangLBSCB14, author = {Shanghang Zhang and Xin Li and Ronald D. Blanton and Jos{\'{e}} Machado da Silva and John M. Carulli Jr. and Kenneth M. Butler}, title = {Bayesian model fusion: Enabling test cost reduction of analog/RF circuits via wafer-level spatial variation modeling}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035328}, doi = {10.1109/TEST.2014.7035328}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhangLBSCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Zorian14, author = {Yervant Zorian}, title = {Design, test {\&} repair methodology for FinFET-based memories}, booktitle = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/TEST.2014.7035323}, doi = {10.1109/TEST.2014.7035323}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Zorian14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2014, title = {2014 International Test Conference, {ITC} 2014, Seattle, WA, USA, October 20-23, 2014}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7024668/proceeding}, isbn = {978-1-4799-4722-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AbdallahSM13, author = {Louay Abdallah and Haralampos{-}G. D. Stratigopoulos and Salvador Mir}, title = {True non-intrusive sensors for {RF} built-in test}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651885}, doi = {10.1109/TEST.2013.6651885}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AbdallahSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AgrawalC13, author = {Mukesh Agrawal and Krishnendu Chakrabarty}, title = {A graph-theoretic approach for minimizing the number of wrapper cells for pre-bond testing of 3D-stacked ICs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651895}, doi = {10.1109/TEST.2013.6651895}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AgrawalC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ArasuNCBR13, author = {Senthil Arasu and Mehrdad Nourani and John M. Carulli and Kenneth M. Butler and Vijay Reddy}, title = {A design-for-reliability approach based on grading library cells for aging effects}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651923}, doi = {10.1109/TEST.2013.6651923}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ArasuNCBR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AzumaMUNTMHTY13, author = {Naoya Azuma and T. Makita and S. Ueyama and Makoto Nagata and Satoru Takahashi and Motoki Murakami and Kazuaki Hori and Satoshi Tanaka and Masahiro Yamaguchi}, title = {In-system diagnosis of {RF} ICs for tolerance against on-chip in-band interferers}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651922}, doi = {10.1109/TEST.2013.6651922}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AzumaMUNTMHTY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Barton13, author = {John D. Barton}, title = {Keynote address wednesday: Compute continuum and the nonlinear validation challenge}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651872}, doi = {10.1109/TEST.2013.6651872}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Barton13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Bose13, author = {Pradip Bose}, title = {Keynote address thursday: Efficient resilience in future systems: Design and modeling challenges}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651873}, doi = {10.1109/TEST.2013.6651873}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Bose13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CaiFCOR13, author = {Yi Cai and Liming Fang and Ivan Chan and Max Olsen and Kevin Richter}, title = {12Gbps SerDes Jitter Tolerance {BIST} in production loopback testing with enhanced spread spectrum clock generation circuit}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651882}, doi = {10.1109/TEST.2013.6651882}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CaiFCOR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CaiW13, author = {X. Cai and Peter Wohl}, title = {A distributed-multicore hybrid {ATPG} system}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651916}, doi = {10.1109/TEST.2013.6651916}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CaiW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CarloGMPRT13, author = {Stefano Di Carlo and Giulio Gambardella and Ippazio Martella and Paolo Prinetto and Daniele Rolfo and Pascal Trotta}, title = {Fault mitigation strategies for {CUDA} GPUs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651908}, doi = {10.1109/TEST.2013.6651908}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CarloGMPRT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChakravadhanulaCPGKMN13, author = {Krishna Chakravadhanula and Vivek Chickermane and Don Pearl and Akhil Garg and R. Khurana and Subhasish Mukherjee and P. Nagaraj}, title = {SmartScan - Hierarchical test compression for pin-limited low power designs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651897}, doi = {10.1109/TEST.2013.6651897}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChakravadhanulaCPGKMN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenHYS13, author = {Harry H. Chen and Roger Hsu and PaulYoung Yang and J. J. Shyr}, title = {Predicting system-level test and in-field customer failures using data mining}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651892}, doi = {10.1109/TEST.2013.6651892}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenHYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenYMN13, author = {Degang Chen and Zhongjun Yu and Krunal Maniar and Mojtaba Nowrozi}, title = {Test time reduction with {SATOM:} Simultaneous {AC-DC} Test with Orthogonal Multi-excitations}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651912}, doi = {10.1109/TEST.2013.6651912}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenYMN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChowSL13, author = {Daniel Chow and Masashi Shimanouchi and Mike Peng Li}, title = {Theory, model, and applications of non-Gaussian probability density functions for random jitter/noise with non-white power spectral densities}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651910}, doi = {10.1109/TEST.2013.6651910}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChowSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CohnSWBTP13, author = {Michael B. Cohn and Kaosio Saechao and Michael Whitlock and Daniel Brenman and Wallace T. Tang and Robert M. Proie}, title = {{RF} {MEMS} switches for Wide {I/O} data bus applications}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651889}, doi = {10.1109/TEST.2013.6651889}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CohnSWBTP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ConroyC13, author = {Zoe Conroy and Alfred L. Crouch}, title = {{BA-BIST:} Board test from inside the {IC} out}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651919}, doi = {10.1109/TEST.2013.6651919}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ConroyC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DeutschCM13, author = {Sergej Deutsch and Krishnendu Chakrabarty and Erik Jan Marinissen}, title = {Uncertainty-aware robust optimization of test-access architectures for 3D stacked ICs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651905}, doi = {10.1109/TEST.2013.6651905}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DeutschCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DworakCPZT13, author = {Jennifer Dworak and Al Crouch and John C. Potter and Adam Zygmontowicz and Micah Thornton}, title = {Don't forget to lock your {SIB:} Hiding instruments using {P16871}}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651903}, doi = {10.1109/TEST.2013.6651903}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DworakCPZT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ferry13, author = {Joshua Ferry}, title = {FPGA-based universal embedded digital instrument}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651917}, doi = {10.1109/TEST.2013.6651917}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Ferry13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FirouziYCT13, author = {Farshad Firouzi and Fangming Ye and Krishnendu Chakrabarty and Mehdi Baradaran Tahoori}, title = {Representative critical-path selection for aging-induced delay monitoring}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651924}, doi = {10.1109/TEST.2013.6651924}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FirouziYCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GaoL13, author = {Wei Gao and Chris Liu}, title = {Performance enhancement of a {WCDMA/HSDPA+} receiver via minimizing error vector magnitude}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651881}, doi = {10.1109/TEST.2013.6651881}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GaoL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GhofraniLC13, author = {Amirali Ghofrani and Miguel Angel Lastras{-}Monta{\~{n}}o and Kwang{-}Ting Cheng}, title = {Towards data reliable crossbar-based memristive memories}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651928}, doi = {10.1109/TEST.2013.6651928}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GhofraniLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GoelAWCHMLCKVMSCLCK13, author = {Sandeep Kumar Goel and Saman Adham and Min{-}Jer Wang and Ji{-}Jan Chen and Tze{-}Chiang Huang and Ashok Mehta and Frank Lee and Vivek Chickermane and Brion L. Keller and Thomas Valind and Subhasish Mukherjee and Navdeep Sood and Jeongho Cho and Hayden Hyungdong Lee and Jungi Choi and Sangdoo Kim}, title = {Test and debug strategy for {TSMC} CoWoS{\texttrademark} stacking process based heterogeneous 3D {IC:} {A} silicon case study}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651893}, doi = {10.1109/TEST.2013.6651893}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GoelAWCHMLCKVMSCLCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GradyPPDN13, author = {Matt Grady and Bradley Pepper and Joshua Patch and Michael Degregorio and Phil Nigh}, title = {Adaptive testing - Cost reduction through test pattern sampling}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651891}, doi = {10.1109/TEST.2013.6651891}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GradyPPDN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsuCHLLLPW13, author = {Sen{-}Kuei Hsu and Hao Chen and Chung{-}Han Huang and Der{-}Jiann Liu and Wei{-}Hsun Lin and Hung{-}Chih Lin and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {Test-yield improvement of high-density probing technology using optimized metal backer with plastic patch}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651888}, doi = {10.1109/TEST.2013.6651888}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HsuCHLLLPW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsuLCZLCB13, author = {Chun{-}Kai Hsu and Fan Lin and Kwang{-}Ting Cheng and Wangyang Zhang and Xin Li and John M. Carulli and Kenneth M. Butler}, title = {Test data analytics - Exploring spatial and test-item correlations in production test data}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651900}, doi = {10.1109/TEST.2013.6651900}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HsuLCZLCB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangCM13, author = {Ke Huang and John M. Carulli and Yiorgos Makris}, title = {Counterfeit electronics: {A} rising threat in the semiconductor manufacturing industry}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651880}, doi = {10.1109/TEST.2013.6651880}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangHTC13, author = {Shi{-}Yu Huang and Li{-}Ren Huang and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {Delay testing and characterization of post-bond interposer wires in 2.5-D ICs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651906}, doi = {10.1109/TEST.2013.6651906}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangHTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangKCM13, author = {Ke Huang and Nathan Kupp and John M. Carulli Jr. and Yiorgos Makris}, title = {Process monitoring through wafer-level spatial variation decomposition}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651901}, doi = {10.1109/TEST.2013.6651901}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangKCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangSM13, author = {Ke Huang and Haralampos{-}G. D. Stratigopoulos and Salvador Mir}, title = {Fault modeling and diagnosis for nanometric analog circuits}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651886}, doi = {10.1109/TEST.2013.6651886}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangSM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IchiyamaINW13, author = {Kiyotaka Ichiyama and Masahiro Ishida and Kenichi Nagatani and Toshifumi Watanabe}, title = {A functional test of 2-GHz/4-GHz {RF} digital communication device using digital tester}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651909}, doi = {10.1109/TEST.2013.6651909}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IchiyamaINW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JanickiTCHKMRDG13, author = {Jakub Janicki and Jerzy Tyszer and Wu{-}Tung Cheng and Yu Huang and Mark Kassab and Nilanjan Mukherjee and Janusz Rajski and Yan Dong and Grady Giles}, title = {{EDT} bandwidth management - Practical scenarios for large SoC designs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651898}, doi = {10.1109/TEST.2013.6651898}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JanickiTCHKMRDG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KeezerGCM13, author = {David C. Keezer and Carl Edward Gray and Te{-}Hui Chen and Ashraf Majid}, title = {Practical methods for extending {ATE} to 40 and 50Gbps}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651876}, doi = {10.1109/TEST.2013.6651876}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KeezerGCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Kim13, author = {Kwang{-}Hyun Kim}, title = {Keynote address tuesday: Challenges in mobile devices: Process, design and manufacturing}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651871}, doi = {10.1109/TEST.2013.6651871}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Kim13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KumarRRW13, author = {Amit Kumar and Janusz Rajski and Sudhakar M. Reddy and Chen Wang}, title = {On the generation of compact test sets}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651914}, doi = {10.1109/TEST.2013.6651914}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KumarRRW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiCMM13, author = {Yanjing Li and Eric Cheng and Samy Makar and Subhasish Mitra}, title = {Self-repair of uncore components in robust system-on-chips: An OpenSPARC {T2} case study}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651907}, doi = {10.1109/TEST.2013.6651907}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiCMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Lu13, author = {Ming Lu}, title = {An enhanced procedure for calculating dynamic properties of high-performance {DAC} on {ATE}}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651877}, doi = {10.1109/TEST.2013.6651877}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Lu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LyonsCAS13, author = {Timothy Lyons and George Conner and John Aslanian and Shawn Sullivan}, title = {The implementation and application of a protocol aware architecture}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651911}, doi = {10.1109/TEST.2013.6651911}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LyonsCAS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ManichWGS13, author = {Salvador Manich and Markus S. Wamser and Oscar M. Guillen and Georg Sigl}, title = {Differential scan-path: {A} novel solution for secure design-for-testability}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651902}, doi = {10.1109/TEST.2013.6651902}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ManichWGS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NasseryJO13, author = {Afsaneh Nassery and Jae Woong Jeong and Sule Ozev}, title = {Zero-overhead self test and calibration of {RF} transceivers}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651921}, doi = {10.1109/TEST.2013.6651921}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NasseryJO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NiewenhuisBBM13, author = {Ben Niewenhuis and Ronald D. Blanton and Mudit Bhargava and Ken Mai}, title = {{SCAN-PUF:} {A} low overhead Physically Unclonable Function from scan chain power-up states}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651904}, doi = {10.1109/TEST.2013.6651904}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NiewenhuisBBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Okawara13, author = {Hideo Okawara}, title = {Advanced method to refine waveform smeared by jitter in waveform sampler measurement}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651883}, doi = {10.1109/TEST.2013.6651883}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Okawara13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PrabhuA13, author = {Mahesh Prabhu and Jacob A. Abraham}, title = {Application of under-approximation techniques to functional test generation targeting hard to detect stuck-at faults}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651915}, doi = {10.1109/TEST.2013.6651915}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PrabhuA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RajendranSK13, author = {Jeyavijayan Rajendran and Ozgur Sinanoglu and Ramesh Karri}, title = {{VLSI} testing based security metric for {IC} camouflaging}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651879}, doi = {10.1109/TEST.2013.6651879}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RajendranSK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RajskiT13, author = {Janusz Rajski and Jerzy Tyszer}, title = {Fault diagnosis of TSV-based interconnects in 3-D stacked designs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651894}, doi = {10.1109/TEST.2013.6651894}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RajskiT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RobertsA13, author = {Gordon W. Roberts and Rob Aitken}, title = {Welcome message}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651865}, doi = {10.1109/TEST.2013.6651865}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RobertsA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SauerKSKDCKMB13, author = {Matthias Sauer and Young Moon Kim and Jun Seomun and Hyung{-}Ock Kim and Kyung Tae Do and Jung Yun Choi and Kee Sup Kim and Subhasish Mitra and Bernd Becker}, title = {Early-life-failure detection using SAT-based {ATPG}}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651925}, doi = {10.1109/TEST.2013.6651925}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SauerKSKDCKMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SindiaA13, author = {Suraj Sindia and Vishwani D. Agrawal}, title = {High sensitivity test signatures for unconventional analog circuit test paradigms}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651884}, doi = {10.1109/TEST.2013.6651884}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SindiaA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SudaniXC13, author = {Siva Sudani and Li Xu and Degang Chen}, title = {Accurate full spectrum test robust to simultaneous non-coherent sampling and amplitude clipping}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651920}, doi = {10.1109/TEST.2013.6651920}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SudaniXC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SumikawaWA13, author = {Nik Sumikawa and Li{-}C. Wang and Magdy S. Abadir}, title = {A pattern mining framework for inter-wafer abnormality analysis}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651890}, doi = {10.1109/TEST.2013.6651890}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SumikawaWA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunJXZWG13, author = {Zelong Sun and Li Jiang and Qiang Xu and Zhaobo Zhang and Zhiyuan Wang and Xinli Gu}, title = {AgentDiag: An agent-assisted diagnostic framework for board-level functional failures}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651918}, doi = {10.1109/TEST.2013.6651918}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SunJXZWG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TsaiS13, author = {Kun{-}Han Tsai and Shuo Sheng}, title = {Design rule check on the clock gating logic for testability and beyond}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651930}, doi = {10.1109/TEST.2013.6651930}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TsaiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/VenkataramaniA13, author = {Praveen Venkataramani and Vishwani D. Agrawal}, title = {{ATE} test time reduction using asynchronous clock period}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651931}, doi = {10.1109/TEST.2013.6651931}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/VenkataramaniA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WatanabeMHASOO13, author = {Daisuke Watanabe and Shin Masuda and Hideo Hara and Tsuyoshi Ataka and Atsushi Seki and Atsushi Ono and Toshiyuki Okayasu}, title = {30-Gb/s optical and electrical test solution for high-volume testing}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651887}, doi = {10.1109/TEST.2013.6651887}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WatanabeMHASOO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WohlWNMAC13, author = {Peter Wohl and John A. Waicukauski and Frederic Neuveux and Gregory A. Maston and Nadir Achouri and Jonathon E. Colburn}, title = {Two-level compression through selective reseeding}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651896}, doi = {10.1109/TEST.2013.6651896}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WohlWNMAC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/XuePLB13, author = {Yang Xue and Osei Poku and Xin Li and Ronald D. Blanton}, title = {{PADRE:} Physically-Aware Diagnostic Resolution Enhancement}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651899}, doi = {10.1109/TEST.2013.6651899}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/XuePLB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YamaguchiTKA13, author = {Takahiro J. Yamaguchi and James S. Tandon and Satoshi Komatsu and Kunihiro Asada}, title = {A novel test structure for measuring the threshold voltage variance in MOSFETs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651878}, doi = {10.1109/TEST.2013.6651878}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YamaguchiTKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ye0HCGLT0CLCEKLP13, author = {Jing Ye and Yu Huang and Yu Hu and Wu{-}Tung Cheng and Ruifeng Guo and Liyang Lai and Ting{-}Pu Tai and Xiaowei Li and Wei{-}pin Changchien and Daw{-}Ming Lee and Ji{-}Jan Chen and Sandeep C. Eruvathi and Kartik K. Kumara and Charles C. C. Liu and Sam Pan}, title = {Diagnosis and Layout Aware {(DLA)} scan chain stitching}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651929}, doi = {10.1109/TEST.2013.6651929}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Ye0HCGLT0CLCEKLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YehHCW13, author = {Kuen{-}Wei Yeh and Jiun{-}Lang Huang and Hao{-}Jan Chao and Laung{-}Terng Wang}, title = {A circular pipeline processing based deterministic parallel test pattern generator}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651913}, doi = {10.1109/TEST.2013.6651913}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YehHCW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YuC13, author = {Z. Yu and D. Chen}, title = {Best paper award winners}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {4}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651867}, doi = {10.1109/TEST.2013.6651867}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YuC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangBKSBIWH13, author = {Hongyan Zhang and Lars Bauer and Michael A. Kochte and Eric Schneider and Claus Braun and Michael E. Imhof and Hans{-}Joachim Wunderlich and J{\"{o}}rg Henkel}, title = {Module diversification: Fault tolerance and aging mitigation for runtime reconfigurable architectures}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651926}, doi = {10.1109/TEST.2013.6651926}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhangBKSBIWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZordanBDGTVB13, author = {Leonardo Bonet Zordan and Alberto Bosio and Luigi Dilillo and Patrick Girard and Aida Todri and Arnaud Virazel and Nabil Badereddine}, title = {On the reuse of read and write assist circuits to improve test efficiency in low-power SRAMs}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651927}, doi = {10.1109/TEST.2013.6651927}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZordanBDGTVB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2013, title = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6646057/proceeding}, isbn = {978-1-4799-0859-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AbdallahSMK12, author = {Louay Abdallah and Haralampos{-}G. D. Stratigopoulos and Salvador Mir and Christophe Kelma}, title = {Experiences with non-intrusive sensors for {RF} built-in test}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401587}, doi = {10.1109/TEST.2012.6401587}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AbdallahSMK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AgrawalRC12, author = {Mukesh Agrawal and Michael Richter and Krishnendu Chakrabarty}, title = {A dynamic programming solution for optimizing test delivery in multicore SOCs}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401535}, doi = {10.1109/TEST.2012.6401535}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AgrawalRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AleksejevJDOW12, author = {Igor Aleksejev and Artur Jutman and Sergei Devadze and Sergei Odintsov and Thomas Wenzel}, title = {FPGA-based synthetic instrumentation for board test}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401571}, doi = {10.1109/TEST.2012.6401571}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AleksejevJDOW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AltetMGPVJ12, author = {Josep Altet and Diego Mateo and Didac G{\'{o}}mez and Xavier Perpi{\~{n}}{\`{a}} and Miquel Vellveh{\'{\i}} and Xavier Jord{\`{a}}}, title = {{DC} temperature measurements for power gain monitoring in {RF} power amplifiers}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401589}, doi = {10.1109/TEST.2012.6401589}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AltetMGPVJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Atwood12, author = {Eugene R. Atwood}, title = {"Managing process variance in analog designs"}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401527}, doi = {10.1109/TEST.2012.6401527}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Atwood12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AyariABCKPR12, author = {Haithem Ayari and Florence Aza{\"{\i}}s and Serge Bernard and Mariane Comte and Vincent Kerzerho and Olivier Potin and Michel Renovell}, title = {Making predictive analog/RF alternate test strategy independent of training set size}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401560}, doi = {10.1109/TEST.2012.6401560}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AyariABCKPR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BaranowskiKW12, author = {Rafal Baranowski and Michael A. Kochte and Hans{-}Joachim Wunderlich}, title = {Modeling, verification and pattern generation for reconfigurable scan networks}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401555}, doi = {10.1109/TEST.2012.6401555}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BaranowskiKW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BecklerB12, author = {Matthew Beckler and R. D. (Shawn) Blanton}, title = {On-chip diagnosis for early-life and wear-out failures}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401580}, doi = {10.1109/TEST.2012.6401580}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BecklerB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Conroy12, author = {Zoe Conroy}, title = {Are the {IC} guys helping or hindering board test?}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401530}, doi = {10.1109/TEST.2012.6401530}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Conroy12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ConroyGMSCM12, author = {Zoe Conroy and James J. Grealish and Harrison Miles and Anthony J. Suto and Alfred L. Crouch and Skip Meyers}, title = {Board assisted-BIST: Long and short term solutions for testpoint erosion - Reaching into the DFx toolbox}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401572}, doi = {10.1109/TEST.2012.6401572}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ConroyGMSCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CzyszRT12, author = {Dariusz Czysz and Janusz Rajski and Jerzy Tyszer}, title = {Low power test application with selective compaction in {VLSI} designs}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401532}, doi = {10.1109/TEST.2012.6401532}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CzyszRT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Davidson12, author = {Scott Davidson}, title = {Testing high-frequency and low-power designs: Do the standard rules and tools apply?}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401529}, doi = {10.1109/TEST.2012.6401529}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Davidson12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DeutschKCMSGCMLM12, author = {Sergej Deutsch and Brion L. Keller and Vivek Chickermane and Subhasish Mukherjee and Navdeep Sood and Sandeep Kumar Goel and Ji{-}Jan Chen and Ashok Mehta and Frank Lee and Erik Jan Marinissen}, title = {DfT architecture and {ATPG} for Interconnect tests of {JEDEC} Wide-I/O memory-on-logic die stacks}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401569}, doi = {10.1109/TEST.2012.6401569}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DeutschKCMSGCMLM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DingPWCLS12, author = {Weichi Ding and Mingde Pan and Wilson Wong and Daniel Chow and Mike Peng Li and Sergey Y. Shumarayev}, title = {On-die instrumentation to solve challenges for 28nm, 28Gbps timing variability and stressing}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401536}, doi = {10.1109/TEST.2012.6401536}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DingPWCLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DuboisFMDM12, author = {Matthieu Dubois and Emeric de Foucauld and Christopher Mounet and Serigne Dia and Cedric Mayor}, title = {A frequency measurement {BIST} implementation targeting gigahertz application}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401588}, doi = {10.1109/TEST.2012.6401588}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DuboisFMDM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EckerBS12, author = {Allan Ecker and Kenneth Blakkan and Mani Soma}, title = {A digital method for phase noise measurement}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401537}, doi = {10.1109/TEST.2012.6401537}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/EckerBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FanTHCRB12, author = {Xiaoxin Fan and Huaxing Tang and Yu Huang and Wu{-}Tung Cheng and Sudhakar M. Reddy and Brady Benware}, title = {Improved volume diagnosis throughput using dynamic design partitioning}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401564}, doi = {10.1109/TEST.2012.6401564}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FanTHCRB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GaoLC12, author = {Ming Gao and Peter Lisherness and Kwang{-}Ting (Tim) Cheng}, title = {Adaptive test selection for post-silicon timing validation: {A} data mining approach}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401540}, doi = {10.1109/TEST.2012.6401540}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GaoLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Gu12, author = {Xinli Gu}, title = {Are industrial test problems real problems? {I} thought research has resolved them all!}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401526}, doi = {10.1109/TEST.2012.6401526}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Gu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HapkeRRORRGSR12, author = {Friedrich Hapke and Michael Reese and Jason Rivers and A. Over and V. Ravikumar and Wilfried Redemund and Andreas Glowatz and J{\"{u}}rgen Schl{\"{o}}ffel and Janusz Rajski}, title = {Cell-aware Production test results from a 32-nm notebook processor}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401533}, doi = {10.1109/TEST.2012.6401533}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HapkeRRORRGSR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HilberGSO12, author = {Gerald Hilber and Dominik Gruber and Michael Sams and Timm Ostermann}, title = {Calibration of a flexible high precision Power-On Reset during production test}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401562}, doi = {10.1109/TEST.2012.6401562}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HilberGSO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IshidaIWKO12, author = {Masahiro Ishida and Kiyotaka Ichiyama and Daisuke Watanabe and Masayuki Kawabata and Toshiyuki Okayasu}, title = {Real-time testing method for 16 Gbps 4-PAM signal interface}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401524}, doi = {10.1109/TEST.2012.6401524}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IshidaIWKO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IshidaNKKKA12, author = {Masahiro Ishida and Toru Nakura and Toshiyuki Kikkawa and Takashi Kusaka and Satoshi Komatsu and Kunihiro Asada}, title = {Power integrity control of {ATE} for emulating power supply fluctuations on customer environment}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401553}, doi = {10.1109/TEST.2012.6401553}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IshidaNKKKA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ItoOL12, author = {Takashi Ito and Hideo Okawara and Jinlei Liu}, title = {{RNA:} Advanced phase tracking method for digital waveform reconstruction}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401592}, doi = {10.1109/TEST.2012.6401592}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ItoOL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KamathCSW12, author = {Vinayak Kamath and Wen Chen and Nik Sumikawa and Li{-}C. Wang}, title = {Functional test content optimization for peak-power validation - An experimental study}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401586}, doi = {10.1109/TEST.2012.6401586}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KamathCSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KeezerCGCKLY12, author = {David C. Keezer and Te{-}Hui Chen and Carl Edward Gray and Hyun Woo Choi and Sungyeol Kim and Seongkwan Lee and Hosun Yoo}, title = {Multi-gigahertz arbitrary timing generator and data pattern serializer/formatter}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401544}, doi = {10.1109/TEST.2012.6401544}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KeezerCGCKLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KhareKRRS12, author = {Animesh Khare and P. Kishore and S. Reddy and K. Rajan and A. Sanghani}, title = {Methodology for fault grading high speed {I/O} interfaces used in complex Graphics Processing Unit}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401585}, doi = {10.1109/TEST.2012.6401585}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KhareKRRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KinsmanKN12, author = {Adam B. Kinsman and Ho Fai Ko and Nicola Nicolici}, title = {In-system constrained-random stimuli generation for post-silicon validation}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401541}, doi = {10.1109/TEST.2012.6401541}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KinsmanKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KohanNJN12, author = {Somayeh Sadeghi Kohan and Majid Namaki{-}Shoushtari and Fatemeh Javaheri and Zainalabedin Navabi}, title = {{BS} 1149.1 extensions for an online interconnect fault detection and recovery}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401583}, doi = {10.1109/TEST.2012.6401583}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KohanNJN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KojimaAFAOSW12, author = {Shoji Kojima and Yasuyuki Arai and Tasuku Fujibe and Tsuyoshi Ataka and Atsushi Ono and Ken{-}ichi Sawada and Daisuke Watanabe}, title = {8Gbps {CMOS} pin electronics hardware macro with simultaneous bi-directional capability}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401543}, doi = {10.1109/TEST.2012.6401543}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KojimaAFAOSW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KuppHCM12, author = {Nathan Kupp and Ke Huang and John M. Carulli Jr. and Yiorgos Makris}, title = {Spatial estimation of wafer measurement parameters using Gaussian process models}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401545}, doi = {10.1109/TEST.2012.6401545}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KuppHCM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KuppM12, author = {Nathan Kupp and Yiorgos Makris}, title = {Integrated optimization of semiconductor manufacturing: {A} machine learning approach}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401531}, doi = {10.1109/TEST.2012.6401531}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KuppM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Lanier12, author = {Ken Lanier}, title = {Test/ATE vision 2020 - Entrepreneurship in test {CEO} panel}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401525}, doi = {10.1109/TEST.2012.6401525}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Lanier12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LeeKL12, author = {Jong Chul Lee and Faycel Kouteib and Roman Lysecky}, title = {Event-driven framework for configurable runtime system observability for {SOC} designs}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401554}, doi = {10.1109/TEST.2012.6401554}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LeeKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiGH12, author = {Min Li and Kelson Gent and Michael S. Hsiao}, title = {Design validation of {RTL} circuits using evolutionary swarm intelligence}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401556}, doi = {10.1109/TEST.2012.6401556}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiGH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinHTCS12, author = {Yu{-}Hsiang Lin and Shi{-}Yu Huang and Kun{-}Han Tsai and Wu{-}Tung Cheng and Stephen K. Sunter}, title = {A unified method for parametric fault characterization of post-bond TSVs}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401566}, doi = {10.1109/TEST.2012.6401566}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinHTCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuX12, author = {Xiao Liu and Qiang Xu}, title = {On efficient silicon debug with flexible trace interconnection fabric}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401539}, doi = {10.1109/TEST.2012.6401539}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuX12a, author = {Yuxi Liu and Qiang Xu}, title = {On modeling faults in FinFET logic circuits}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401565}, doi = {10.1109/TEST.2012.6401565}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuX12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ManiatakosMM12, author = {Michail Maniatakos and Maria K. Michael and Yiorgos Makris}, title = {Vulnerability-based Interleaving for Multi-Bit Upset {(MBU)} protection in modern microprocessors}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401594}, doi = {10.1109/TEST.2012.6401594}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ManiatakosMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/McLaurinFS12, author = {Teresa L. McLaurin and Frank Frederick and Rich Slobodnik}, title = {The {DFT} challenges and solutions for the ARM{\textregistered} Cortex{\texttrademark}-A15 Microprocessor}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401534}, doi = {10.1109/TEST.2012.6401534}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/McLaurinFS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MirkhaniAVJE12, author = {Shahrzad Mirkhani and Jacob A. Abraham and Toai Vo and Hong Shin Jun and Bill Eklow}, title = {{FALCON:} Rapid statistical fault coverage estimation for complex designs}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401584}, doi = {10.1109/TEST.2012.6401584}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MirkhaniAVJE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Mirza-AghatabarBG12, author = {Mohammad Mirza{-}Aghatabar and Melvin A. Breuer and Sandeep K. Gupta}, title = {A design flow to maximize yield/area of physical devices via redundancy}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401582}, doi = {10.1109/TEST.2012.6401582}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/Mirza-AghatabarBG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MoonCC12, author = {Thomas Moon and Hyun Woo Choi and Abhijit Chatterjee}, title = {Low cost high-speed test data acquisition: Accurate period estimation driven signal reconstruction using incoherent subsampling}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401591}, doi = {10.1109/TEST.2012.6401591}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MoonCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MoreiraMSN12, author = {Jose Moreira and Marc Moessinger and Koji Sasaki and Takayuki Nakamura}, title = {Driver sharing challenges for {DDR4} high-volume testing with {ATE}}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401542}, doi = {10.1109/TEST.2012.6401542}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MoreiraMSN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MuthyalaT12, author = {Sreenivaas S. Muthyala and Nur A. Touba}, title = {Improving test compression by retaining non-pivot free variables in sequential linear decompressors}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401557}, doi = {10.1109/TEST.2012.6401557}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MuthyalaT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NakajimaYS12, author = {Takahiro Nakajima and Takeshi Yaguchi and Hajime Sugimura}, title = {An {ATE} architecture for implementing very high efficiency concurrent testing}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401551}, doi = {10.1109/TEST.2012.6401551}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NakajimaYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Nigh12, author = {Phil Nigh}, title = {How are failure modes, defect types and test methods changing for 32nm/28nm technologies and beyond?}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401528}, doi = {10.1109/TEST.2012.6401528}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Nigh12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NoiaPCL12, author = {Brandon Noia and Shreepad Panth and Krishnendu Chakrabarty and Sung Kyu Lim}, title = {Scan test of die logic in 3D ICs using {TSV} probing}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401568}, doi = {10.1109/TEST.2012.6401568}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NoiaPCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Parker12, author = {Kenneth P. Parker}, title = {Capacitive sensing testability in complex memory devices}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401570}, doi = {10.1109/TEST.2012.6401570}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Parker12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Portolan12, author = {Michele Portolan}, title = {Packet-based {JTAG} for remote testing}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401573}, doi = {10.1109/TEST.2012.6401573}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Portolan12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SatoKYHIMUHSS12, author = {Yasuo Sato and Seiji Kajihara and Tomokazu Yoneda and Kazumi Hatayama and Michiko Inoue and Yukiya Miura and Satosni Untake and Takumi Hasegawa and Motoyuki Sato and Kotaro Shimamura}, title = {{DART:} Dependable {VLSI} test architecture and its implementation}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401581}, doi = {10.1109/TEST.2012.6401581}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SatoKYHIMUHSS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SauerKCPRB12, author = {Matthias Sauer and Stefan Kupferschmid and Alexander Czutro and Ilia Polian and Sudhakar M. Reddy and Bernd Becker}, title = {Functional test of small-delay faults using {SAT} and Craig interpolation}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401550}, doi = {10.1109/TEST.2012.6401550}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SauerKCPRB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SeshadriGLC12, author = {B. Seshadri and P. Gupta and Y. T. Lin and Bruce Cory}, title = {Systematic defect screening in controlled experiments using volume diagnosis}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401546}, doi = {10.1109/TEST.2012.6401546}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/SeshadriGLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Singh12, author = {Eshan Singh}, title = {Impact of Radial defect clustering on 3D stacked {IC} yield from wafer to wafer stacking}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401567}, doi = {10.1109/TEST.2012.6401567}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Singh12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SoleckiTMMR12, author = {Jedrzej Solecki and Jerzy Tyszer and Grzegorz Mrugalski and Nilanjan Mukherjee and Janusz Rajski}, title = {Low power programmable {PRPG} with enhanced fault coverage gradient}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401559}, doi = {10.1109/TEST.2012.6401559}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SoleckiTMMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/StellariCSSDBM12, author = {Franco Stellari and Thomas Cowell and Peilin Song and Michael Sorna and Zeynep Toprak Deniz and John F. Bulzacchelli and Nandita A. Mitra}, title = {Root cause identification of an hard-to-find on-chip power supply coupling fail}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401563}, doi = {10.1109/TEST.2012.6401563}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/StellariCSSDBM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SumikawaTWWA12, author = {Nik Sumikawa and Jeff Tikkanen and Li{-}C. Wang and LeRoy Winemberg and Magdy S. Abadir}, title = {Screening customer returns with multivariate test analysis}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401547}, doi = {10.1109/TEST.2012.6401547}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SumikawaTWWA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SumikawaWA12, author = {Nik Sumikawa and Li{-}C. Wang and Magdy S. Abadir}, title = {An experiment of burn-in time reduction based on parametric test analysis}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401595}, doi = {10.1109/TEST.2012.6401595}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SumikawaWA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TzouBHCC12, author = {Nicholas Tzou and Debesh Bhatta and Sen{-}Wen Hsiao and Hyun Woo Choi and Abhijit Chatterjee}, title = {Low-cost wideband periodic signal reconstruction using incoherent undersampling and back-end cost optimization}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401552}, doi = {10.1109/TEST.2012.6401552}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TzouBHCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/UedaIGKAI12, author = {Motoo Ueda and Shinichi Ishikawa and Masaru Goishi and Satoru Kitagawa and Hiroshi Araki and Shuichi Inage}, title = {Automated system level functional test program generation on {ATE} from {EDA} using Functional Test Abstraction}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401590}, doi = {10.1109/TEST.2012.6401590}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/UedaIGKAI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangCMTC12, author = {Xian Wang and Hyun Woo Choi and Thomas Moon and Nicholas Tzou and Abhijit Chatterjee}, title = {Higher than Nyquist test waveform synthesis and digital phase noise injection using time-interleaved mixed-mode data converters}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401538}, doi = {10.1109/TEST.2012.6401538}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WangCMTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WangTGWAPDT12, author = {Xiaoxiao Wang and Dat Tran and Saji George and LeRoy Winemberg and Nisar Ahmed and Steve Palosh and Allan Dobin and Mohammad Tehranipoor}, title = {Radic: {A} standard-cell-based sensor for on-chip aging and flip-flop metastability measurements}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401593}, doi = {10.1109/TEST.2012.6401593}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WangTGWAPDT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WenNMKGTW12, author = {Xiaoqing Wen and Y. Nishida and Kohei Miyase and Seiji Kajihara and Patrick Girard and Mohammad Tehranipoor and Laung{-}Terng Wang}, title = {On pinpoint capture power management in at-speed scan test generation}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401548}, doi = {10.1109/TEST.2012.6401548}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WenNMKGTW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WohlWNC12, author = {Peter Wohl and John A. Waicukauski and Frederic Neuveux and Jonathon E. Colburn}, title = {Hybrid selector for high-X scan compression}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401558}, doi = {10.1109/TEST.2012.6401558}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WohlWNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WuCLLWTLCPW12, author = {Tze{-}Hsin Wu and Po{-}Yuan Chen and Mincent Lee and Bin{-}Yen Lin and Cheng{-}Wen Wu and Chen{-}Hung Tien and Hung{-}Chih Lin and Hao Chen and Ching{-}Nen Peng and Min{-}Jer Wang}, title = {A memory yield improvement scheme combining built-in self-repair and error correction codes}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401576}, doi = {10.1109/TEST.2012.6401576}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/WuCLLWTLCPW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YamatoYHI12, author = {Yuta Yamato and Tomokazu Yoneda and Kazumi Hatayama and Michiko Inoue}, title = {A fast and accurate per-cell dynamic IR-drop estimation method for at-speed scan test pattern validation}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401549}, doi = {10.1109/TEST.2012.6401549}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YamatoYHI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YangLCCTJC12, author = {Hao{-}Yu Yang and Chen{-}Wei Lin and Hung{-}Hsin Chen and Mango Chia{-}Tso Chao and Ming{-}Hsien Tu and Shyh{-}Jye Jou and Ching{-}Te Chuang}, title = {Testing strategies for a 9T sub-threshold {SRAM}}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401577}, doi = {10.1109/TEST.2012.6401577}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YangLCCTJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YouCLLKCW12, author = {Yun{-}Chao You and Che{-}Wei Chou and Jin{-}Fu Li and Chih{-}Yen Lo and Ding{-}Ming Kwai and Yung{-}Fa Chou and Cheng{-}Wen Wu}, title = {A built-in self-test scheme for 3D RAMs}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401579}, doi = {10.1109/TEST.2012.6401579}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/YouCLLKCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YuC12, author = {Zhongjun Yu and Degang Chen}, title = {Algorithm for dramatically improved efficiency in {ADC} linearity test}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401561}, doi = {10.1109/TEST.2012.6401561}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YuC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZordanBDGTVB12, author = {Leonardo Bonet Zordan and Alberto Bosio and Luigi Dilillo and Patrick Girard and Aida Todri and Arnaud Virazel and Nabil Badereddine}, title = {Low-power SRAMs power mode control logic: Failure analysis and test solutions}, booktitle = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TEST.2012.6401578}, doi = {10.1109/TEST.2012.6401578}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZordanBDGTVB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2012, title = {2012 {IEEE} International Test Conference, {ITC} 2012, Anaheim, CA, USA, November 5-8, 2012}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6387511/proceeding}, isbn = {978-1-4673-1594-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AcharyyaMTLSFBW11, author = {Dhruva Acharyya and Kosuke Miyao and David Ting and Daniel Lam and Robert Smith and Pete Fitzpatrick and Brian Buras and John Williamson}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Architecture and implementation of a truly parallel {ATE} capable of measuring pico ampere level current}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139133}, doi = {10.1109/TEST.2011.6139133}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AcharyyaMTLSFBW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AmyeenJVPT11, author = {M. Enamul Amyeen and Andal Jayalakshmi and Srikanth Venkataraman and Sundar V. Pathy and Ewe C. Tan}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Logic {BIST} silicon debug and volume diagnosis methodology}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139147}, doi = {10.1109/TEST.2011.6139147}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AmyeenJVPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BahlMKGGAT11, author = {Swapnil Bahl and Roberto Mattiuzzo and Shray Khullar and Akhil Garg and S. Graniello and Khader S. Abdel{-}Hafez and Salvatore Talluto}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {State of the art low capture power methodology}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139164}, doi = {10.1109/TEST.2011.6139164}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BahlMKGGAT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Balangue11, author = {Bailarico Balangue}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {In circuit test {(ICT):} The king is dead; long live the king!}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139194}, doi = {10.1109/TEST.2011.6139194}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Balangue11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BanerjeeSDC11, author = {Aritra Banerjee and Shreyas Sen and Shyam Kumar Devarakond and Abhijit Chatterjee}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Accurate signature driven power conscious tuning of {RF} systems using hierarchical performance models}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139144}, doi = {10.1109/TEST.2011.6139144}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BanerjeeSDC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BasuMP11, author = {Kanad Basu and Prabhat Mishra and Priyadarsan Patra}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Efficient combination of trace and scan signals for post silicon validation and debug}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139157}, doi = {10.1109/TEST.2011.6139157}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/BasuMP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BhavsarP11, author = {Dilip K. Bhavsar and Steve Poehlman}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Test access and the testability features of the Poulson multi-core Intel Itanium{\textregistered} processor}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139168}, doi = {10.1109/TEST.2011.6139168}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BhavsarP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChakravartyDEH11, author = {Sreejit Chakravarty and Binh Dang and Darcy Escovedo and A. J. Haas}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Optimal manufacturing flow to determine minumum operating voltage}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139169}, doi = {10.1109/TEST.2011.6139169}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChakravartyDEH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChangCZLB11, author = {Hsiu{-}Ming Chang and Kwang{-}Ting Cheng and Wangyang Zhang and Xin Li and Kenneth M. Butler}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Test cost reduction through performance prediction using virtual probe}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139129}, doi = {10.1109/TEST.2011.6139129}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChangCZLB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChangHTY11, author = {Yi{-}Chung Chang and Shi{-}Yu Huang and Chao{-}Wen Tzeng and Jack T. Yao}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {A fully cell-based design for timing measurement of memory}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139150}, doi = {10.1109/TEST.2011.6139150}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChangHTY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenCWCJC11, author = {Kuo{-}An Chen and Tsung{-}Wei Chang and Meng{-}Chen Wu and Mango Chia{-}Tso Chao and Jing{-}Yang Jou and Sonair Chen}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Design-for-debug layout adjustment for {FIB} probing and circuit editing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139155}, doi = {10.1109/TEST.2011.6139155}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenCWCJC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenDDD11, author = {Liang{-}Chi Chen and Peter Dahlgren and Paul Dickinson and Scott Davidson}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Transition test bring-up and diagnosis on UltraSPARC\({}^{\mbox{TM}}\) processors}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139167}, doi = {10.1109/TEST.2011.6139167}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenDDD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChiMGW11, author = {Chun{-}Chuan Chi and Erik Jan Marinissen and Sandeep Kumar Goel and Cheng{-}Wen Wu}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Post-bond testing of 2.5D-SICs and 3D-SICs containing a passive silicon interposer base}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139181}, doi = {10.1109/TEST.2011.6139181}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChiMGW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Dally11, author = {Bill Dally}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Power, programmability, and granularity: The challenges of ExaScale computing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {12}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139189}, doi = {10.1109/TEST.2011.6139189}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Dally11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DevanathanV11, author = {V. R. Devanathan and Srinivas Kumar Vooka}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Techniques to improve memory interface test quality for complex SoCs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139172}, doi = {10.1109/TEST.2011.6139172}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DevanathanV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DrmanacL11, author = {Dragoljub Gagi Drmanac and Michael Laisne}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Wafer probe test cost reduction of an {RF/A} device by automatic testset minimization - {A} case study}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139143}, doi = {10.1109/TEST.2011.6139143}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DrmanacL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/EhrenbergR11, author = {Heiko Ehrenberg and Bob Russell}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {{IEEE} Std 1581 - {A} standardized test access methodology for memory devices}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139141}, doi = {10.1109/TEST.2011.6139141}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/EhrenbergR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FonsecaDBGPVB11, author = {Renan Alves Fonseca and Luigi Dilillo and Alberto Bosio and Patrick Girard and Serge Pravossoudovitch and Arnaud Virazel and Nabil Badereddine}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {On using address scrambling to implement defect tolerance in SRAMs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139149}, doi = {10.1109/TEST.2011.6139149}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FonsecaDBGPVB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GattikerN11, author = {Anne E. Gattiker and Phil Nigh}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Using well/substrate bias manipulation to enhance voltage-test-based defect detection}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139153}, doi = {10.1109/TEST.2011.6139153}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GattikerN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GoorHK11, author = {Ad J. van de Goor and Said Hamdioui and Halil Kukner}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Generic, orthogonal and low-cost March Element based memory {BIST}}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139148}, doi = {10.1109/TEST.2011.6139148}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GoorHK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GotkhindikarDBCN11, author = {Kapil R. Gotkhindikar and W. Robert Daasch and Kenneth M. Butler and John M. Carulli Jr. and Amit Nahar}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Die-level adaptive test: Real-time test reordering and elimination}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139173}, doi = {10.1109/TEST.2011.6139173}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GotkhindikarDBCN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Gu11, author = {Xinli Gu}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {The gap: Test challenges in Asia manufacturing field}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139195}, doi = {10.1109/TEST.2011.6139195}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Gu11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HapkeSRGRRRR11, author = {Friedrich Hapke and J{\"{u}}rgen Schl{\"{o}}ffel and Wilfried Redemund and Andreas Glowatz and Janusz Rajski and Michael Reese and J. Rearick and Jason Rivers}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Cell-aware analysis for small-delay effects and production test results from different fault models}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139151}, doi = {10.1109/TEST.2011.6139151}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HapkeSRGRRRR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IngelssonA11, author = {Urban Ingelsson and Bashir M. Al{-}Hashimi}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Investigation into voltage and process variation-aware manufacturing test}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139138}, doi = {10.1109/TEST.2011.6139138}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IngelssonA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IshidaIWKO11, author = {Masahiro Ishida and Kiyotaka Ichiyama and Daisuke Watanabe and Masayuki Kawabata and Toshiyuki Okayasu}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Real-time testing method for 16 Gbps 4-PAM signal interface}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139160}, doi = {10.1109/TEST.2011.6139160}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IshidaIWKO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JanickiTDKMMR11, author = {Jakub Janicki and Jerzy Tyszer and Avijit Dutta and Mark Kassab and Grzegorz Mrugalski and Nilanjan Mukherjee and Janusz Rajski}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {{EDT} channel bandwidth management in SoC designs with pattern-independent test access mechanism}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139170}, doi = {10.1109/TEST.2011.6139170}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JanickiTDKMMR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KorenSDNPR11, author = {Ivo Koren and Ben Schuffenhauer and Frank Demmerle and Frank Neugebauer and Gert Pfahl and Dirk Rautmann}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Multi-site test of {RF} transceivers on low-cost digital {ATE}}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139142}, doi = {10.1109/TEST.2011.6139142}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KorenSDNPR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KrusemanTHDHBX11, author = {Bram Kruseman and Bratislav Tasic and Camelia Hora and Jos Dohmen and Hamidreza Hashempour and Maikel van Beurden and Yizi Xing}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Defect Oriented Testing for analog/mixed-signal devices}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139127}, doi = {10.1109/TEST.2011.6139127}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KrusemanTHDHBX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KunAHMTC11, author = {Andras Kun and Ralf Arnold and Peter Heinrich and Gwenol{\'{e}} Maugard and Huaxing Tang and Wu{-}Tung Cheng}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Deterministic {IDDQ} diagnosis using a net activation based model}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139175}, doi = {10.1109/TEST.2011.6139175}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KunAHMTC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinHW11, author = {Yi{-}Tsung Lin and Jiun{-}Lang Huang and Xiaoqing Wen}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Clock-gating-aware low launch {WSA} test pattern generation for at-speed scan testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139132}, doi = {10.1109/TEST.2011.6139132}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinHW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinT11, author = {Mitchell Lin and Tyler Tolman}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Analyzing {ATE} interconnect performance for serial links of 10 Gbps and above}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139158}, doi = {10.1109/TEST.2011.6139158}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MajidK11, author = {A. M. Majid and David C. Keezer}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Multi-function multi-GHz {ATE} extension using state-of-the-art FPGAs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139161}, doi = {10.1109/TEST.2011.6139161}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MajidK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MittalBSPNSP11, author = {Rajesh Mittal and Lakshmanan Balasubramanian and Adesh Sontakke and Harikrishna Parthasarathy and Prakash Narayanan and Puneet Sabbarwal and Rubin A. Parekhji}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {{DFT} for extremely low cost test of mixed signal SOCs with integrated {RF} and power management}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139128}, doi = {10.1109/TEST.2011.6139128}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MittalBSPNSP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Moreira11, author = {Jose Moreira}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Development of an {ATE} test cell for at-speed characterization and production testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139134}, doi = {10.1109/TEST.2011.6139134}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Moreira11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MumtazIW11, author = {Abdullah Mumtaz and Michael E. Imhof and Hans{-}Joachim Wunderlich}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {{P-PET:} Partial pseudo-exhaustive test for high defect coverage}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139130}, doi = {10.1109/TEST.2011.6139130}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MumtazIW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Nigh11, author = {Phil Nigh}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Industry leaders panel - How will testing change in the next 10 years?}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139192}, doi = {10.1109/TEST.2011.6139192}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Nigh11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NoiaC11, author = {Brandon Noia and Krishnendu Chakrabarty}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Pre-bond probing of TSVs in 3D stacked ICs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139179}, doi = {10.1109/TEST.2011.6139179}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NoiaC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Okawara11, author = {Hideo Okawara}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Elegant construction of {SSC} implemented signal by {AWG} and organized under-sampling of wideband signal}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139159}, doi = {10.1109/TEST.2011.6139159}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Okawara11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PantS11, author = {Pankaj Pant and Eric Skeels}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Hardware hooks for transition scan characterization}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139166}, doi = {10.1109/TEST.2011.6139166}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PantS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ParkerKD11, author = {Kenneth P. Parker and Shuichi Kameyama and David Dubberke}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Surviving state disruptions caused by test: {A} case study}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139140}, doi = {10.1109/TEST.2011.6139140}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ParkerKD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RajskiMR11, author = {Janusz Rajski and Elham K. Moghaddam and Sudhakar M. Reddy}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Low power compression utilizing clock-gating}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139145}, doi = {10.1109/TEST.2011.6139145}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RajskiMR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShamshiriGC11, author = {Saeed Shamshiri and Amirali Ghofrani and Kwang{-}Ting Cheng}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {End-to-end error correction and online diagnosis for on-chip networks}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139156}, doi = {10.1109/TEST.2011.6139156}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShamshiriGC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SharmaDCBK11, author = {Manish Sharma and Avijit Dutta and Wu{-}Tung Cheng and Brady Benware and Mark Kassab}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {A novel Test Access Mechanism for failure diagnosis of multiple isolated identical cores}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139171}, doi = {10.1109/TEST.2011.6139171}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SharmaDCBK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShiKHD11, author = {Yiwen Shi and Kantapon Kaewtip and Wan{-}Chan Hu and Jennifer Dworak}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Partial state monitoring for fault detection estimation}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139146}, doi = {10.1109/TEST.2011.6139146}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShiKHD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Shyu11, author = {Jyuo{-}Min Shyu}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {A systems perspective on the R{\&}D of industrial technology}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {13}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139190}, doi = {10.1109/TEST.2011.6139190}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Shyu11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SmithHJGSDDKMD11, author = {Ken Smith and Peter Hanaway and Mike Jolley and Reed Gleason and Eric Strid and Tom Daenen and Luc Dupas and Bruno Knuts and Erik Jan Marinissen and Marc Van Dievel}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Evaluation of {TSV} and micro-bump probing for wide {I/O} testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139180}, doi = {10.1109/TEST.2011.6139180}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SmithHJGSDDKMD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SudaniWC11, author = {Siva Sudani and Minshun Wu and Degang Chen}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {A novel robust and accurate spectral testing method for non-coherent sampling}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139176}, doi = {10.1109/TEST.2011.6139176}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SudaniWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SumikawaDWWA11, author = {Nik Sumikawa and Dragoljub Gagi Drmanac and Li{-}C. Wang and LeRoy Winemberg and Magdy S. Abadir}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Forward prediction based on wafer sort data - {A} case study}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139174}, doi = {10.1109/TEST.2011.6139174}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SumikawaDWWA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunterR11, author = {Stephen K. Sunter and Aubin Roy}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Adaptive parametric {BIST} of high-speed parallel I/Os via standard boundary scan}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139165}, doi = {10.1109/TEST.2011.6139165}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SunterR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TakahashiMO11, author = {Yasuhiro Takahashi and Akinori Maeda and Mitsuhiro Ogura}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Actual implementation of multi domain test: Further reduction of cost of test}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139135}, doi = {10.1109/TEST.2011.6139135}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TakahashiMO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TamB11, author = {Wing Chiu Tam and R. D. (Shawn) Blanton}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Physically-aware analysis of systematic defects in integrated circuits}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139137}, doi = {10.1109/TEST.2011.6139137}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TamB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TheodorouKPG11, author = {George Theodorou and Nektarios Kranitis and Antonis M. Paschalis and Dimitris Gizopoulos}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {A Software-Based Self-Test methodology for on-line testing of processor caches}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139154}, doi = {10.1109/TEST.2011.6139154}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TheodorouKPG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/VijayakumarSK11, author = {Priyamvada Vijayakumar and Vikram B. Suresh and Sandip Kundu}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Lithography aware critical area estimation and yield analysis}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139152}, doi = {10.1109/TEST.2011.6139152}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/VijayakumarSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WenHTLLCTTL11, author = {Jen{-}Yang Wen and Yu{-}Chuan Huang and Min{-}Hong Tsai and Kuan{-}Yu Liao and James Chien{-}Mo Li and Ming{-}Tung Chang and Min{-}Hsiu Tsai and Chih{-}Mou Tseng and Hung{-}Chun Li}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Test clock domain optimization for peak power supply noise reduction during scan}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139163}, doi = {10.1109/TEST.2011.6139163}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WenHTLLCTTL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YamaguchiSAFDAAK11, author = {Takahiro J. Yamaguchi and Mani Soma and Takafumi Aoki and Yasuo Furukawa and Katsuhiko Degawa and Kunihiro Asada and Mohamed Abbas and Satoshi Komatsu}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Application of a continuous-time level crossing quantization method for timing noise measurements}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139177}, doi = {10.1109/TEST.2011.6139177}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YamaguchiSAFDAAK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YamatoWKMKW11, author = {Yuta Yamato and Xiaoqing Wen and Michael A. Kochte and Kohei Miyase and Seiji Kajihara and Laung{-}Terng Wang}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {A novel scan segmentation design method for avoiding shift timing failure in scan testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139162}, doi = {10.1109/TEST.2011.6139162}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YamatoWKMKW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YanL11, author = {Guihai Yan and Xiaowei Li}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Online timing variation tolerance for digital integrated circuits}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139136}, doi = {10.1109/TEST.2011.6139136}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YanL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YilmazOB11, author = {Ender Yilmaz and Sule Ozev and Kenneth M. Butler}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Adaptive multidimensional outlier analysis for analog and mixed signal circuits}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139178}, doi = {10.1109/TEST.2011.6139178}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YilmazOB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YonedaHIF11, author = {Tomokazu Yoneda and Keigo Hori and Michiko Inoue and Hideo Fujiwara}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Faster-than-at-speed test for increased test quality and in-field reliability}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139131}, doi = {10.1109/TEST.2011.6139131}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YonedaHIF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Zeng11, author = {Jing Zeng}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Challenges and best practices in advanced silicon debug}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139193}, doi = {10.1109/TEST.2011.6139193}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Zeng11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangCWWG11, author = {Zhaobo Zhang and Krishnendu Chakrabarty and Zhanglei Wang and Zhiyuan Wang and Xinli Gu}, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {Smart diagnosis: Efficient board-level diagnosis and repair using artificial neural networks}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/TEST.2011.6139139}, doi = {10.1109/TEST.2011.6139139}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhangCWWG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2011, editor = {Bill Eklow and R. D. (Shawn) Blanton}, title = {2011 {IEEE} International Test Conference, {ITC} 2011, Anaheim, CA, USA, September 20-22, 2011}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6132473/proceeding}, isbn = {978-1-4577-0153-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Abdul-AzizT10, author = {Mohammed A. Abdul{-}Aziz and Mehdi Baradaran Tahoori}, editor = {Ron Press and Erik H. Volkerink}, title = {Soft error reliability aware placement and routing for FPGAs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {753--761}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699279}, doi = {10.1109/TEST.2010.5699279}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Abdul-AzizT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AcharyyaAP10, author = {Dhruva Acharyya and Kanak Agarwal and Jim Plusquellic}, editor = {Ron Press and Erik H. Volkerink}, title = {Leveraging existing power control circuits and power delivery architecture for variability measurement}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {645--653}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699268}, doi = {10.1109/TEST.2010.5699268}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/AcharyyaAP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Al-GayemLRBK10, author = {Qais Al{-}Gayem and Hongyuan Liu and Andrew Richardson and Nick Burd and M. Kumar}, editor = {Ron Press and Erik H. Volkerink}, title = {An on-line monitoring technique for electrode degradation in bio-fluidic microsystems}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {654--663}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699269}, doi = {10.1109/TEST.2010.5699269}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Al-GayemLRBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AmatiBSF10, author = {Luca Amati and Cristiana Bolchini and Fabio Salice and Federico Franzoso}, editor = {Ron Press and Erik H. Volkerink}, title = {Improving fault diagnosis accuracy by automatic test set modification}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {477--484}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699250}, doi = {10.1109/TEST.2010.5699250}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AmatiBSF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AouiniCR10, author = {Sadok Aouini and Kun Chuai and Gordon W. Roberts}, editor = {Ron Press and Erik H. Volkerink}, title = {A low-cost {ATE} phase signal generation technique for test applications}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {29--38}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699202}, doi = {10.1109/TEST.2010.5699202}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AouiniCR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AsamiKI10, author = {Koji Asami and Toshiaki Kurihara and Yushi Inada}, editor = {Ron Press and Erik H. Volkerink}, title = {Evaluation techniques of frequency-dependent {I/Q} imbalances in wideband quadrature mixers}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {229--236}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699223}, doi = {10.1109/TEST.2010.5699223}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AsamiKI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AsamiMKTK10, author = {Koji Asami and Hiroyuki Miyajima and Tsuyoshi Kurosawa and Takenori Tateiwa and Haruo Kobayashi}, editor = {Ron Press and Erik H. Volkerink}, title = {Timing skew compensation technique using digital filter with novel linear phase condition}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {334--342}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699234}, doi = {10.1109/TEST.2010.5699234}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AsamiMKTK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BasharapandiyanC10, author = {Suri Basharapandiyan and Yi Cai}, editor = {Ron Press and Erik H. Volkerink}, title = {Practical active compensation techniques for {ATE} power supply response for testing of mixed signal data storage SOCs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {599--605}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699263}, doi = {10.1109/TEST.2010.5699263}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BasharapandiyanC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BernardiGRZ10, author = {Paolo Bernardi and Michelangelo Grosso and Matteo Sonza Reorda and Y. Zhang}, editor = {Ron Press and Erik H. Volkerink}, title = {A programmable {BIST} for {DRAM} testing and diagnosis}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {447--456}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699247}, doi = {10.1109/TEST.2010.5699247}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BernardiGRZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BrascaBRBSB10, author = {Lyl M. Ciganda Brasca and Paolo Bernardi and Matteo Sonza Reorda and Dimitri Barbieri and Maurizio Straiotto and Luciano Bonaria}, editor = {Ron Press and Erik H. Volkerink}, title = {A tester architecture suitable for {MEMS} calibration and testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {806}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699298}, doi = {10.1109/TEST.2010.5699298}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BrascaBRBSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CaiWWN10, author = {X. Cai and Peter Wohl and John A. Waicukauski and Pramod Notiyath}, editor = {Ron Press and Erik H. Volkerink}, title = {Highly efficient parallel {ATPG} based on shared memory}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {353--359}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699236}, doi = {10.1109/TEST.2010.5699236}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CaiWWN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChangWB10, author = {Po{-}Hsien Chang and Li{-}C. Wang and Jayanta Bhadra}, editor = {Ron Press and Erik H. Volkerink}, title = {A kernel-based approach for functional test program generation}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {164--173}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699216}, doi = {10.1109/TEST.2010.5699216}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChangWB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenBWZDM10, author = {Janine Chen and Brendon Bolin and Li{-}C. Wang and Jing Zeng and Dragoljub Gagi Drmanac and Michael Mateja}, editor = {Ron Press and Erik H. Volkerink}, title = {Mining {AC} delay measurements for understanding speed-limiting paths}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {553--562}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699258}, doi = {10.1109/TEST.2010.5699258}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenBWZDM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenWCRT10, author = {Tsung{-}Tang Chen and Po{-}Han Wu and Kung{-}Han Chen and Jiann{-}Chyi Rau and Shih{-}Ming Tzeng}, editor = {Ron Press and Erik H. Volkerink}, title = {The AB-filling methodology for power-aware at-speed scan testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {807}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699299}, doi = {10.1109/TEST.2010.5699299}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenWCRT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChoSRM10, author = {Minki Cho and Nikhil Sathe and Arijit Raychowdhury and Saibal Mukhopadhyay}, editor = {Ron Press and Erik H. Volkerink}, title = {Optimization of burn-in test for many-core processors through adaptive spatiotemporal power migration}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {59--68}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699205}, doi = {10.1109/TEST.2010.5699205}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChoSRM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChungG10, author = {Kun Young Chung and Sandeep K. Gupta}, editor = {Ron Press and Erik H. Volkerink}, title = {Design and test of latch-based circuits to maximize performance, yield, and delay test quality}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {94--103}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699209}, doi = {10.1109/TEST.2010.5699209}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/ChungG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ClarkDPT10, author = {C. J. Clark and Dave Dubberke and Kenneth P. Parker and Bill Tuthill}, editor = {Ron Press and Erik H. Volkerink}, title = {Solutions for undetected shorts on {IEEE} 1149.1 self-monitoring pins}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {563--570}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699259}, doi = {10.1109/TEST.2010.5699259}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ClarkDPT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CraftsBCFFHKSTW10, author = {James Crafts and David Bogdan and Dennis Conti and Donato O. Forlenza and Orazio P. Forlenza and William V. Huott and Mary P. Kusko and Edward Seymour and Timothy Taylor and Brian Walsh}, editor = {Ron Press and Erik H. Volkerink}, title = {Testing the {IBM} Power 7{\texttrademark} 4 GHz eight core microprocessor}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {49--58}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699204}, doi = {10.1109/TEST.2010.5699204}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CraftsBCFFHKSTW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Czamara10, author = {Al Czamara}, editor = {Ron Press and Erik H. Volkerink}, title = {AXIe{\textregistered}: Open architecture test system standard}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {801}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699293}, doi = {10.1109/TEST.2010.5699293}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Czamara10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CzyszMMRST10, author = {Dariusz Czysz and Grzegorz Mrugalski and Nilanjan Mukherjee and Janusz Rajski and Przemyslaw Szczerbicki and Jerzy Tyszer}, editor = {Ron Press and Erik H. Volkerink}, title = {Low power compression of incompatible test cubes}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {704--713}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699274}, doi = {10.1109/TEST.2010.5699274}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CzyszMMRST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DattaT10, author = {Rudrajit Datta and Nur A. Touba}, editor = {Ron Press and Erik H. Volkerink}, title = {Post-manufacturing {ECC} customization based on Orthogonal Latin Square codes and its application to ultra-low power caches}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {212--218}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699221}, doi = {10.1109/TEST.2010.5699221}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DattaT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DengCBK10, author = {Shujun Deng and Kwang{-}Ting Cheng and Jinian Bian and Zhiqiu Kong}, editor = {Ron Press and Erik H. Volkerink}, title = {Mutation-based diagnostic test generation for hardware design error diagnosis}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {815}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699307}, doi = {10.1109/TEST.2010.5699307}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DengCBK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DesineniPKR10, author = {Rao Desineni and Leah Pastel and Maroun Kassab and Robert Redburn}, editor = {Ron Press and Erik H. Volkerink}, title = {Hard to find, easy to find systematics; just find them}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {388--397}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699240}, doi = {10.1109/TEST.2010.5699240}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DesineniPKR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DevanathanHKS10, author = {V. R. Devanathan and Alan Hales and Sumant Kale and Dharmesh Sonkar}, editor = {Ron Press and Erik H. Volkerink}, title = {Towards effective and compression-friendly test of memory interface logic}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {124--133}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699212}, doi = {10.1109/TEST.2010.5699212}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DevanathanHKS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Devta-PrasannaG10, author = {Narendra Devta{-}Prasanna and Arun Gunda}, editor = {Ron Press and Erik H. Volkerink}, title = {Clock Gate Test Points}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {84--93}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699208}, doi = {10.1109/TEST.2010.5699208}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Devta-PrasannaG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Devta-PrasannaGRP10, author = {Narendra Devta{-}Prasanna and Arun Gunda and Sudhakar M. Reddy and Irith Pomeranz}, editor = {Ron Press and Erik H. Volkerink}, title = {Multiple fault activation cycle tests for transistor stuck-open faults}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {821}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699313}, doi = {10.1109/TEST.2010.5699313}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Devta-PrasannaGRP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DrappaHV10, author = {Anke Drappa and Peter Huber and Jon Vollmar}, editor = {Ron Press and Erik H. Volkerink}, title = {Automated test program generation for automotive devices}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699253}, doi = {10.1109/TEST.2010.5699253}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DrappaHV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DuanJC10, author = {Jingbo Duan and Le Jin and Degang Chen}, editor = {Ron Press and Erik H. Volkerink}, title = {A new method for estimating spectral performance of {ADC} from {INL}}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {694--703}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699273}, doi = {10.1109/TEST.2010.5699273}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DuanJC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FoutrisPGAVG10, author = {Nikos Foutris and Mihalis Psarakis and Dimitris Gizopoulos and Andreas Apostolakis and Xavier Vera and Antonio Gonz{\'{a}}lez}, editor = {Ron Press and Erik H. Volkerink}, title = {{MT-SBST:} Self-test optimization in multithreaded multicore architectures}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {734--743}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699277}, doi = {10.1109/TEST.2010.5699277}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FoutrisPGAVG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GalliereRGD10, author = {Jean{-}Marc Galli{\`{e}}re and Paolo Rech and Patrick Girard and Luigi Dilillo}, editor = {Ron Press and Erik H. Volkerink}, title = {A roaming memory test bench for detecting particle induced SEUs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {810}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699302}, doi = {10.1109/TEST.2010.5699302}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/GalliereRGD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HapkeRSKGWHE10, author = {Friedrich Hapke and Wilfried Redemund and J{\"{u}}rgen Schl{\"{o}}ffel and Rene Krenz{-}Baath and Andreas Glowatz and Michael Wittke and Hamidreza Hashempour and Stefan Eichenberger}, editor = {Ron Press and Erik H. Volkerink}, title = {Defect-oriented cell-internal testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {285--294}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699229}, doi = {10.1109/TEST.2010.5699229}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HapkeRSKGWHE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HeLLL10, author = {Zijian He and Tao Lv and Huawei Li and Xiaowei Li}, editor = {Ron Press and Erik H. Volkerink}, title = {On generation of a universal path candidate set containing testable long paths}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {816}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699308}, doi = {10.1109/TEST.2010.5699308}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HeLLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HeMJPH10, author = {Xin He and Yashwant K. Malaiya and Anura P. Jayasumana and Kenneth P. Parker and Stephen Hird}, editor = {Ron Press and Erik H. Volkerink}, title = {Principal Component Analysis-based compensation for measurement errors due to mechanical misalignments in {PCB} testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {467--476}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699249}, doi = {10.1109/TEST.2010.5699249}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HeMJPH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HongLPMLKHNGM10, author = {Ted Hong and Yanjing Li and Sung{-}Boem Park and Diana Mui and David Lin and Ziyad Abdel Kaleq and Nagib Hakim and Helia Naeimi and Donald S. Gardner and Subhasish Mitra}, editor = {Ron Press and Erik H. Volkerink}, title = {{QED:} Quick Error Detection tests for effective post-silicon validation}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {154--163}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699215}, doi = {10.1109/TEST.2010.5699215}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HongLPMLKHNGM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangBCTKC10, author = {Yu Huang and Brady Benware and Wu{-}Tung Cheng and Ting{-}Pu Tai and Feng{-}Ming Kuo and Yuan{-}Shih Chen}, editor = {Ron Press and Erik H. Volkerink}, title = {Case study of scan chain diagnosis and {PFA} on a low yield wafer}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {818}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699310}, doi = {10.1109/TEST.2010.5699310}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangBCTKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IrobiAH10, author = {Sandra Irobi and Zaid Al{-}Ars and Said Hamdioui}, editor = {Ron Press and Erik H. Volkerink}, title = {Detecting memory faults in the presence of bit line coupling in {SRAM} devices}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {437--446}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699246}, doi = {10.1109/TEST.2010.5699246}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IrobiAH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/IversonDMNST10, author = {David Iverson and Dan Dickinson and John Masson and Christina Newman{-}LaBounty and Daniel Simmons and William Tanona}, editor = {Ron Press and Erik H. Volkerink}, title = {Redundant core testing on the cell {BE} microprocessor}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {68--73}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699206}, doi = {10.1109/TEST.2010.5699206}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/IversonDMNST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/JiangLDXX10, author = {Li Jiang and Yuxi Liu and Lian Duan and Yuan Xie and Qiang Xu}, editor = {Ron Press and Erik H. Volkerink}, title = {Modeling {TSV} open defects in 3D-stacked {DRAM}}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {174--182}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699217}, doi = {10.1109/TEST.2010.5699217}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/JiangLDXX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KandalaftBR10, author = {Nabeeh Kandalaft and Iftekhar Ibne Basith and Rashid Rashidzadeh}, editor = {Ron Press and Erik H. Volkerink}, title = {A {MEMS} based device interface board}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {804}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699296}, doi = {10.1109/TEST.2010.5699296}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KandalaftBR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KannanKSTAFM10, author = {Sukeshwar Kannan and Bruce C. Kim and Ganesh Srinivasan and Friedrich Taenzlar and Richard Antley and Craig Force and Falah Mohammed}, editor = {Ron Press and Erik H. Volkerink}, title = {RADPro: Automatic {RF} analyzer and diagnostic program generation tool}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {325--333}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699233}, doi = {10.1109/TEST.2010.5699233}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KannanKSTAFM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KaramatiN10, author = {Sara Karamati and Zainalabedin Navabi}, editor = {Ron Press and Erik H. Volkerink}, title = {Using context based methods for test data compression}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {809}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699301}, doi = {10.1109/TEST.2010.5699301}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KaramatiN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KarandjeffH10, author = {Carl Karandjeff and Chris Hannaford}, editor = {Ron Press and Erik H. Volkerink}, title = {Precision audio nulling instrumentation achieves near -140dB measurements in a production environment}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {589--598}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699262}, doi = {10.1109/TEST.2010.5699262}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KarandjeffH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KassabMMRJT10, author = {Mark Kassab and Grzegorz Mrugalski and Nilanjan Mukherjee and Janusz Rajski and Jakub Janicki and Jerzy Tyszer}, editor = {Ron Press and Erik H. Volkerink}, title = {Dynamic channel allocation for higher {EDT} compression in SoC designs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {265--274}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699227}, doi = {10.1109/TEST.2010.5699227}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KassabMMRJT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KawachiI10, author = {Tomohiro Kawachi and Koichi Irie}, editor = {Ron Press and Erik H. Volkerink}, title = {{ADC} linearity testing method with single analog monitoring port}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {419--426}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699244}, doi = {10.1109/TEST.2010.5699244}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KawachiI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KellerCFCMSILG10, author = {Brion L. Keller and Krishna Chakravadhanula and Brian Foutz and Vivek Chickermane and R. Malneedi and Thomas J. Snethen and Vikram Iyengar and David E. Lackey and Gary Grise}, editor = {Ron Press and Erik H. Volkerink}, title = {Low cost at-speed testing using On-Product Clock Generation compatible with test compression}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {724--733}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699276}, doi = {10.1109/TEST.2010.5699276}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KellerCFCMSILG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KhursheedZAAK10, author = {S. Saqib Khursheed and Shida Zhong and Robert C. Aitken and Bashir M. Al{-}Hashimi and Sandip Kundu}, editor = {Ron Press and Erik H. Volkerink}, title = {Modeling the impact of process variation on resistive bridge defects}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {295--304}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699230}, doi = {10.1109/TEST.2010.5699230}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KhursheedZAAK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KimishimaMSTNSHON10, author = {Masayuki Kimishima and S. Mizuno and T. Seki and H. Takeuti and Haruki Nagami and Hideki Shirasu and Y. Haraguti and J. Okayasu and M. Nakanishi}, editor = {Ron Press and Erik H. Volkerink}, title = {A high density small size {RF} test module for high throughput multiple resource testing}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {315--324}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699232}, doi = {10.1109/TEST.2010.5699232}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KimishimaMSTNSHON10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KingerNS10, author = {Rakesh Kinger and Swetha Narasimhawsamy and Stephen K. Sunter}, editor = {Ron Press and Erik H. Volkerink}, title = {Experiences with parametric {BIST} for production testing PLLs with picosecond precision}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {410--418}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699243}, doi = {10.1109/TEST.2010.5699243}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KingerNS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KoN10, author = {Ho Fai Ko and Nicola Nicolici}, editor = {Ron Press and Erik H. Volkerink}, title = {Automated trace signals selection using the {RTL} descriptions}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {144--153}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699214}, doi = {10.1109/TEST.2010.5699214}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KoN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KochteZBIWHCP10, author = {Michael A. Kochte and Christian G. Zoellin and Rafal Baranowski and Michael E. Imhof and Hans{-}Joachim Wunderlich and Nadereh Hatami and Stefano Di Carlo and Paolo Prinetto}, editor = {Ron Press and Erik H. Volkerink}, title = {System reliability evaluation using concurrent multi-level simulation of structural faults}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {817}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699309}, doi = {10.1109/TEST.2010.5699309}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KochteZBIWHCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KohnoAKI10, author = {Jun Kohno and Tatsuro Akiyama and Dai Kato and Makoto Imamura}, editor = {Ron Press and Erik H. Volkerink}, title = {A high linearity compact timing vernier for {CMOS} timing generator}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699174}, doi = {10.1109/TEST.2010.5699174}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KohnoAKI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KuppHDM10, author = {Nathan Kupp and He Huang and Petros Drineas and Yiorgos Makris}, editor = {Ron Press and Erik H. Volkerink}, title = {Post-production performance calibration in analog/RF devices}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {245--254}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699225}, doi = {10.1109/TEST.2010.5699225}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KuppHDM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Lee10, author = {Kelly Lee}, editor = {Ron Press and Erik H. Volkerink}, title = {A practical scan re-use scheme for system test}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {814}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699306}, doi = {10.1109/TEST.2010.5699306}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Lee10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiXHCL10, author = {Huawei Li and Dawen Xu and Yinhe Han and Kwang{-}Ting Cheng and Xiaowei Li}, editor = {Ron Press and Erik H. Volkerink}, title = {nGFSIM : {A} GPU-based fault simulator for 1-to-n detection and its applications}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {343--352}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699235}, doi = {10.1109/TEST.2010.5699235}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/LiXHCL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinCYCH10, author = {Chen{-}Wei Lin and Hung{-}Hsin Chen and Hao{-}Yu Yang and Mango Chia{-}Tso Chao and Rei{-}Fu Huang}, editor = {Ron Press and Erik H. Volkerink}, title = {Fault models and test methods for subthreshold SRAMs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {427--436}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699245}, doi = {10.1109/TEST.2010.5699245}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinCYCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LuoLCFC10, author = {Tseng{-}Chin Luo and Eric Leong and Mango Chia{-}Tso Chao and Philip A. Fisher and Wen{-}Hsiang Chang}, editor = {Ron Press and Erik H. Volkerink}, title = {Mask versus Schematic - an enhanced design-verification flow for first silicon success}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {369--377}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699238}, doi = {10.1109/TEST.2010.5699238}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LuoLCFC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Lyons10, author = {Timothy Daniel Lyons}, editor = {Ron Press and Erik H. Volkerink}, title = {Complete testing of receiver jitter tolerance}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {9--18}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699175}, doi = {10.1109/TEST.2010.5699175}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Lyons10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MajzoobiDEK10, author = {Mehrdad Majzoobi and Eva L. Dyer and Ahmed Elnably and Farinaz Koushanfar}, editor = {Ron Press and Erik H. Volkerink}, title = {Rapid {FPGA} delay characterization using clock synthesis and sparse sampling}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {457--466}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699248}, doi = {10.1109/TEST.2010.5699248}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MajzoobiDEK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MaliukSHM10, author = {Dzmitry Maliuk and Haralampos{-}G. D. Stratigopoulos and He Huang and Yiorgos Makris}, editor = {Ron Press and Erik H. Volkerink}, title = {Analog neural network design for {RF} built-in self-test}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {684--693}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699272}, doi = {10.1109/TEST.2010.5699272}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MaliukSHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MannathWMCKGS10, author = {Deepa Mannath and Dallas Webster and Victor Monta{\~{n}}o{-}Martinez and David Cohen and Shai Kush and Ganesan Thiagarajan and Adesh Sontakke}, editor = {Ron Press and Erik H. Volkerink}, title = {Structural approach for built-in tests in {RF} devices}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {398--404}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699241}, doi = {10.1109/TEST.2010.5699241}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MannathWMCKGS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MoghaddamRRLMK10, author = {Elham K. Moghaddam and Janusz Rajski and Sudhakar M. Reddy and Xijiang Lin and Nilanjan Mukherjee and Mark Kassab}, editor = {Ron Press and Erik H. Volkerink}, title = {Low capture power at-speed test in {EDT} environment}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {714--723}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699275}, doi = {10.1109/TEST.2010.5699275}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MoghaddamRRLMK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MorrisEPSPF10, author = {Devin Morris and William R. Eisenstadt and Andrea Paganini and Mustapha Slamani and Timothy Platt and John Ferrario}, editor = {Ron Press and Erik H. Volkerink}, title = {Synthetic {DSP} approach for novel FPGA-based measurement of error vector magnitude}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {237--244}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699224}, doi = {10.1109/TEST.2010.5699224}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MorrisEPSPF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NatarajanKCG10, author = {Suriyaprakash Natarajan and Arun Krishnamachary and Eli Chiprout and Rajesh Galivanche}, editor = {Ron Press and Erik H. Volkerink}, title = {Path coverage based functional test generation for processor marginality validation}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {544--552}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699257}, doi = {10.1109/TEST.2010.5699257}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NatarajanKCG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NelsonTB10, author = {Jeffrey E. Nelson and Wing Chiu Tam and Ronald D. Blanton}, editor = {Ron Press and Erik H. Volkerink}, title = {Automatic classification of bridge defects}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {305--314}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699231}, doi = {10.1109/TEST.2010.5699231}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NelsonTB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NoiaCM10, author = {Brandon Noia and Krishnendu Chakrabarty and Erik Jan Marinissen}, editor = {Ron Press and Erik H. Volkerink}, title = {Optimization methods for post-bond die-internal/external testing in 3D stacked ICs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {193--201}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699219}, doi = {10.1109/TEST.2010.5699219}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NoiaCM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/NomuraSS10, author = {Shuou Nomura and Karthikeyan Sankaralingam and Ranganathan Sankaralingam}, editor = {Ron Press and Erik H. Volkerink}, title = {A fast and highly accurate path delay emulation framework for logic-emulation of timing speculation}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {635--644}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699267}, doi = {10.1109/TEST.2010.5699267}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/NomuraSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/OReillyKWP10, author = {Jim O'Reilly and Ajay Khoche and Ernst Wahl and Bruce R. Parnas}, editor = {Ron Press and Erik H. Volkerink}, title = {{STIL} {P1450.4:} {A} standard for test flow specification}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {506--515}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699254}, doi = {10.1109/TEST.2010.5699254}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/OReillyKWP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ObienOF10, author = {Marie Engelene J. Obien and Satoshi Ohtake and Hideo Fujiwara}, editor = {Ron Press and Erik H. Volkerink}, title = {Constrained {ATPG} for functional {RTL} circuits using F-Scan}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {615--624}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699265}, doi = {10.1109/TEST.2010.5699265}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ObienOF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PantZCFY10, author = {Pankaj Pant and Joshua Zelman and Glenn Col{\'{o}}n{-}Bonet and Jennifer Flint and Steve Yurash}, editor = {Ron Press and Erik H. Volkerink}, title = {Lessons from at-speed scan deployment on an Intel{\textregistered} Itanium{\textregistered} microprocessor}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {526--535}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699256}, doi = {10.1109/TEST.2010.5699256}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PantZCFY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Parker10, author = {Kenneth P. Parker}, editor = {Ron Press and Erik H. Volkerink}, title = {Surviving state disruptions caused by test: The "Lobotomy Problem"}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {571--578}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699260}, doi = {10.1109/TEST.2010.5699260}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Parker10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PortolanTG10, author = {Michele Portolan and Bradford G. Van Treuren and Suresh Goyal}, editor = {Ron Press and Erik H. Volkerink}, title = {Scan chain securization though Open-Circuit Deadlocks}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {808}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699300}, doi = {10.1109/TEST.2010.5699300}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PortolanTG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/PuligundlaSCT10, author = {Sudeep Puligundla and Fulvio Spagna and Lidong Chen and Amanda Tran}, editor = {Ron Press and Erik H. Volkerink}, title = {Validating the performance of a 32nm {CMOS} high speed serial link receiver with adaptive equalization and baud-rate clock data recovery}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {405--409}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699242}, doi = {10.1109/TEST.2010.5699242}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/PuligundlaSCT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ReinosaABM10, author = {Rosa D. Reinosa and Aileen Allen and Elizabeth Benedetto and Alan Mcallister}, editor = {Ron Press and Erik H. Volkerink}, title = {Characterizing mechanical performance of Board Level Interconnects for In-Circuit Test}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {495--505}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699252}, doi = {10.1109/TEST.2010.5699252}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ReinosaABM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RobertazziMSMGLW10, author = {Raphael Robertazzi and Louis Medina and Ernesto Shiling and Garry Moore and Ronald Geiger and Jiun{-}Hsin Liao and John Williamson}, editor = {Ron Press and Erik H. Volkerink}, title = {New tools and methodology for advanced parametric and defect structure test}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {19--28}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699201}, doi = {10.1109/TEST.2010.5699201}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RobertazziMSMGLW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RodriguesKK10, author = {Rance Rodrigues and Sandip Kundu and Omer Khan}, editor = {Ron Press and Erik H. Volkerink}, title = {Shadow checker {(SC):} {A} low-cost hardware scheme for online detection of faults in small memory structures of a microprocessor}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {219--228}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699222}, doi = {10.1109/TEST.2010.5699222}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RodriguesKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SanyalCYF10, author = {Alodeep Sanyal and Krishnendu Chakrabarty and Mahmut Yilmaz and Hideo Fujiwara}, editor = {Ron Press and Erik H. Volkerink}, title = {RT-level design-for-testability and expansion of functional test sequences for enhanced defect coverage}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {625--634}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699266}, doi = {10.1109/TEST.2010.5699266}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SanyalCYF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ShamshiriC10, author = {Saeed Shamshiri and Kwang{-}Ting Cheng}, editor = {Ron Press and Erik H. Volkerink}, title = {Error-locality-aware linear coding to correct multi-bit upsets in SRAMs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {202--211}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699220}, doi = {10.1109/TEST.2010.5699220}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ShamshiriC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SinanogluA10, author = {Ozgur Sinanoglu and Sobeeh Almukhaizim}, editor = {Ron Press and Erik H. Volkerink}, title = {Predictive analysis for projecting test compression levels}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {275--284}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699228}, doi = {10.1109/TEST.2010.5699228}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SinanogluA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SinghSRN10, author = {Abhay Singh and Milan Shetty and Srivaths Ravi and Ravindra Nibandhe}, editor = {Ron Press and Erik H. Volkerink}, title = {Methodology for early and accurate test power estimation at {RTL}}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {813}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699305}, doi = {10.1109/TEST.2010.5699305}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SinghSRN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SongSK10, author = {Ki{-}Jae Song and Hunkyo Seo and Sang{-}hyun Ko}, editor = {Ron Press and Erik H. Volkerink}, title = {Package test interface fixture considering low cost solution, high electrical performance, and compatibility with fine pitch packages}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {606--614}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699264}, doi = {10.1109/TEST.2010.5699264}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SongSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SootkaneungS10, author = {Warin Sootkaneung and Kewal K. Saluja}, editor = {Ron Press and Erik H. Volkerink}, title = {On techniques for handling soft errors in digital circuits}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {744--752}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699278}, doi = {10.1109/TEST.2010.5699278}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SootkaneungS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Spargo10, author = {Kenneth Spargo}, editor = {Ron Press and Erik H. Volkerink}, title = {AXIe{\textregistered} 2.0 and {MVP-C:} Open {ATE} software standards}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {802}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699294}, doi = {10.1109/TEST.2010.5699294}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Spargo10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SrivastavaPS10, author = {Ankush Srivastava and Ajay Prajapati and Vinay Soni}, editor = {Ron Press and Erik H. Volkerink}, title = {A novel approach to improve test coverage of {BSR} cells}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {803}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699295}, doi = {10.1109/TEST.2010.5699295}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SrivastavaPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SunterT10, author = {Stephen K. Sunter and Matthias Tilmann}, editor = {Ron Press and Erik H. Volkerink}, title = {{BIST} of {I/O} circuit parameters via standard boundary scan}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {74--83}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699207}, doi = {10.1109/TEST.2010.5699207}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SunterT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TamPB10, author = {Wing Chiu Tam and Osei Poku and Ronald D. Blanton}, editor = {Ron Press and Erik H. Volkerink}, title = {Systematic defect identification through layout snippet clustering}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {378--387}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699239}, doi = {10.1109/TEST.2010.5699239}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TamPB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TammaliKST10, author = {Sarveswara Tammali and Vishal Khatri and Gowrysankar Shanmugam and Mark Terry}, editor = {Ron Press and Erik H. Volkerink}, title = {{DFM} aware bridge pair extraction for manufacturing test development}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {812}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699304}, doi = {10.1109/TEST.2010.5699304}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TammaliKST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TaouilHVM10, author = {Mottaqiallah Taouil and Said Hamdioui and Jouke Verbree and Erik Jan Marinissen}, editor = {Ron Press and Erik H. Volkerink}, title = {On maximizing the compound yield for 3D Wafer-to-Wafer stacked ICs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {183--192}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699218}, doi = {10.1109/TEST.2010.5699218}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TaouilHVM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ThreattGRPKJH10, author = {Vance Threatt and Atchyuth Gorti and Jeff Rearick and Shaishav Parikh and Anirudh Kadiyala and Aditya Jagirdar and Andy Halliday}, editor = {Ron Press and Erik H. Volkerink}, title = {Vendor-agnostic native compression engine}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {819}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699311}, doi = {10.1109/TEST.2010.5699311}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ThreattGRPKJH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TranVBDGPW10, author = {D. A. Tran and Arnaud Virazel and Alberto Bosio and Luigi Dilillo and Patrick Girard and Serge Pravossoudovitch and Hans{-}Joachim Wunderlich}, editor = {Ron Press and Erik H. Volkerink}, title = {Parity prediction synthesis for nano-electronic gate designs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {820}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699312}, doi = {10.1109/TEST.2010.5699312}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TranVBDGPW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TranWCLLS10, author = {Dat Tran and LeRoy Winemberg and Darrell Carder and Xijiang Lin and Joe LeBritton and Bruce Swanson}, editor = {Ron Press and Erik H. Volkerink}, title = {Detecting and diagnosing open defects}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {811}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699303}, doi = {10.1109/TEST.2010.5699303}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TranWCLLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/TsaiHCTK10, author = {Kun{-}Han Tsai and Yu Huang and Wu{-}Tung Cheng and Ting{-}Pu Tai and Augusli Kifli}, editor = {Ron Press and Erik H. Volkerink}, title = {Test cycle power optimization for scan-based designs}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {134--143}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699213}, doi = {10.1109/TEST.2010.5699213}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/TsaiHCTK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WaayersMLK10, author = {Tom Waayers and Richard Morren and Xijiang Lin and Mark Kassab}, editor = {Ron Press and Erik H. Volkerink}, title = {Clock control architecture and {ATPG} for reducing pattern count in SoC designs with multiple clock domains}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {114--123}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699211}, doi = {10.1109/TEST.2010.5699211}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WaayersMLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WagenenS10, author = {Bethany Van Wagenen and Edward Seng}, editor = {Ron Press and Erik H. Volkerink}, title = {Concurrent test planning}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {516--525}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699255}, doi = {10.1109/TEST.2010.5699255}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WagenenS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Whetsel10, author = {Lee Whetsel}, editor = {Ron Press and Erik H. Volkerink}, title = {Commanded Test Access Port operations}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {579--588}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699261}, doi = {10.1109/TEST.2010.5699261}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Whetsel10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WohlWF10, author = {Peter Wohl and John A. Waicukauski and T. Finklea}, editor = {Ron Press and Erik H. Volkerink}, title = {Increasing PRPG-based compression by delayed justification}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {255--264}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699226}, doi = {10.1109/TEST.2010.5699226}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WohlWF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/WuDBGPVTMWA10, author = {Fangmei Wu and Luigi Dilillo and Alberto Bosio and Patrick Girard and Serge Pravossoudovitch and Arnaud Virazel and Mohammad Tehranipoor and Kohei Miyase and Xiaoqing Wen and Nisar Ahmed}, editor = {Ron Press and Erik H. Volkerink}, title = {Is test power reduction through X-filling good enough?}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {805}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699297}, doi = {10.1109/TEST.2010.5699297}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/WuDBGPVTMWA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YangC10, author = {Fan Yang and Sreejit Chakravarty}, editor = {Ron Press and Erik H. Volkerink}, title = {Testing of latch based embedded arrays using scan tests}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {104--113}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699210}, doi = {10.1109/TEST.2010.5699210}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YilmazOB10, author = {Ender Yilmaz and Sule Ozev and Kenneth M. Butler}, editor = {Ron Press and Erik H. Volkerink}, title = {Adaptive test flow for mixed-signal/RF circuits using learned information from device under test}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {674--683}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699271}, doi = {10.1109/TEST.2010.5699271}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YilmazOB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YilmazWROSEFGC10, author = {Mahmut Yilmaz and Baosheng Wang and Jayalakshmi Rajaraman and Tom Olsen and Kanwaldeep Sobti and Dwight Elvey and Jeff Fitzgerald and Grady Giles and Wei{-}Yu Chen}, editor = {Ron Press and Erik H. Volkerink}, title = {The scan-DFT features of AMD's next-generation microprocessor core}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {39--48}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699203}, doi = {10.1109/TEST.2010.5699203}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YilmazWROSEFGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/YuB10, author = {Xiaochun Yu and Ronald D. Blanton}, editor = {Ron Press and Erik H. Volkerink}, title = {Estimating defect-type distributions through volume diagnosis and defect behavior attribution}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {664--673}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699270}, doi = {10.1109/TEST.2010.5699270}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/YuB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangA10, author = {Yu Zhang and Vishwani D. Agrawal}, editor = {Ron Press and Erik H. Volkerink}, title = {A diagnostic test generation system}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {360--368}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699237}, doi = {10.1109/TEST.2010.5699237}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhangA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhangWGC10, author = {Zhaobo Zhang and Zhanglei Wang and Xinli Gu and Krishnendu Chakrabarty}, editor = {Ron Press and Erik H. Volkerink}, title = {Board-level fault diagnosis using an error-flow dictionary}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {485--494}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699251}, doi = {10.1109/TEST.2010.5699251}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ZhangWGC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itc/2010, editor = {Ron Press and Erik H. Volkerink}, title = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://ieeexplore.ieee.org/xpl/conhome/5684496/proceeding}, isbn = {978-1-4244-7206-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Aldrete-VidrioOAMS09, author = {Eduardo Aldrete{-}Vidrio and Marvin Onabajo and Josep Altet and Diego Mateo and Jos{\'{e}} Silva{-}Mart{\'{\i}}nez}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Non-invasive {RF} built-in testing using on-chip temperature sensors}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355901}, doi = {10.1109/TEST.2009.5355901}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Aldrete-VidrioOAMS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AmyeenVM09, author = {M. Enamul Amyeen and Srikanth Venkataraman and Mun Wai Mak}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Microprocessor system failures debug and fault isolation methodology}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355702}, doi = {10.1109/TEST.2009.5355702}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AmyeenVM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BaiLKTW09, author = {Bing{-}Chuan Bai and Chien{-}Mo James Li and Augusli Kifli and Even Tsai and Kun{-}Cheng Wu}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Power scan: {DFT} for power switches in {VLSI} designs}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355631}, doi = {10.1109/TEST.2009.5355631}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BaiLKTW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CallegariWB09, author = {Nicholas Callegari and Li{-}C. Wang and Pouria Bastani}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Feature based similarity search with application to speedpath analysis}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355708}, doi = {10.1109/TEST.2009.5355708}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CallegariWB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/CarloHP09, author = {Stefano Di Carlo and Nadereh Hatami and Paolo Prinetto}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Test infrastructures evaluation at transaction level}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355830}, doi = {10.1109/TEST.2009.5355830}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/CarloHP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChakravadhanulaCKGN09, author = {Krishna Chakravadhanula and Vivek Chickermane and Brion L. Keller and Patrick R. Gallagher Jr. and Prashant Narang}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Capture power reduction using clock gating aware test generation}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355649}, doi = {10.1109/TEST.2009.5355649}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChakravadhanulaCKGN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChangWB09, author = {Chia{-}Ling Chang and Charles H.{-}P. Wen and Jayanta Bhadra}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Speeding up bounded sequential equivalence checking with cross-timeframe state-pair constraints from data learning}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355713}, doi = {10.1109/TEST.2009.5355713}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChangWB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenDDDCW09, author = {Liang{-}Chi Chen and Paul Dickinson and Peter Dahlgren and Scott Davidson and Olivier Caty and Kevin Wu}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Using transition test to understand timing behavior of logic circuits on UltraSPARC\({}^{\mbox{TM}}\) {T2} family}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355655}, doi = {10.1109/TEST.2009.5355655}, timestamp = {Sat, 09 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenDDDCW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChenWCZYM09, author = {Janine Chen and Li{-}C. Wang and Po{-}Hsien Chang and Jing Zeng and S. Yu and Michael Mateja}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Data learning techniques and methodology for Fmax prediction}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355620}, doi = {10.1109/TEST.2009.5355620}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChenWCZYM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChinTCC09, author = {Ching{-}Yu Chin and Yao{-}Te Tsou and Chi{-}Min Chang and Mango Chia{-}Tso Chao}, editor = {Gordon W. Roberts and Bill Eklow}, title = {A novel test flow for one-time-programming applications of {NROM} technology}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355537}, doi = {10.1109/TEST.2009.5355537}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChinTCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ChungCC09, author = {Chen{-}I Chung and Shuo{-}Wen Chang and Ching{-}Hwa Cheng}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Fine resolution double edge clipping with calibration technique for built-in at-speed delay testing}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355907}, doi = {10.1109/TEST.2009.5355907}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/ChungCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DevadzeJAU09, author = {Sergei Devadze and Artur Jutman and Igor Aleksejev and Raimund Ubar}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Fast extended test access via {JTAG} and FPGAs}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355668}, doi = {10.1109/TEST.2009.5355668}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DevadzeJAU09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Devta-PrasannaGGWK09, author = {Narendra Devta{-}Prasanna and Sandeep Kumar Goel and Arun Gunda and Mark Ward and P. Krishnamurthy}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Accurate measurement of small delay defect coverage of test patterns}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355644}, doi = {10.1109/TEST.2009.5355644}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Devta-PrasannaGGWK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DonglikarBCH09, author = {Swapneel Donglikar and Mainak Banga and Maheshwar Chandrasekar and Michael S. Hsiao}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Fast circuit topology based method to configure the scan chains in Illinois Scan architecture}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355661}, doi = {10.1109/TEST.2009.5355661}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DonglikarBCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/DrmanacBWA09, author = {Dragoljub Gagi Drmanac and Brendon Bolin and Li{-}C. Wang and Magdy S. Abadir}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Minimizing outlier delay test cost in the presence of systematic variability}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355643}, doi = {10.1109/TEST.2009.5355643}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/DrmanacBWA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ehrenberg09, author = {Heiko Ehrenberg}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Test Mode Entry and Exit Methods for {IEEE} {P1581} compliant devices}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355636}, doi = {10.1109/TEST.2009.5355636}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Ehrenberg09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/FujibeSYNFWO09, author = {Tasuku Fujibe and Masakatsu Suda and Kazuhiro Yamamoto and Yoshihito Nagata and Kazuhiro Fujita and Daisuke Watanabe and Toshiyuki Okayasu}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Dynamic arbitrary jitter injection method for {\(\gg\)}6.5Gb/s SerDes testing}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355735}, doi = {10.1109/TEST.2009.5355735}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/FujibeSYNFWO09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GeigerB09, author = {Philip B. Geiger and Steve Butkovich}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Boundary-scan adoption - an industry snapshot with emphasis on the semiconductor industry}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355673}, doi = {10.1109/TEST.2009.5355673}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GeigerB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GilesWSBW09, author = {Grady Giles and Jing Wang and Anuja Sehgal and Kedarnath J. Balakrishnan and James Wingfield}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Test access mechanism for multiple identical cores}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355560}, doi = {10.1109/TEST.2009.5355560}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GilesWSBW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GoelDW09, author = {Sandeep Kumar Goel and Narendra Devta{-}Prasanna and Mark Ward}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Comparing the effectiveness of deterministic bridge fault and multiple-detect stuck fault patterns for physical bridge defects: {A} simulation and silicon study}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355762}, doi = {10.1109/TEST.2009.5355762}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GoelDW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GudavalliDNH09, author = {Rama Gudavalli and W. Robert Daasch and Phil Nigh and Douglas Heaberlin}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Application of non-parametric statistics of the parametric response for defect diagnosis}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355728}, doi = {10.1109/TEST.2009.5355728}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GudavalliDNH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/GurumurthyBJR09, author = {Sankar Gurumurthy and D. Bertanzetti and P. Jakobsen and Jeff Rearick}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Cache-resident self-testing for {I/O} circuitry}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355549}, doi = {10.1109/TEST.2009.5355549}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/GurumurthyBJR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HapkeKGSHEHA09, author = {Friedrich Hapke and Rene Krenz{-}Baath and Andreas Glowatz and J{\"{u}}rgen Schl{\"{o}}ffel and Hamidreza Hashempour and Stefan Eichenberger and Camelia Hora and Dan Adolfsson}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Defect-oriented cell-aware {ATPG} and fault simulation for industrial cell libraries and designs}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355741}, doi = {10.1109/TEST.2009.5355741}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HapkeKGSHEHA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HeMJPH09, author = {Xin He and Yashwant K. Malaiya and Anura P. Jayasumana and Kenneth P. Parker and Stephen Hird}, editor = {Gordon W. Roberts and Bill Eklow}, title = {An outlier detection based approach for {PCB} testing}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355761}, doi = {10.1109/TEST.2009.5355761}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HeMJPH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HigamiKOYTSAT09, author = {Yoshinobu Higami and Yosuke Kurose and Satoshi Ohno and Hironori Yamaoka and Hiroshi Takahashi and Yoshihiro Shimizu and Takashi Aikyo and Yuzo Takamatsu}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Diagnostic test generation for transition faults using a stuck-at {ATPG} tool}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355681}, doi = {10.1109/TEST.2009.5355681}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HigamiKOYTSAT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HsiehBAGL09, author = {Tong{-}Yu Hsieh and Melvin A. Breuer and Murali Annavaram and Sandeep K. Gupta and Kuen{-}Jong Lee}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Tolerance of performance degrading faults for effective yield improvement}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355594}, doi = {10.1109/TEST.2009.5355594}, timestamp = {Thu, 21 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itc/HsiehBAGL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/HuangX09, author = {Lin Huang and Qiang Xu}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Test economics for homogeneous manycore systems}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355748}, doi = {10.1109/TEST.2009.5355748}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/HuangX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Kamm09, author = {Matthias Kamm}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Manufacturing data: Maximizing value using component-to-system analysis}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355834}, doi = {10.1109/TEST.2009.5355834}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Kamm09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KannanK09, author = {Sukeshwar Kannan and Bruce C. Kim}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Automatic diagnostic tool for Analog-Mixed Signal and {RF} load boards}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355836}, doi = {10.1109/TEST.2009.5355836}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KannanK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KassirKJC09, author = {Bilal El Kassir and Christophe Kelma and Bernard Jarry and Michel Campovecchio}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Built-in Self Test for Error Vector Magnitude measurement of {RF} transceiver}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355906}, doi = {10.1109/TEST.2009.5355906}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KassirKJC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KeezerGMMD09, author = {David C. Keezer and Carl Gray and A. M. Majid and Dany Minier and Patrice Ducharme}, editor = {Gordon W. Roberts and Bill Eklow}, title = {A development platform and electronic modules for automated test up to 20 Gbps}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355701}, doi = {10.1109/TEST.2009.5355701}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KeezerGMMD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KimPSCKLC09, author = {Boyon Kim and Il{-}Chan Park and Giseob Song and Wooseong Choi and Byeong{-}Yun Kim and Kyutaek Lee and Chi{-}Young Choi}, editor = {Gordon W. Roberts and Bill Eklow}, title = {A novel multisite testing techniques by using frequency synthesizer}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355814}, doi = {10.1109/TEST.2009.5355814}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KimPSCKLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KoCH09, author = {Hsuan{-}Chung Ko and Deng{-}Yao Chang and Cheng{-}Nan Hu}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Cost-effective approach to improve {EMI} yield loss}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355696}, doi = {10.1109/TEST.2009.5355696}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KoCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LaiRW09, author = {Bobby Lai and Chris Rivera and Khurram Waheed}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Enabling {GSM/GPRS/EDGE} {EVM} testing on low cost multi-site testers}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355626}, doi = {10.1109/TEST.2009.5355626}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LaiRW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ley09, author = {Adam W. Ley}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Doing more with less - An {IEEE} 1149.7 embedded tutorial : Standard for reduced-pin and enhanced-functionality test access port and boundary-scan architecture}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355572}, doi = {10.1109/TEST.2009.5355572}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Ley09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Ley09a, author = {Adam W. Ley}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Defect coverage of non-intrusive board tests {(NBT):} What does it mean when a non-intrusive board test passes?}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355828}, doi = {10.1109/TEST.2009.5355828}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Ley09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiH09, author = {Min Li and Michael S. Hsiao}, editor = {Gordon W. Roberts and Bill Eklow}, title = {An ant colony optimization technique for abstraction-guided state justification}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355676}, doi = {10.1109/TEST.2009.5355676}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LinB09, author = {Yen{-}Tzu Lin and Ronald D. Blanton}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Test effectiveness evaluation through analysis of readily-available tester data}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355716}, doi = {10.1109/TEST.2009.5355716}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LinB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuX09, author = {Xiao Liu and Qiang Xu}, editor = {Gordon W. Roberts and Bill Eklow}, title = {On simultaneous shift- and capture-power reduction in linear decompressor-based test compression environment}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355554}, doi = {10.1109/TEST.2009.5355554}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuX09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LiuX09a, author = {Xiao Liu and Qiang Xu}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Trace signal selection for debugging electrical errors in post-silicon validation}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355831}, doi = {10.1109/TEST.2009.5355831}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LiuX09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Losik09, author = {Len Losik}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Eliminating product infant mortality failures using prognostic analysis}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355817}, doi = {10.1109/TEST.2009.5355817}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Losik09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LuoCWLHTHCPY09, author = {Tseng{-}Chin Luo and Mango Chia{-}Tso Chao and Michael S.{-}Y. Wu and Kuo{-}Tsai Li and Chin C. Hsia and Huan{-}Chi Tseng and Chuen{-}Uan Huang and Yuan{-}Yao Chang and Samuel C. Pan and Konrad K.{-}L. Young}, editor = {Gordon W. Roberts and Bill Eklow}, title = {A novel array-based test methodology for local process variation monitoring}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--9}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355656}, doi = {10.1109/TEST.2009.5355656}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LuoCWLHTHCPY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/Lyons09, author = {Timothy Daniel Lyons}, editor = {Gordon W. Roberts and Bill Eklow}, title = {A timestamping method using reduced cost {ADC} hardware}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355736}, doi = {10.1109/TEST.2009.5355736}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/Lyons09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MarinissenZ09, author = {Erik Jan Marinissen and Yervant Zorian}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Testing 3D chips containing through-silicon vias}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355573}, doi = {10.1109/TEST.2009.5355573}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MarinissenZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MaurouxVBDGPG09, author = {Pierre{-}Didier Mauroux and Arnaud Virazel and Alberto Bosio and Luigi Dilillo and Patrick Girard and Serge Pravossoudovitch and Beno{\^{\i}}t Godard}, editor = {Gordon W. Roberts and Bill Eklow}, title = {{NAND} flash testing: {A} preliminary study on actual defects}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355898}, doi = {10.1109/TEST.2009.5355898}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MaurouxVBDGPG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/MoreauDLA09, author = {Jocelyn Moreau and Thomas Droniou and Philippe Lebourg and Paul Armagnat}, editor = {Gordon W. Roberts and Bill Eklow}, title = {Running scan test on three pins: yes we can!}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355693}, doi = {10.1109/TEST.2009.5355693}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/MoreauDLA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.uni-trier.de/img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.