Search dblp for Publications

export results for "stream:conf/isocc:"

more than 1000 matches, exporting first 1000 hits only!

 download as .bib file

@inproceedings{DBLP:conf/isocc/AhnCAL23,
  author       = {Jaewoong Ahn and
                  Seung Hun Choi and
                  Junyeol An and
                  Hyung{-}Min Lee},
  title        = {An Area-Efficient Column Driver with Fully Nonlinear Gamma Scale for
                  Mobile AMOLEDs},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {283--284},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396074},
  doi          = {10.1109/ISOCC59558.2023.10396074},
  timestamp    = {Thu, 22 Feb 2024 20:44:54 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AhnCAL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AkaiYUN23,
  author       = {Daiki Akai and
                  Kiichi Yamashita and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchoronization Penomena of Coupled Oscillators in Weighted Three-Dimensional
                  Complex Networks},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {235--236},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396055},
  doi          = {10.1109/ISOCC59558.2023.10396055},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AkaiYUN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AnYKJPKAS23,
  author       = {Gwangmyeong An and
                  Juneho Yoon and
                  Taeho Kim and
                  Hyunsu Jang and
                  Myeongju Park and
                  Bongsu Kim and
                  Jongchan An and
                  Junyoung Song},
  title        = {A Digital {LDO} with Adaptive Loop Control and Reset-Voltage Optimization
                  for Comparator},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {19--20},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395942},
  doi          = {10.1109/ISOCC59558.2023.10395942},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AnYKJPKAS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AraiI23,
  author       = {Shintaro Arai and
                  Daisuke Ito},
  title        = {Development of Propeller {LED} Transmitter for High-Speed Image Sensor
                  Communication},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {159--160},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396556},
  doi          = {10.1109/ISOCC59558.2023.10396556},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AraiI23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AvitabileCFMC23,
  author       = {Gianfranco Avitabile and
                  Roberto Cancelli and
                  Antonello Florio and
                  Ka Lok Man and
                  Giuseppe Coviello},
  title        = {A Simple Active Transponder for X-band {SAR} Satellite Applications},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {183--184},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396528},
  doi          = {10.1109/ISOCC59558.2023.10396528},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AvitabileCFMC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BaikLK23,
  author       = {Junhyuk Baik and
                  Donghui Lee and
                  Yongtae Kim},
  title        = {A Smith-Waterman Hardware Accelerator Design using Sliding Window
                  for Genomic Sequence Alignment},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396043},
  doi          = {10.1109/ISOCC59558.2023.10396043},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BaikLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BaikPH23,
  author       = {Esun Baik and
                  Hyo{-}Jin Park and
                  Sung{-}Wan Hong},
  title        = {A Capacitor-less {LDO} for Mobile Devices with Fast Transient Using
                  High Gain Positive Feedback Loop},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {189--190},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396525},
  doi          = {10.1109/ISOCC59558.2023.10396525},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BaikPH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BauerSSWMOHSSE23,
  author       = {Heiner Bauer and
                  Marco Stolba and
                  Stefan Scholze and
                  Dennis Walter and
                  Christian Mayr and
                  Alexander Oefelein and
                  Sebastian H{\"{o}}ppner and
                  Andr{\'{e}} Scharfe and
                  Florian Schraut and
                  Holger Eisenreich},
  title        = {A {RISC-V} {MCU} with adaptive reverse body bias and ultra-low-power
                  retention mode in 22 nm {FD-SOI}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {67--68},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396509},
  doi          = {10.1109/ISOCC59558.2023.10396509},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BauerSSWMOHSSE23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BuelagalaJLSBQTAGA23,
  author       = {Kim Isaac I. Buelagala and
                  Ginzy S. Javier and
                  Sean Alfred A. Lipardo and
                  James Carlo E. Sorsona and
                  Sherry Joy Alvionne S. Baquiran and
                  Lawrence Roman A. Quizon and
                  Allen Jason Tan and
                  Ryan Albert G. Antonio and
                  Fredrick Angelo R. Galapon and
                  Anastacia B. Alvarez},
  title        = {Energy-Efficient Sparse Hyperdimensional Computing for Speech Recognition},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {321--322},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396477},
  doi          = {10.1109/ISOCC59558.2023.10396477},
  timestamp    = {Mon, 26 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BuelagalaJLSBQTAGA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ByeonKH23,
  author       = {Gwangeun Byeon and
                  Seongwook Kim and
                  Seokin Hong},
  title        = {Improving Performance and Energy-efficiency of {DNN} Accelerators
                  with {STT-RAM} Buffers},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {207--208},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396473},
  doi          = {10.1109/ISOCC59558.2023.10396473},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ByeonKH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/CaoNPP23,
  author       = {Chau{-}Thao Cao and
                  Pham Hong Bao Ngoc and
                  Loan Pham{-}Nguyen and
                  Xuan Thanh Pham},
  title        = {A High Input Impedance Low Noise Amplifier Capable Of Handling 1V
                  Electrode Offset for Biopotential Recording},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {273--274},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396533},
  doi          = {10.1109/ISOCC59558.2023.10396533},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/CaoNPP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChaeJPB23,
  author       = {Jonghyuk Chae and
                  Jaehun Jeong and
                  Byeongha Park and
                  Jinwook Burm},
  title        = {A 8-bit DPWM-based Analog Bypass Circuit and System for {LED} Matrix
                  Headlamp in High-Voltage 180-nm {CMOS} Technology},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {263--264},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396425},
  doi          = {10.1109/ISOCC59558.2023.10396425},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChaeJPB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangHK23,
  author       = {Lee Chang and
                  Chien{-}Chung Ho and
                  Tei{-}Wei Kuo},
  title        = {Alleviating Deduplication-oriented Fragmentation of SSDs by Considering
                  File Hotness and Popularity},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {245--246},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396578},
  doi          = {10.1109/ISOCC59558.2023.10396578},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangHK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangWHLSL23,
  author       = {Yen{-}Ching Chang and
                  Szu{-}Ting Wang and
                  Ying{-}Hsiu Hung and
                  Yao{-}Feng Liang and
                  Ming{-}Hwa Sheu and
                  Shin{-}Chi Lai},
  title        = {Heart Valve Disease Recognition Using Phonocardiogram Signal Based
                  on {A} Lightweight Convolution Neural Network},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {103--105},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396555},
  doi          = {10.1109/ISOCC59558.2023.10396555},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangWHLSL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenCL23,
  author       = {Chun{-}Mao Chen and
                  Jia{-}Ching Chuang and
                  Hao{-}Li Liu},
  title        = {FPGA-Controlled High-Power Driving Design for High Intensity Focused
                  Ultrasound Application},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {279--280},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395965},
  doi          = {10.1109/ISOCC59558.2023.10395965},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenCL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenSH23,
  author       = {Yi{-}Shen Chen and
                  Ying{-}Jui Shih and
                  Jen{-}Wei Hsieh},
  title        = {Mitigating Write Amplification of Dual-mode Flash Memory},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {243--244},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395978},
  doi          = {10.1109/ISOCC59558.2023.10395978},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenSH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoJB23,
  author       = {Youngwon Cho and
                  Jaehun Jeong and
                  Jinwook Burm},
  title        = {A 12-bit 5MS/s Synchronous {SAR} {ADC} With Comparator Using High
                  Gain Pre-amplifier},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {133--135},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396417},
  doi          = {10.1109/ISOCC59558.2023.10396417},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoJB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoK23,
  author       = {Seoung{-}Geun Cho and
                  Jin{-}Ku Kang},
  title        = {A {PAM-4} Baud-Rate {CDR} with High-Gain Phase Detector Using Shared
                  Sampler},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {115--116},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396550},
  doi          = {10.1109/ISOCC59558.2023.10396550},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoLLY23,
  author       = {Donghun Cho and
                  Hyeseong Lee and
                  Jeonghun Lee and
                  Jaehee You},
  title        = {HVS-based {AR} Display Image Enhancement With Delta Look-Up Table
                  Considering Ambient Light},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {299--300},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396415},
  doi          = {10.1109/ISOCC59558.2023.10396415},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoLLY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/CornelioRLRH23,
  author       = {Zayyir Ulric Cornelio and
                  Paolo Resurreccion and
                  Maria Theresa G. de Leon and
                  Marc D. Rosales and
                  John Richard E. Hizon},
  title        = {An {EEG} Analog Front-End Unit for Wearable Applications Implemented
                  in 28nm {FD-SOI}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {15--16},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396619},
  doi          = {10.1109/ISOCC59558.2023.10396619},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/CornelioRLRH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/CortesCCRBLHR23,
  author       = {Christian A. Cortes and
                  Jan Paolo S. Cortez and
                  Juhaina Angela Q. Custodia and
                  Kevin Mathew D. Reyes and
                  Mariane D. Sta. Barbara and
                  Maria Theresa Gusad de Leon and
                  John Richard E. Hizon and
                  Marc D. Rosales},
  title        = {10 Gb/s Energy-efficient Optical Transceiver using 1060 nm {HCG} MEMS-tunable
                  {VCSEL} in 28 nm {FD-SOI} Technology},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {43--44},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396398},
  doi          = {10.1109/ISOCC59558.2023.10396398},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/CortesCCRBLHR23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DasHH23,
  author       = {Nilanjana Das and
                  Mattis Hasler and
                  Sebastian Haas},
  title        = {Implicit Hardware Trojan: Principles and Enabling Methods},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {55--56},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396416},
  doi          = {10.1109/ISOCC59558.2023.10396416},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DasHH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DuSML23,
  author       = {Yu Du and
                  Jeremy S. Smith and
                  Ka Lok Man and
                  Eng Gee Lim},
  title        = {Image Radar Point Cloud Segmentation with Segment Anything Model},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {195--196},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396212},
  doi          = {10.1109/ISOCC59558.2023.10396212},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DuSML23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DuWH23,
  author       = {Yu{-}Heng Du and
                  Bing{-}Feng Wu and
                  Yuan{-}Hao Huang},
  title        = {Tensor Compressive Sensing Processor for 64x64 Terahertz Single-Pixel
                  Imaging},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {73--74},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396303},
  doi          = {10.1109/ISOCC59558.2023.10396303},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DuWH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/EomC23,
  author       = {Seong Jae Eom and
                  Eui{-}Young Chung},
  title        = {Adaptive Tag Comparison for Hybrid Cache},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {83--84},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396513},
  doi          = {10.1109/ISOCC59558.2023.10396513},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/EomC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GalvezLCMTUAAGBTQ23,
  author       = {Giussepe Yvanric Galvez and
                  Andrew James Lim and
                  Christopher Jr Camarillo and
                  John Rey Marturillas and
                  Mark Emannuel Teodoro and
                  Ellris Kristian Nuel Urfano and
                  Anastacia B. Alvarez and
                  Ryan Albert G. Antonio and
                  Fredrick Angelo R. Galapon and
                  Sherry Joy Alvionne Baquiran and
                  Allen Jason Tan and
                  Lawrence Roman A. Quizon},
  title        = {Integration of In-Memory Computing Capabilities to a Self-Matching
                  Complementary-Reference Sensing Scheme for {TST-MRAM}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {285--286},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395946},
  doi          = {10.1109/ISOCC59558.2023.10395946},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/GalvezLCMTUAAGBTQ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GoBLKLPYCA23,
  author       = {Mi{-}Ji Go and
                  Jun{-}Ho Boo and
                  Jae{-}Geun Lim and
                  Hyoung{-}Jung Kim and
                  Jae{-}Hyuk Lee and
                  Seong{-}Bo Park and
                  Byeongho Yu and
                  Won{-}Jun Cho and
                  Gil{-}Cho Ahn},
  title        = {A 12-bit 3-MS/s Synchronous {SAR} {ADC} With a Hybrid {RC} {DAC}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {191--192},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396486},
  doi          = {10.1109/ISOCC59558.2023.10396486},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/GoBLKLPYCA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HaK23,
  author       = {Ilseon Ha and
                  Taewhan Kim},
  title        = {Optimizing Timing for Multi-bit Flip-Flop Intensive Designs Compatible
                  with Commercial {EDA} Flow},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {347--348},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396028},
  doi          = {10.1109/ISOCC59558.2023.10396028},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HaK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HamaUS23,
  author       = {Hiroyuki Hama and
                  Tomoaki Ukezono and
                  Toshinori Sato},
  title        = {Leveraging Approximate Computing for IoT Image Transmission},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {75--76},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396309},
  doi          = {10.1109/ISOCC59558.2023.10396309},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HamaUS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HaslerH23,
  author       = {Mattis Hasler and
                  Sebastian Haas},
  title        = {Mitigating Message Passing Interference in Trusted Embedded Platforms},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {71--72},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396003},
  doi          = {10.1109/ISOCC59558.2023.10396003},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HaslerH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HattoriUN23,
  author       = {Takahiro Hattori and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronization Phenomena of Two Coupled Chaotic Circuits Using Stochastic
                  Coupling},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {229--230},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396365},
  doi          = {10.1109/ISOCC59558.2023.10396365},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HattoriUN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeCKK23,
  author       = {Yixuan He and
                  Minsu Choi and
                  Kyung{-}Ki Kim and
                  Yong{-}Bin Kim},
  title        = {Low-Power Counters using Pathfinding Technique},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {69--70},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396263},
  doi          = {10.1109/ISOCC59558.2023.10396263},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeCKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HosoiT23,
  author       = {Kengo Hosoi and
                  Hiroyuki Torikai},
  title        = {A learnable network of analog electronic neuron models for brain prosthetic
                  implant},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {255--256},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396161},
  doi          = {10.1109/ISOCC59558.2023.10396161},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HosoiT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HourGH23,
  author       = {Leanghok Hour and
                  Myeongseong Go and
                  Youngsun Han},
  title        = {Preliminary Study on Reducing Memory Overhead in Accelerating Quantum
                  Computer Simulations Using {PIM} Technology},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {277--278},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396406},
  doi          = {10.1109/ISOCC59558.2023.10396406},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HourGH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HozumiUKA23,
  author       = {Daiki Hozumi and
                  Shota Uchino and
                  Takuji Kousaka and
                  Hiroyuki Asahara},
  title        = {Experimental Verification of Slow-Scale Oscillation in {DC-DC} Converter
                  with Photovoltaic Module},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {41--42},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396260},
  doi          = {10.1109/ISOCC59558.2023.10396260},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HozumiUKA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HsiaLLC23,
  author       = {Chin Hsia and
                  Chung{-}Yi Li and
                  Deng{-}Fong Lu and
                  Tzu{-}Yu Chen},
  title        = {Integrated All-GaN Driver for High-voltage {DC-DC} Power Converters},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {175--176},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396626},
  doi          = {10.1109/ISOCC59558.2023.10396626},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HsiaLLC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HungCWLJSL23,
  author       = {Ying{-}Hsiu Hung and
                  Yen{-}Ching Chang and
                  Suz{-}Ting Wang and
                  Jeng{-}Dao Lee and
                  Wen{-}Ho Juang and
                  Ming{-}Hwa Sheu and
                  Shin{-}Chi Lai},
  title        = {Convolutional Neural Network-based Keyword Classification for Mixer
                  Control},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {181--182},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396305},
  doi          = {10.1109/ISOCC59558.2023.10396305},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HungCWLJSL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HurIK23,
  author       = {Junseok Hur and
                  Jaekyung Im and
                  Seokhyeong Kang},
  title        = {Placement Initialization via Community Detection},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {125--126},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396471},
  doi          = {10.1109/ISOCC59558.2023.10396471},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HurIK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ItoTNITK23,
  author       = {Daisuke Ito and
                  Yasuhiro Takahashi and
                  Makoto Nakamura and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine},
  title        = {4-ch 25-Gb/s Small and Low-power {VCSEL} Driver Circuit with Unbalanced
                  {CML} in 65-nm {CMOS}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {13--14},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396067},
  doi          = {10.1109/ISOCC59558.2023.10396067},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ItoTNITK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IzumiNI23,
  author       = {Ren Izumi and
                  Makoto Nakamura and
                  Daisuke Ito},
  title        = {Inductor-less {CMOS} {TIA} Based on {MSTA} for Low-power and Low-noise
                  Optical Communication},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {155--156},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396441},
  doi          = {10.1109/ISOCC59558.2023.10396441},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/IzumiNI23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangJH23,
  author       = {Jihoon Jang and
                  Heedo Jeong and
                  Jaeduk Han},
  title        = {Multi-Phase Frequency Divider Generator with Process-Independent Automation},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {129--130},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396246},
  doi          = {10.1109/ISOCC59558.2023.10396246},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JangJH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangKL23,
  author       = {Jihoon Jang and
                  Hyun Kim and
                  Hyokeun Lee},
  title        = {A Spatio-Temporal Switchable Data Prefetcher for Convolutional Neural
                  Networks},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {141--142},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396344},
  doi          = {10.1109/ISOCC59558.2023.10396344},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JangKL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeonK23,
  author       = {Kihwan Jeon and
                  Taewhan Kim},
  title        = {Fast Refinement on Placement Legalization for Designs with Mixed-Height
                  Cells},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {345--346},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396066},
  doi          = {10.1109/ISOCC59558.2023.10396066},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeonK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongCLB23,
  author       = {Jaehun Jeong and
                  Jonghyuk Chae and
                  Seungju Lee and
                  Jinwook Burm},
  title        = {A Compact Design of {SPAD} Detector with Quenching Circuit for Reduced
                  Dark Count Rate},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {261--262},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396148},
  doi          = {10.1109/ISOCC59558.2023.10396148},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongCLB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongJSL23,
  author       = {Young Woo Jeong and
                  Won Sik Jeong and
                  Jin Young Shin and
                  Seung Eun Lee},
  title        = {The Design of Embedded Fuzzy Logic Controller for Autonomous Mobile
                  Robots},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {145--146},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396118},
  doi          = {10.1109/ISOCC59558.2023.10396118},
  timestamp    = {Mon, 26 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongJSL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongPC23,
  author       = {Jaeeun Jeong and
                  Jungeun Park and
                  Woong Choi},
  title        = {Improved Accuracy of Stochastic Accumulator Using Low-Cost Bitonic
                  Sorter},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {9--10},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396340},
  doi          = {10.1109/ISOCC59558.2023.10396340},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongPC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinGKNCJ23,
  author       = {Yunam Jin and
                  Ayeon Gwon and
                  Minseo Kim and
                  Jiwoo Noh and
                  Woong Choi and
                  Junwon Jeong},
  title        = {A Dynamic Power Transistor-Based {CL-LDO} with Wide Load Range and
                  -53 dB {PSRR} Improvement},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {37--38},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396092},
  doi          = {10.1109/ISOCC59558.2023.10396092},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinGKNCJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoSPL23,
  author       = {Hyeonjin Jo and
                  Chaerin Sim and
                  Jaewoo Park and
                  Jongeun Lee},
  title        = {Accelerating Transformers with Fourier-Based Attention for Efficient
                  On-Device Inference},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {203--204},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396620},
  doi          = {10.1109/ISOCC59558.2023.10396620},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JoSPL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JongKyungSM23,
  author       = {Park Jong{-}Kyung and
                  Park Sang{-}Woo and
                  Jeong Min{-}Seong},
  title        = {Advancements in Metal Passivation Process for Low-Temperature Cu-Cu
                  Direct Bonding},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {223--224},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396380},
  doi          = {10.1109/ISOCC59558.2023.10396380},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JongKyungSM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JooKH23,
  author       = {Hee{-}Cheol Joo and
                  Hyein Kim and
                  Young{-}Ha Hwang},
  title        = {A 0.4-VIN, External-Capacitor-Free, Adaptive-Biased {LDO} with Look-Ahead
                  Droop Reduction for Wake-up Features in Edge Devices},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {275--276},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396075},
  doi          = {10.1109/ISOCC59558.2023.10396075},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JooKH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JuangFL23,
  author       = {Tso{-}Bing Juang and
                  Chun{-}Chi Fan and
                  Guan{-}Zhong Lin},
  title        = {Lower-Error and Area-Efficient Complex Divider Design using Logarithmic
                  Number Systems {(LNS)}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {185--186},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396236},
  doi          = {10.1109/ISOCC59558.2023.10396236},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JuangFL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungJK23,
  author       = {Bogeun Jung and
                  Geonhui Jang and
                  Hyungwon Kim},
  title        = {Enhancing Performance and Energy Efficiency of Reconfigurable {CNN}
                  Accelerator},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {327--328},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395950},
  doi          = {10.1109/ISOCC59558.2023.10395950},
  timestamp    = {Mon, 18 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungJK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungKK23,
  author       = {Wonyeong Jung and
                  Dongwhee Kim and
                  Jungrae Kim},
  title        = {Synergistic Integration: An Optimal Combination of On-Die and Rank-Level
                  {ECC} for Enhanced Reliability},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {305--306},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396592},
  doi          = {10.1109/ISOCC59558.2023.10396592},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JuruenaVOSRMSLRHRL23,
  author       = {Kyla Marie Juruena and
                  Paolo Miguel Villacorta and
                  Trixi Emmanuelle Obar and
                  John Robert Siglos and
                  Adam Jefferson Ramones and
                  Jean{-}Marriz Manzano and
                  Zyrel Renzo Sanchez and
                  Arcel G. Leynes and
                  Maria Sophia Ralota and
                  John Richard E. Hizon and
                  Marc D. Rosales and
                  Maria Theresa G. de Leon},
  title        = {A high CMRR, high input impedance current-feedback instrumentation
                  amplifier {(CFIA)} in 22-nm {UTBB} {FD-SOI} for signal conditioning
                  of {MEMS} piezoresistive pressure sensors},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {269--270},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396493},
  doi          = {10.1109/ISOCC59558.2023.10396493},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JuruenaVOSRMSLRHRL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KamitokoT23,
  author       = {Jumpei Kamitoko and
                  Hiroyuki Torikai},
  title        = {A chopper-type mixed gait controller based on ergodic cellular automaton
                  central pattern generator},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {231--232},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396504},
  doi          = {10.1109/ISOCC59558.2023.10396504},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KamitokoT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KangKLK23,
  author       = {Beom Jin Kang and
                  Nam Joon Kim and
                  Jong Ho Lee and
                  Hyun Kim},
  title        = {Hardware-friendly Activation Functions for HybridViT Models},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {147--148},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396294},
  doi          = {10.1109/ISOCC59558.2023.10396294},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KangKLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KatsubeU23,
  author       = {Ryoma Katsube and
                  Tomoaki Ukezono},
  title        = {Investigation for Impact of Environmental Noise on Power Analysis
                  Attacks},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {57--58},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396388},
  doi          = {10.1109/ISOCC59558.2023.10396388},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KatsubeU23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimB23,
  author       = {Euigeun Kim and
                  Jinwook Burm},
  title        = {Electro-Optical Phase Locked Loop design for {FMCW} LiDAR {TX} in
                  28-nm {CMOS}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {281--282},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396569},
  doi          = {10.1109/ISOCC59558.2023.10396569},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimB23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimC23,
  author       = {Jihee Kim and
                  Woo{-}Seok Choi},
  title        = {A Baud-Rate Clock and Data Recovery With Collaborative Maximum-Eye
                  Tracking Method},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {113--114},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396604},
  doi          = {10.1109/ISOCC59558.2023.10396604},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimC23a,
  author       = {Taehoon Kim and
                  Woo{-}Seok Choi},
  title        = {Performance Comparison of Clocked Comparators Using Impulse Sensitivity
                  Function},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {337--338},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396247},
  doi          = {10.1109/ISOCC59558.2023.10396247},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimC23a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimCL23,
  author       = {Subin Kim and
                  Yunseon Choi and
                  Byunghan Lee},
  title        = {Considerations in Evaluation of Deep Hashing Networks for Information
                  Retrieval System},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {149--150},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396568},
  doi          = {10.1109/ISOCC59558.2023.10396568},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimCL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimHJK23,
  author       = {Sunghoon Kim and
                  Donghyun Han and
                  Seokjun Jang and
                  Sungho Kang},
  title        = {{LOTS:} Low Overhead {TSV} Repair Method Using {IEEE-1838} Standard
                  Architecture},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {289--290},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395943},
  doi          = {10.1109/ISOCC59558.2023.10395943},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimHJK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimJ23,
  author       = {Yu{-}Jeong Kim and
                  Sung{-}Yoon Jung},
  title        = {Experimental Assessment of 1D-DCT Based Display Field Communication
                  Scheme},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {151--153},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396402},
  doi          = {10.1109/ISOCC59558.2023.10396402},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK23,
  author       = {Jinmyoung Kim and
                  Taewhan Kim},
  title        = {Allocation of Multi-bit Flip-Flops Targeting Low-Power Chips},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {121--122},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395980},
  doi          = {10.1109/ISOCC59558.2023.10395980},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK23a,
  author       = {Chaehyun Kim and
                  Taewhan Kim},
  title        = {Maximizing Power Saving Through State-Driven Clock Gating},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {123--124},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396230},
  doi          = {10.1109/ISOCC59558.2023.10396230},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK23a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK23b,
  author       = {Nu Ri Kim and
                  Suk{-}Ju Kang},
  title        = {Optimized Image Quality Determination for Backlight Dimming},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {137--138},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396475},
  doi          = {10.1109/ISOCC59558.2023.10396475},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK23b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKC23,
  author       = {Nayeon Kim and
                  Kwangrae Kim and
                  Ki{-}Seok Chung},
  title        = {Alert Refresh System for Mitigating RowHammer},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {29--30},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396283},
  doi          = {10.1109/ISOCC59558.2023.10396283},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLK23,
  author       = {Donggyu Kim and
                  Jakang Lee and
                  Seokhyeong Kang},
  title        = {Advanced Parasitic Capacitance Extraction using Active Learning},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {349--350},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396354},
  doi          = {10.1109/ISOCC59558.2023.10396354},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLKK23,
  author       = {Taegun Kim and
                  Dong Keun Lee and
                  Sihyun Kim and
                  Sangwan Kim},
  title        = {A simulation study about the memory operation of 3D-stacked capacitor-less
                  1T {DRAM} cells based on ferroelectric field-effect transistors (FeFETs)},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {135--136},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396222},
  doi          = {10.1109/ISOCC59558.2023.10396222},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLL23,
  author       = {Ji Won Kim and
                  Jeong Seop Lee and
                  Kang Yoon Lee},
  title        = {A COT-based Highly Efficient Hybrid 3-Level Buck Converter for Next-Generation
                  Memory Module Designs},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {11--12},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396438},
  doi          = {10.1109/ISOCC59558.2023.10396438},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLLKLJ23,
  author       = {Sanghyun Kim and
                  Eunchong Lee and
                  Minkyu Lee and
                  Kyungho Kim and
                  Sang{-}Seol Lee and
                  Sung{-}Joon Jang},
  title        = {A Max Pooling Hardware Architecture Supporting Inference And Training
                  For {CNN} Accelerators},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {313--314},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395970},
  doi          = {10.1109/ISOCC59558.2023.10395970},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLLKLJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLPPK23,
  author       = {Hyemin Kim and
                  Sangjun Lee and
                  Jongho Park and
                  Sungwhan Park and
                  Sungho Kang},
  title        = {A New Flip-flop Shared Architecture of Test Point Insertion for Scan
                  Design},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {343--344},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396072},
  doi          = {10.1109/ISOCC59558.2023.10396072},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLPPK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimML23,
  author       = {Jiwon Kim and
                  Seungsik Moon and
                  Youngjoo Lee},
  title        = {Low-Complexity Phase Shift Design for IRS-Aided {SU-MIMO} Wireless
                  Systems},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {317--318},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396498},
  doi          = {10.1109/ISOCC59558.2023.10396498},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimML23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimSKOKJ23,
  author       = {Sihan Kim and
                  Changmin Song and
                  Jinseok Kim and
                  Yonghun Oh and
                  Changwan Kim and
                  Young{-}Chan Jang},
  title        = {A 10-Gb/s Dual-Loop Reference-less {CDR} with {FD} Controller},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {109--110},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396434},
  doi          = {10.1109/ISOCC59558.2023.10396434},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimSKOKJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimYLY23,
  author       = {Hyeongjun Kim and
                  Taegun Yim and
                  Choong Keun Lee and
                  Hongil Yoon},
  title        = {A picowatt {CMOS} voltage reference with 0.046 {\%}/V line sensitivity
                  for a low-power IoT system},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {45--46},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396002},
  doi          = {10.1109/ISOCC59558.2023.10396002},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimYLY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KishimotoT23,
  author       = {Yui Kishimoto and
                  Hiroyuki Torikai},
  title        = {A hardware-efficient {FPGA} cochlear model for next generation nonlinear
                  cochlear implant},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {253--255},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395971},
  doi          = {10.1109/ISOCC59558.2023.10395971},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KishimotoT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KohKKJLK23,
  author       = {Yong{-}Nam Koh and
                  Ju{-}Hyung Kim and
                  Soo{-}Jeong Kim and
                  Ju{-}Hwan Jang and
                  Jae{-}Sung Lim and
                  Jayden Donghyun Kim},
  title        = {Signal integrity analysis of heterogeneous integration using Si bridge
                  technology},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {221--222},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396233},
  doi          = {10.1109/ISOCC59558.2023.10396233},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KohKKJLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KokLC23,
  author       = {Chiang Liang Kok and
                  Zheng Yuan Loo and
                  Jian Ping Chai},
  title        = {Embedded Solutions for IoT Based Automated Drug Infusion Device},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {5--6},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396203},
  doi          = {10.1109/ISOCC59558.2023.10396203},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KokLC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KonishiOKNSW23,
  author       = {Akihiro Konishi and
                  Ken Onodera and
                  Yutaro Komiyama and
                  Kien Nguyen and
                  Hiroo Sekiya and
                  Xiuqin Wei},
  title        = {Load-Independent Multiple Output {WPT} System With Fixed Coupling
                  Coils},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {165--166},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396494},
  doi          = {10.1109/ISOCC59558.2023.10396494},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KonishiOKNSW23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KotaniUN23,
  author       = {Yukinojo Kotani and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronizations in Three Coupled Oscillators with Memristor Synapses
                  as Ring Structure},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {251--252},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396313},
  doi          = {10.1109/ISOCC59558.2023.10396313},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KotaniUN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KudoT23,
  author       = {Masaya Kudo and
                  Hiroyuki Torikai},
  title        = {A hardware-efficient wireless functional electrical stimulation system
                  based on ergodic cellular automaton dynamics},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {169--170},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396182},
  doi          = {10.1109/ISOCC59558.2023.10396182},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KudoT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kwon23,
  author       = {Youngsu Kwon},
  title        = {{ABSX:} The Chiplet Hyperscale {AI} Processing Unit for Energy-Efficient
                  High-Performance {AI} Processing},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {217--218},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396520},
  doi          = {10.1109/ISOCC59558.2023.10396520},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kwon23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeBK23,
  author       = {Donghui Lee and
                  Junhyuk Baik and
                  Yongtae Kim},
  title        = {Enhancing Stochastic Computing using a Novel Hybrid Random Number
                  Generator Integrating {LFSR} and Halton Sequence},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {7--8},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396483},
  doi          = {10.1109/ISOCC59558.2023.10396483},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeBK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeCC23,
  author       = {Tzung{-}Je Lee and
                  Hung{-}Hsiang Chang and
                  Chien{-}Hsiang Chao},
  title        = {High Bandwidth Efficiency FPGA-based Underwater Acoustic Transceiver
                  with Adaptive-SFDR {DDFS}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {39--40},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396492},
  doi          = {10.1109/ISOCC59558.2023.10396492},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeCC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeJKJ23,
  author       = {Jihyeon Lee and
                  Jaehoon Jeong and
                  Hyungeun Kim and
                  Jinho Jeong},
  title        = {Design of Bessel-like filter-based {ESD} protection {I/O} pad with
                  improved eye performance},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {131--132},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396135},
  doi          = {10.1109/ISOCC59558.2023.10396135},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeJKJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK23,
  author       = {Elim Lee and
                  Youngmin Kim},
  title        = {A Power-Efficient 10T {D} Flip-Flop with Dual Line of Four Switches
                  using 65nm {CMOS} Technology},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {315--316},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396628},
  doi          = {10.1109/ISOCC59558.2023.10396628},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKK23,
  author       = {Yongseung Lee and
                  Donghun Kim and
                  Jongsun Kim},
  title        = {A GaN Driver {IC} With a TDC-Based Dead-Time Controller For GaN {DC-DC}
                  Buck Converters},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {33--34},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396085},
  doi          = {10.1109/ISOCC59558.2023.10396085},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL23,
  author       = {JunHa Lee and
                  Kang{-}Yoon Lee},
  title        = {Time Controlled Pre-Emphasis Circuit for Broadband Frequency Operation
                  up to 3.6 Gbps},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {27--28},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396605},
  doi          = {10.1109/ISOCC59558.2023.10396605},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL23a,
  author       = {Tzung{-}Je Lee and
                  Yin{-}Wen Lo},
  title        = {Temperature Sensor with 292.3 nA/{\textdegree}C Sensitivity Using
                  Double Current Subtraction},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {49--50},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396433},
  doi          = {10.1109/ISOCC59558.2023.10396433},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL23a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL23b,
  author       = {Hyun{-}Bin Lee and
                  Won{-}Young Lee},
  title        = {An Anti-Harmonic-Lock Frequency Detector for Continuous-Rate Clock
                  and Data Recovery},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {111--112},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396327},
  doi          = {10.1109/ISOCC59558.2023.10396327},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL23b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLDL23,
  author       = {Chulwoo Lee and
                  Hanyoung Lee and
                  Phap Duong{-}Ngoc and
                  Hanho Lee},
  title        = {Twiddle Factor Generator Architecture for Number Theoretic Transform},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {209--210},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396598},
  doi          = {10.1109/ISOCC59558.2023.10396598},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLDL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLSSJS23,
  author       = {Hyunsoo Lee and
                  Hyundong Lee and
                  Minseung Shin and
                  Gyuri Shin and
                  Sumin Jeon and
                  Taigon Song},
  title        = {High-throughput {PIM} (Processing in-Memory) for {DRAM} using Bank-level
                  Pipelined Architecture},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {101--102},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396302},
  doi          = {10.1109/ISOCC59558.2023.10396302},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLSSJS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeMKC23,
  author       = {Jisoo Lee and
                  Daseul Moon and
                  Woohyun Kim and
                  Woong Choi},
  title        = {Design and Analysis of Compound Gates for Lightweight Multiplier},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {127--128},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396432},
  doi          = {10.1109/ISOCC59558.2023.10396432},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeMKC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeT23,
  author       = {Tzung{-}Je Lee and
                  Kuo{-}Hsun Tu},
  title        = {10-bit 250-KS/s {M-2M} Digital-to-Analog Converter with 4-4-2 Segmentation
                  for Sonar System},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {95--96},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396192},
  doi          = {10.1109/ISOCC59558.2023.10396192},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYSK23,
  author       = {Hayoung Lee and
                  Younwoo Yoo and
                  Seung Ho Shin and
                  Sungho Kang},
  title        = {Redundancy Analysis Simplification Scheme for High-Speed Memory Repair},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {339--340},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396258},
  doi          = {10.1109/ISOCC59558.2023.10396258},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYSK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiCLCCL23,
  author       = {Chung{-}Yi Li and
                  Tzu{-}Yu Chen and
                  Deng{-}Fong Lu and
                  Yue{-}Liang Chou and
                  Hung{-}Chi Chen and
                  Shinn{-}Yn Lin},
  title        = {Robust Cascaded Boost Converter with See-Saw Stress-Relief Control},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {179--180},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396027},
  doi          = {10.1109/ISOCC59558.2023.10396027},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiCLCCL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiLZ23,
  author       = {Shurun Li and
                  Jie Liang and
                  Liuyang Zhang},
  title        = {An Automatic Offset Compensation Sense Amplifier Featuring High Readout
                  Reliability for {SRAM}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {23--24},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396553},
  doi          = {10.1109/ISOCC59558.2023.10396553},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiLZ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiSZMLT23,
  author       = {J. Y. Li and
                  G. X. Sun and
                  Chun Zhao and
                  Ka Lok Man and
                  S. Lam and
                  X. Tu},
  title        = {Bioinspired Solution-processed Artificial Synaptic Thin-Film Transistor},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {193--194},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396266},
  doi          = {10.1109/ISOCC59558.2023.10396266},
  timestamp    = {Fri, 03 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LiSZMLT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiawCS23,
  author       = {Yong{-}Cheng Liaw and
                  Shuo{-}Han Chen and
                  Hsin{-}Yun Su},
  title        = {Lowering the Number of Live-Page Copies on Solid State Drives through
                  Trim-Assisted Space Allocation},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {239--240},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396341},
  doi          = {10.1109/ISOCC59558.2023.10396341},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiawCS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LimJH23,
  author       = {Bona Lim and
                  Hanhee Jo and
                  Jaeduk Han},
  title        = {An Analysis of Current-mode Drivers in 40-nm {CMOS} Technology},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {355--356},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396410},
  doi          = {10.1109/ISOCC59558.2023.10396410},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LimJH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LimJKS23,
  author       = {HaYoung Lim and
                  Yeseo Jang and
                  Juyeon Kim and
                  Jaehyeong Sim},
  title        = {{TD-NAAS:} Template-Based Differentiable Neural Architecture Accelerator
                  Search},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {143--144},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396202},
  doi          = {10.1109/ISOCC59558.2023.10396202},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LimJKS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LimKK23,
  author       = {Yujin Lim and
                  Dongwhee Kim and
                  Jungrae Kim},
  title        = {{SCC:} Efficient Error Correction Codes for {MLC} {PCM}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {303--304},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396372},
  doi          = {10.1109/ISOCC59558.2023.10396372},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LimKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinLSC23,
  author       = {Yi{-}Syuan Lin and
                  Chin{-}Yu Lo and
                  Yi{-}Chao Shih and
                  Tseng{-}Yi Chen},
  title        = {Alleviating the Impact of Fingerprint Operations on {NAND} Flash Memory
                  Storage Performance},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {249--250},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395987},
  doi          = {10.1109/ISOCC59558.2023.10395987},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinLSC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinLYCSC23,
  author       = {Yi{-}Syuan Lin and
                  Yu{-}Pei Liang and
                  Yu{-}Shan Yen and
                  Yen{-}Ting Chen and
                  Wei{-}Kuan Shih and
                  Yuan{-}Hao Chang},
  title        = {Adaptive Mode-Switching for Write-amplification Reduction of {SMR}
                  Disks},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {247--248},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396126},
  doi          = {10.1109/ISOCC59558.2023.10396126},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinLYCSC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinNNSTHH23,
  author       = {Jiaying Lin and
                  Ryuji Nagazawa and
                  Kien Nguyen and
                  Hiroo Sekiya and
                  Hiroyuki Torikai and
                  Mikio Hasegawa and
                  Won{-}Joo Hwang},
  title        = {Pavlovian Conditioning Modeling Using Wireless Spiking Neural Network},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {163--164},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396156},
  doi          = {10.1109/ISOCC59558.2023.10396156},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinNNSTHH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinSC23,
  author       = {Hou{-}Hsuan Lin and
                  Jia{-}Fong Shih and
                  Yung{-}Hui Chung},
  title        = {A 90-dB {DR} Discrete-Time Delta-Sigma Modulator for Audio Applications},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {91--92},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396297},
  doi          = {10.1109/ISOCC59558.2023.10396297},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinSC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MaharjanK23,
  author       = {Nilesh Maharjan and
                  Byung Wook Kim},
  title        = {Pointing error effect in {FSO} satellite-to-ground under weak turbulence
                  condition},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {153--154},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396394},
  doi          = {10.1109/ISOCC59558.2023.10396394},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MaharjanK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ManiVD23,
  author       = {Aarthy Mani and
                  Leong Xu Heng Victor and
                  Anh Tuan Do},
  title        = {Cryogenic Characterization of 40nm {CMOS} for Quantum Control Applications},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {3--4},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396083},
  doi          = {10.1109/ISOCC59558.2023.10396083},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ManiVD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MatsubaraIUN23,
  author       = {Yuki Matsubara and
                  Yuki Ishikawa and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Effect of Lateral Connection on Synchronization Phenomena in Chaotic
                  Circuits Coupled with Non-Uniform Coupling Strength},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {237--238},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396253},
  doi          = {10.1109/ISOCC59558.2023.10396253},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MatsubaraIUN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Mogos23,
  author       = {Gabriela Mogos},
  title        = {Quantum Biometric Fingerprint Encryption based on Twofish Algorithm},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {187--188},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395936},
  doi          = {10.1109/ISOCC59558.2023.10395936},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Mogos23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NakamuraNU23,
  author       = {Takuya Nakamura and
                  Yoshifumi Nishio and
                  Yoko Uwate},
  title        = {Time Series Analysis with Three Types of Noise-Mixing Effects by Neural
                  Network},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {167--168},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396299},
  doi          = {10.1109/ISOCC59558.2023.10396299},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/NakamuraNU23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NamH23,
  author       = {Jiwoo Nam and
                  Daijoon Hyun},
  title        = {Bayesian Optimization for Parameter Tuning in Placement-Aware Logic
                  Synthesis},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {353--354},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396225},
  doi          = {10.1109/ISOCC59558.2023.10396225},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/NamH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NamkoongK23,
  author       = {Hojung Namkoong and
                  Jungrae Kim},
  title        = {{CPR:} Correlation-based Page Remapping},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {309--310},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396034},
  doi          = {10.1109/ISOCC59558.2023.10396034},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/NamkoongK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NguyenAJNNVP23,
  author       = {Kim{-}Hoang Nguyen and
                  Woojin Ahn and
                  Minkyu Je and
                  Quyet Nguyen and
                  Quynh{-}Trang Nguyen and
                  Thanh{-}Tung Vu and
                  Loan Pham{-}Nguyen},
  title        = {A Neural Stimulator {IC} with Dynamic Voltage Scaling Supply and Energy
                  Recycling for Cochlear Implant in Standard 180nm {CMOS} Process},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {35--36},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396171},
  doi          = {10.1109/ISOCC59558.2023.10396171},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/NguyenAJNNVP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhSH23,
  author       = {Youngmin Oh and
                  Taeyang Sim and
                  Jaeduk Han},
  title        = {Analysis of Grounded Coplanar Waveguide {(GCPW)} for High-Speed Links
                  Channel},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {117--118},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396166},
  doi          = {10.1109/ISOCC59558.2023.10396166},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/OhSH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhYCM23,
  author       = {Seokjin Oh and
                  Rina Yoon and
                  Seungmyeong Cho and
                  Kyeong{-}Sik Min},
  title        = {Memristor Circuits for Non-Backpropagation Training Algorithm},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {201},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396659},
  doi          = {10.1109/ISOCC59558.2023.10396659},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/OhYCM23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PalabasanRMRLH23,
  author       = {Kei Palabasan and
                  Ramona Rajagopalan and
                  Jean{-}Marriz Manzano and
                  Marc D. Rosales and
                  Maria Theresa G. de Leon and
                  John Richard E. Hizon},
  title        = {Comparison of hardware-optimized {CNN} and {SVM} models for human
                  activity recognition using the {HARTH} and {HAR} 70 + datasets},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {107--108},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396338},
  doi          = {10.1109/ISOCC59558.2023.10396338},
  timestamp    = {Mon, 26 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PalabasanRMRLH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PamidimukkalaKKC23,
  author       = {Keerthana Pamidimukkala and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim and
                  Minsu Choi},
  title        = {Modeling Truncation-Based Approximation Error in Stochastic Computing
                  Circuits},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {291--292},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396293},
  doi          = {10.1109/ISOCC59558.2023.10396293},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PamidimukkalaKKC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkAKL23,
  author       = {Joungmin Park and
                  Seongmo An and
                  Jinyeol Kim and
                  Seung Eun Lee},
  title        = {Continuous Convolution Accelerator with Data Reuse based on Systolic
                  Architecture},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {319--320},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396060},
  doi          = {10.1109/ISOCC59558.2023.10396060},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkAKL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkBLKLCA23,
  author       = {Seong{-}Bo Park and
                  Jun{-}Ho Boo and
                  Jae{-}Geun Lim and
                  Hyoung{-}Jung Kim and
                  Jae{-}Hyuk Lee and
                  Won{-}Jun Cho and
                  Gil{-}Cho Ahn},
  title        = {A Second-Order {DT} Delta-Sigma Modulator with Noise-Shaping {SAR}
                  Quantizer},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {89--90},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396177},
  doi          = {10.1109/ISOCC59558.2023.10396177},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkBLKLCA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkC23,
  author       = {Jia Park and
                  Woo{-}Seok Choi},
  title        = {An Analog Integrate-and-Fire Neuron with Robust Soft Reset Mechanism},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {265--266},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396587},
  doi          = {10.1109/ISOCC59558.2023.10396587},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkCK23,
  author       = {Sejin Park and
                  Youngchang Choi and
                  Seokhyeong Kang},
  title        = {Soft Actor-Critic Reinforcement Learning-Based Optimization for Analog
                  Circuit Sizing},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {47--48},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396499},
  doi          = {10.1109/ISOCC59558.2023.10396499},
  timestamp    = {Mon, 25 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkCK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkJKCHCCK23,
  author       = {Sujin Park and
                  Young{-}Deuk Jeon and
                  Yi{-}Gyeong Kim and
                  Min{-}Hyung Cho and
                  Jinho Han and
                  Jaehoon Chung and
                  Jaewoong Choi and
                  Youngsu Kwon},
  title        = {{DQ} and {DQS} Receiver for {HBM3} Memory Interface with {DFE} Offset
                  Calibration},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {215--216},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396021},
  doi          = {10.1109/ISOCC59558.2023.10396021},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkJKCHCCK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkK23,
  author       = {Jeong{-}Mi Park and
                  Jin{-}Ku Kang},
  title        = {A {PAM-4} Receiver with Selective Reference Voltage Adaptation for
                  Low Sensitivity to Sampler Voltage Variations},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {205--206},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396508},
  doi          = {10.1109/ISOCC59558.2023.10396508},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkL23,
  author       = {Minsu Park and
                  Kang Yoon Lee},
  title        = {Low Phase Noise Voltage-Controlled Oscillator with Self-Biased Negative-Gm
                  Cell for IoT Applications},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {61--62},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396487},
  doi          = {10.1109/ISOCC59558.2023.10396487},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkLK23,
  author       = {Sang{-}Bo Park and
                  Dong{-}Yeong Lee and
                  Hyungwon Kim},
  title        = {Reconfigurable Cell-Based Systolic Array Architecture for {CNN} Training
                  Accelerator for Mobile Applications},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {331--332},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396045},
  doi          = {10.1109/ISOCC59558.2023.10396045},
  timestamp    = {Mon, 18 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkLP23,
  author       = {Seunghyun Park and
                  Dongkyu Lee and
                  Daejin Park},
  title        = {Tcl-based Simulation Platform for Light-weight ResNet Implementation},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {335--336},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396397},
  doi          = {10.1109/ISOCC59558.2023.10396397},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkLP23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkTK23,
  author       = {Gitae Park and
                  Thaising Taing and
                  Hyungwon Kim},
  title        = {High-Speed FPGA-to-FPGA Interface for a Multi-Chip {CNN} Accelerator},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {333--334},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396076},
  doi          = {10.1109/ISOCC59558.2023.10396076},
  timestamp    = {Mon, 18 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkTK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PaulsHH23,
  author       = {Friedrich Pauls and
                  Sebastian Haas and
                  Mattis Hasler},
  title        = {Trust-minimized Integration of Third-Party Intellectual Property Cores},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {53--54},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396198},
  doi          = {10.1109/ISOCC59558.2023.10396198},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PaulsHH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RamonesVJOSMSLRHRL23,
  author       = {Adam Jefferson Ramones and
                  Paolo Miguel Villacorta and
                  Kyla Marie Juruena and
                  Trixi Emmanuelle Obar and
                  John Robert Siglos and
                  Jean{-}Marriz Manzano and
                  Zyrel Renzo Sanchez and
                  Arcel G. Leynes and
                  Maria Sophia Ralota and
                  John Richard E. Hizon and
                  Marc D. Rosales and
                  Maria Theresa G. de Leon},
  title        = {A 288nV/{\(\surd\)}Hz low-noise capacitively-coupled instrumentation
                  amplifier {(CCIA)} in 22-nm {UTBB} {FD-SOI} for signal conditioning
                  of {MEMS} piezoresistive pressure sensors},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {267--268},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396292},
  doi          = {10.1109/ISOCC59558.2023.10396292},
  timestamp    = {Mon, 26 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RamonesVJOSMSLRHRL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RanaK23,
  author       = {Amrita Rana and
                  Kyung Ki Kim},
  title        = {Efficient Object Detection through Migration-Based Neural Architecture
                  Search},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {329--330},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396054},
  doi          = {10.1109/ISOCC59558.2023.10396054},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RanaK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RohC23,
  author       = {Hyeri Roh and
                  Woo{-}Seok Choi},
  title        = {Design of Energy-Efficient Cryptographically Secure Pseudo-Random
                  Number Generators Using High-Level Synthesis},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {351--352},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395938},
  doi          = {10.1109/ISOCC59558.2023.10395938},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RohC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SakohiraYUN23,
  author       = {Haruka Sakohira and
                  Kiichi Yamashita and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Rewiring Effect of High Synchronization Edges in Complex Oscillator
                  Networks},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {233--234},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396366},
  doi          = {10.1109/ISOCC59558.2023.10396366},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SakohiraYUN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SankarAGJN23,
  author       = {Syam Sankar and
                  Lissiyas Antony and
                  Ruchika Gupta and
                  John Jose and
                  Sukumar Nandi},
  title        = {Exploring Trustable Paths in Network-on-Chip for Low-Slack Packets},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {85--86},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395933},
  doi          = {10.1109/ISOCC59558.2023.10395933},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SankarAGJN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SatoHU23,
  author       = {Toshinori Sato and
                  Hiroyuki Hama and
                  Tomoaki Ukezono},
  title        = {Comparative Evaluation between Carry Prediction and Sign Error Correction
                  in Approximate Addition},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {77--78},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396324},
  doi          = {10.1109/ISOCC59558.2023.10396324},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SatoHU23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SatoT23,
  author       = {Shoma Sato and
                  Hiroyuki Torikai},
  title        = {Analyses of nonlinear transient phenomena of ergodic cellular automaton
                  central pattern generator},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {227--228},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396308},
  doi          = {10.1109/ISOCC59558.2023.10396308},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SatoT23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeoLK23,
  author       = {Hyoju Seo and
                  Seokhyeon Lee and
                  Yongtae Kim},
  title        = {Computation Exactness Exploration of Exact Quantum Adders in {NISQ}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {87--88},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396586},
  doi          = {10.1109/ISOCC59558.2023.10396586},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeoLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeongPK23,
  author       = {Gyubin Seong and
                  Jong Kang Park and
                  Jong Tae Kim},
  title        = {{FPGA} Implementation of Cycle-Reduced Diagonal Data Flow Systolic
                  Array for Edge Device {AI}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {99--100},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396567},
  doi          = {10.1109/ISOCC59558.2023.10396567},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeongPK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShakudaTA23,
  author       = {Kazuki Shakuda and
                  Zhengqiang Tang and
                  Shintaro Arai},
  title        = {Communication Performance Depending on {LED} Installation Position
                  in Image Sensor Communication Using Propeller {LED} Transmitter},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {157--158},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396115},
  doi          = {10.1109/ISOCC59558.2023.10396115},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShakudaTA23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SharmaK23,
  author       = {Akshay Kumar Sharma and
                  Kyung Ki Kim},
  title        = {Optimizing Image Classification with Inverse Depthwise Separable Convolution
                  for Edge Devices},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {211--212},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396395},
  doi          = {10.1109/ISOCC59558.2023.10396395},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SharmaK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinKSS23,
  author       = {MinSeung Shin and
                  Jongbeom Kim and
                  Yunjeong Shin and
                  Taigon Song},
  title        = {A Compact Q-Learning-Based Standard Cell Layout Compiler for 3nm {GAAFET}
                  and Beyond},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {119--120},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396096},
  doi          = {10.1109/ISOCC59558.2023.10396096},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinKSS23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinSPOJ23,
  author       = {Hye{-}Min Shin and
                  Hae{-}Won Son and
                  Tai{-}Soon Park and
                  Tae{-}Woo Oh and
                  Young{-}Chan Jang},
  title        = {First-order Continuous Time Delta-sigma Modulator with 3-bit {SAR}
                  {ADC} and {PNM} {DAC}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {297--298},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396178},
  doi          = {10.1109/ISOCC59558.2023.10396178},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinSPOJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SimMD23,
  author       = {Chan Kuen Sim and
                  Aarthy Mani and
                  Anh Tuan Do},
  title        = {0.85 mW, 8-bit, 1GS/s, 58dB {SFDR} Cryogenic {DAC} for Superconducting
                  Qubit Control Applications},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {93--94},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396606},
  doi          = {10.1109/ISOCC59558.2023.10396606},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SimMD23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SoL23,
  author       = {Jaehyuk So and
                  Dong Hyun Lee},
  title        = {Design of Wafer Vision Alignment System using Hardware Simulator},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {307--308},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396647},
  doi          = {10.1109/ISOCC59558.2023.10396647},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SoL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SonJLK23,
  author       = {Kyou{-}Jung Son and
                  Seokhun Jeon and
                  Jae{-}Hack Lee and
                  Byung{-}Soo Kim},
  title        = {Distance Searching-based Hyperparameter Optimization for Restricted
                  Coulomb Energy-based Neural Network},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {323--324},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396476},
  doi          = {10.1109/ISOCC59558.2023.10396476},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SonJLK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SonYJJ23,
  author       = {Haewoon Son and
                  WonSeok Yang and
                  Hoyong Jung and
                  Young{-}Chan Jang},
  title        = {1-kS/s 12-bit {SAR} {ADC} with Burst Conversion},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {295--296},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396006},
  doi          = {10.1109/ISOCC59558.2023.10396006},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SonYJJ23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongKHK23,
  author       = {Hyoseok Song and
                  Kwangmin Kim and
                  Changyoon Han and
                  Byungsub Kim},
  title        = {Review: {A} Speculative Divide-and-Conquer Optimization Method for
                  Large Analog/Mixed-Signal Circuits},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {139--140},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396581},
  doi          = {10.1109/ISOCC59558.2023.10396581},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongKHK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongLSKKPHJJSC23,
  author       = {Jeongeun Song and
                  Sunyoung Lee and
                  Minseok Shin and
                  Ohjun Kwon and
                  Hansang Kim and
                  Yujin Park and
                  Gyubeom Hwang and
                  Hyekyoung Jung and
                  Hoesam Jeong and
                  Changrock Song and
                  Woo{-}Seok Choi},
  title        = {A Pixel Driver Design Technique to Obtain a High-Quality Depth Map
                  in Indirect Time-of-Flight Sensors},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {31--32},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396430},
  doi          = {10.1109/ISOCC59558.2023.10396430},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongLSKKPHJJSC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SunHTC23,
  author       = {Tsung{-}Wen Sun and
                  Chu{-}En Hsia and
                  Tsung{-}Heng Tsai and
                  Chia{-}Chan Chang},
  title        = {A 94.3 {\%} Peak Power Efficiency Time-Based Buck Converter Using
                  Pulse-Phase-Shift Modes with An Intrinsic Window for Transient Enhancement},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {17--18},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396608},
  doi          = {10.1109/ISOCC59558.2023.10396608},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SunHTC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SuzukiKAK23,
  author       = {Yuta Suzuki and
                  Kaito Kato and
                  Hiroyuki Asahara and
                  Takuji Kousaka},
  title        = {Bifurcation analysis of a chaotic interrupted system with a periodic
                  threshold},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {161--162},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396080},
  doi          = {10.1109/ISOCC59558.2023.10396080},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SuzukiKAK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TangCCCC23,
  author       = {Song{-}Nien Tang and
                  Yuan{-}Ho Chen and
                  Yu{-}Wei Chang and
                  Yu{-}Ting Chen and
                  Shuo{-}Hung Chou},
  title        = {Hybrid {CNN-LSTM} Network for {ECG} Classification and Its Software-Hardware
                  Co-Design Approach},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {173--174},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396448},
  doi          = {10.1109/ISOCC59558.2023.10396448},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TangCCCC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TerakawaKYK23,
  author       = {Haruki Terakawa and
                  Hideyuki Kato and
                  Yoshihiro Yonemura and
                  Yuichi Katori},
  title        = {Analysis of predictive coding model with hierarchical reservoir computing
                  for modeling Stroop effects},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {257--258},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396189},
  doi          = {10.1109/ISOCC59558.2023.10396189},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TerakawaKYK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TsaiCLW23,
  author       = {Yu{-}Shiang Tsai and
                  Shuo{-}Han Chen and
                  Yong{-}Cheng Liaw and
                  Cheng{-}Yueh Wu},
  title        = {Exploring Hot/Cold Data Separation for Garbage Collection Efficiency
                  Enhancement on OCSSDs},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {241--242},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396140},
  doi          = {10.1109/ISOCC59558.2023.10396140},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TsaiCLW23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateN23,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Feature Extraction of Neuron Group Composed of Two Different Firing
                  Patterns Using Nonlinear Analysis},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {199--200},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396050},
  doi          = {10.1109/ISOCC59558.2023.10396050},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/VermaH23,
  author       = {Yogesh Verma and
                  Mattis Hasler},
  title        = {Crosstalk-Based Hardware Trojan In Low Power Designs},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {51--52},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396502},
  doi          = {10.1109/ISOCC59558.2023.10396502},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/VermaH23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangY23,
  author       = {Shu{-}Chi Wang and
                  Ching{-}Yuan Yang},
  title        = {Behavior Simulation of {CDR} for {SSC} System With a Compact Quarter-Rate
                  Linear Phase Detector},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {79--80},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396470},
  doi          = {10.1109/ISOCC59558.2023.10396470},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WangY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WooPK23,
  author       = {Go{-}Eun Woo and
                  Sangbo Park and
                  Hyungwon Kim},
  title        = {Improving Performance of Current Sensor Chip Based on Multi-Parameter
                  Compensation : Compensation {\&} Decimation Filter for Digital
                  Chip},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {293--294},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395983},
  doi          = {10.1109/ISOCC59558.2023.10395983},
  timestamp    = {Mon, 18 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WooPK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangKL23,
  author       = {Man{-}Jae Yang and
                  Goen Hoe Kim and
                  Kang{-}Yoon Lee},
  title        = {A Small Area Cyclic Vernier Delay Line {TDC} Based {ADDLL} using Linear
                  Delay Inverter},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {25--26},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10395981},
  doi          = {10.1109/ISOCC59558.2023.10395981},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangKL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangWLJSWL23,
  author       = {En{-}Chi Yang and
                  Suz{-}Ting Wang and
                  Kusn{-}Lin Liu and
                  Wen{-}Ho Juang and
                  Ming{-}Hwa Sheu and
                  How{-}Chiun Wu and
                  Shin{-}Chi Lai},
  title        = {Fast Measurement of Impedance Calculation for Electrochemical Impedance
                  Spectroscopy},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {177--178},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396167},
  doi          = {10.1109/ISOCC59558.2023.10396167},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangWLJSWL23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YasufukuUN23,
  author       = {Kazuki Yasufuku and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {A Study of Changes in Prediction Performance Influenced by Attractor
                  State in Oscillator Reservoir Computing},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {259--260},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396164},
  doi          = {10.1109/ISOCC59558.2023.10396164},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YasufukuUN23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Yoo23,
  author       = {Jerald Yoo},
  title        = {Energy-Efficient {AI} at the edge for Biomedical Applications},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {202},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396488},
  doi          = {10.1109/ISOCC59558.2023.10396488},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Yoo23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooLSK23,
  author       = {Younwoo Yoo and
                  Hayoung Lee and
                  Seung Ho Shin and
                  Sungho Kang},
  title        = {GPU-Based Redundancy Analysis using Partitioning Method for Memory
                  Repair},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396265},
  doi          = {10.1109/ISOCC59558.2023.10396265},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooLSK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YoonKSPKPKK23,
  author       = {Jiwon Yoon and
                  Hyunwoo Kim and
                  Boogyo Sim and
                  Hyunwook Park and
                  Yi{-}Gyeong Kim and
                  Sujin Park and
                  Youngsu Kwon and
                  Joungho Kim},
  title        = {Multi-Stripline Redistribution Layer Interposer Channel Design for
                  High Bandwidth Memory Module Considering Via Interconnect},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {247--248},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396127},
  doi          = {10.1109/ISOCC59558.2023.10396127},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YoonKSPKPKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YouY23,
  author       = {Wan{-}Yu You and
                  Ching{-}Yuan Yang},
  title        = {Behavior Simulation of {SSC} Generator With Adjustable Modulation
                  Frequency and Depth},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {81--82},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396138},
  doi          = {10.1109/ISOCC59558.2023.10396138},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YouY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YuHKCSSJY23,
  author       = {Seongyeon Yu and
                  Namwook Hur and
                  Wansun Kim and
                  Mann{-}Ho Cho and
                  Hyunchul Sohn and
                  Joonki Suh and
                  Hongsik Jeong and
                  Jong{-}Hyeok Yoon},
  title        = {A PRAM-based {PIM} Macro Using the Gilbert Multiplier-based Active
                  Feedback and Input-aware {SAR} {ADC}},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {21--22},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396557},
  doi          = {10.1109/ISOCC59558.2023.10396557},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YuHKCSSJY23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YunKK23,
  author       = {Hyojoon Yun and
                  Tae{-}Hyun Kim and
                  Sungho Kang},
  title        = {Machine Learning based Scan Chain Diagnosis for Double Faults},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {341--342},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396107},
  doi          = {10.1109/ISOCC59558.2023.10396107},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YunKK23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangNLCLYC23,
  author       = {Yun{-}Ting Zhang and
                  Chin{-}Fu Nien and
                  Chia{-}Wei Lin and
                  Wen{-}Jui Chao and
                  Chen{-}Yu Liu and
                  Lien{-}Po Yu and
                  Yuan{-}Ho Chen},
  title        = {An Automated Toolchain for QUBO-based Optimization with Quantum-inspired
                  Annealers},
  booktitle    = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  pages        = {171--172},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023.10396459},
  doi          = {10.1109/ISOCC59558.2023.10396459},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangNLCLYC23.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isocc/2023,
  title        = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic
                  of Korea, October 25-28, 2023},
  publisher    = {{IEEE}},
  year         = {2023},
  url          = {https://doi.org/10.1109/ISOCC59558.2023},
  doi          = {10.1109/ISOCC59558.2023},
  isbn         = {979-8-3503-2703-8},
  timestamp    = {Thu, 22 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/2023.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbubakarJYSYJW22,
  author       = {Syed Muhammad Abubakar and
                  Hanjun Jiang and
                  Yue Yin and
                  Jiahua Shi and
                  Xiaofeng Yang and
                  Wen Jia and
                  Zhihua Wang},
  title        = {A 1.92 {\(\mu\)}A Always-on {ECG} Monitoring Mixed-Signal SoC for
                  Implantable Medical Application},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {155--156},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031419},
  doi          = {10.1109/ISOCC56007.2022.10031419},
  timestamp    = {Wed, 15 Feb 2023 22:08:05 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AbubakarJYSYJW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AhnSC22,
  author       = {Honggyoo Ahn and
                  Joonghyun Song and
                  Woo{-}Seok Choi},
  title        = {Impact of {PI} Nonlinearity on High-Resolution Frequency-to-Digital
                  Converter},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {141--142},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031343},
  doi          = {10.1109/ISOCC56007.2022.10031343},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AhnSC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AinBSL22,
  author       = {Qurat ul Ain and
                  Muhammad Basim and
                  Syed Adil Ali Shah and
                  Kang{-}Yoon Lee},
  title        = {A Design of high-efficiency Constant On-Time Control {DC-DC} Buck
                  Converter for Power Management integrated circuits},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {13--14},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031355},
  doi          = {10.1109/ISOCC56007.2022.10031355},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AinBSL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AndoSIN22,
  author       = {Koki Ando and
                  Yukinaga Shimoda and
                  Daisuke Ito and
                  Makoto Nakamura},
  title        = {An electrical chromatic dispersion emulator using digital signal processing},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {107--108},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031429},
  doi          = {10.1109/ISOCC56007.2022.10031429},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AndoSIN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AvitabileFMZ22,
  author       = {Gianfranco Avitabile and
                  Antonello Florio and
                  Ka Lok Man and
                  Chun Zhao},
  title        = {A Long-Term Synchronized System for Healthcare},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {191--192},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031360},
  doi          = {10.1109/ISOCC56007.2022.10031360},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AvitabileFMZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BaekKJ22,
  author       = {Kyungmin Baek and
                  Kahyun Kim and
                  Deog{-}Kyoon Jeong},
  title        = {A 5GHz All-Digital {PLL} with shunt regulating Ring {DCO} in {BOST}
                  for {DDR5} {ATE}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {139--140},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031547},
  doi          = {10.1109/ISOCC56007.2022.10031547},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BaekKJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BongCS22,
  author       = {Hayun Bong and
                  Kyungseon Cho and
                  Yeongkyo Seo},
  title        = {Automation Framework for Digital Circuit Design and Verification},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {263--264},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031431},
  doi          = {10.1109/ISOCC56007.2022.10031431},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BongCS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangC22,
  author       = {Kai{-}Fen Chang and
                  Yuan{-}Ho Chen},
  title        = {High Accuracy Abnormal {ECG} Detection Chip Using a Simple Neural
                  Network},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {177--178},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031526},
  doi          = {10.1109/ISOCC56007.2022.10031526},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangK22,
  author       = {Kyungjoon Chang and
                  Taewhan Kim},
  title        = {Analysis of Impacting Multi-stack Standard Cells on Chip Implementation},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {119--120},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031298},
  doi          = {10.1109/ISOCC56007.2022.10031298},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenSCCYLLLY22,
  author       = {Yixiao Chen and
                  Jinfeng Song and
                  Shuai Chen and
                  Yuan Cao and
                  Jing Ye and
                  Huawei Li and
                  Xiaowei Li and
                  Xin Lou and
                  Enyi Yao},
  title        = {Exploring the high-throughput and low-delay hardware design of {SM4}
                  on {FPGA}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {211--212},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031393},
  doi          = {10.1109/ISOCC56007.2022.10031393},
  timestamp    = {Mon, 22 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenSCCYLLLY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenWL22,
  author       = {Yu{-}Guang Chen and
                  Chi{-}Hsu Wang and
                  Ing{-}Chao Lin},
  title        = {An Aging Detection and Tolerance Framework for 8T {SRAM} Dot Product
                  {CIM} Engine},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {161--162},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031538},
  doi          = {10.1109/ISOCC56007.2022.10031538},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenWL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenYW22,
  author       = {Tsung{-}Ying Chen and
                  Ching{-}Yuan Yang and
                  Dung{-}An Wang},
  title        = {A 80-MHz 91.2 ppm/{\textdegree}C Self-Biased Frequency-Locked-Loop
                  Timer},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {292--293},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031314},
  doi          = {10.1109/ISOCC56007.2022.10031314},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenYW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChengHL22,
  author       = {Chuan{-}Han Cheng and
                  Shih{-}Hsu Huang and
                  Jin{-}Fu Li},
  title        = {Design and Dataflow for Multibit SRAM-Based {MAC} Operations},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {159--160},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031508},
  doi          = {10.1109/ISOCC56007.2022.10031508},
  timestamp    = {Tue, 17 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChengHL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChiuH22,
  author       = {De{-}Yang Chiu and
                  Shih{-}Hsu Huang},
  title        = {Dataflow and Hardware Design for The Sharing of Feature Maps},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {175--176},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031305},
  doi          = {10.1109/ISOCC56007.2022.10031305},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChiuH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoLLKL22,
  author       = {Mannhee Cho and
                  Dongchan Lee and
                  Sanghyun Lee and
                  Youngmin Kim and
                  Hyung{-}Min Lee},
  title        = {Automated Reverse Engineering Tools for {FPGA} Bitstream Extraction
                  and Logic Estimation},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {328--329},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031326},
  doi          = {10.1109/ISOCC56007.2022.10031326},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoLLKL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoP22,
  author       = {Han Cho and
                  Jongsun Park},
  title        = {Channel-Wise Activation Map Pruning using Max-Pool for Reducing Memory
                  Accesses},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {71--72},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031452},
  doi          = {10.1109/ISOCC56007.2022.10031452},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoPB22,
  author       = {Yosep Cho and
                  Jongmin Park and
                  Jinwook Burm},
  title        = {A 12.5-Gb/s Switched Capacitor Based Two Tap {DFE} With High {BER}
                  Performance},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {304--305},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031329},
  doi          = {10.1109/ISOCC56007.2022.10031329},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoPB22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiCCLK22,
  author       = {Junung Choi and
                  Jaeik Cho and
                  Won Joon Choi and
                  Myungguk Lee and
                  Byungsub Kim},
  title        = {A Layout Generator of Latch, Flip-Flop, and Shift Register for High-Speed
                  Links},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {19--20},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031340},
  doi          = {10.1109/ISOCC56007.2022.10031340},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiCCLK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChonYCC22,
  author       = {Dain Chon and
                  Yoojeong Yang and
                  Hayoung Choi and
                  Woong Choi},
  title        = {Hardware-Efficient Barrel Shifter Design Using Customized Dynamic
                  Logic Based {MUX}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {59--60},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031545},
  doi          = {10.1109/ISOCC56007.2022.10031545},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChonYCC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DangSHP22,
  author       = {Tuan{-}Kiet Dang and
                  Ronaldo Serrano and
                  Trong{-}Thuc Hoang and
                  Cong{-}Kha Pham},
  title        = {A Novel Ring Oscillator {PUF} for {FPGA} Based on Feedforward Ring
                  Oscillators},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {87--88},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031300},
  doi          = {10.1109/ISOCC56007.2022.10031300},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DangSHP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DasDC22,
  author       = {Mayukhmali Das and
                  Sounak Dutta and
                  Sayan Chatterjee},
  title        = {Logic and Reduction Operation based Hardware Trojans in Digital Design},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {342--343},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031413},
  doi          = {10.1109/ISOCC56007.2022.10031413},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DasDC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DasJ22,
  author       = {Monalisa Das and
                  Babita Jajodia},
  title        = {Hardware Design of Optimized Large Integer Schoolbook Polynomial Multiplications
                  on {FPGA}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {65--66},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031366},
  doi          = {10.1109/ISOCC56007.2022.10031366},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DasJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DasJ22a,
  author       = {Monalisa Das and
                  Babita Jajodia},
  title        = {{FPGA} Implementation of Hybrid Karatsuba Multiplications for {NIST}
                  Post-Quantum Cryptographic Hardware Primitives},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {81--82},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031517},
  doi          = {10.1109/ISOCC56007.2022.10031517},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DasJ22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DeLRMSK22,
  author       = {Sourav De and
                  Maximilian Lederer and
                  Yannick Raffel and
                  Franz M{\"{u}}ller and
                  Konrad Seidel and
                  Thomas K{\"{a}}mpfe},
  title        = {Roadmap for Ferroelectric Memory: Challenges and Opportunities for
                  {IMC} Applications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {167--168},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031437},
  doi          = {10.1109/ISOCC56007.2022.10031437},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DeLRMSK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DiMDBVDKC22,
  author       = {Z. Di and
                  Aarthy Mani and
                  Anh Tuan Do and
                  A. Baranikov and
                  R. M. Veetil and
                  R. P. Dom{\'{\i}}nguez and
                  A. I. Kuznetsov and
                  Kevin T. C. Chai},
  title        = {Linearity Characterization of Hybrid Driving Scheme for Spatial Light
                  Modulator System},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {312--313},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031456},
  doi          = {10.1109/ISOCC56007.2022.10031456},
  timestamp    = {Thu, 27 Jul 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DiMDBVDKC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DoJJJ22,
  author       = {Wonkyu Do and
                  Neungin Jeon and
                  Hoyong Jung and
                  Young{-}Chan Jang},
  title        = {Second-order Incremental Delta-sigma Modulator with 3-bit {SAR} {ADC}
                  and Capacitor Sharing Scheme},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {39--40},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031430},
  doi          = {10.1109/ISOCC56007.2022.10031430},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DoJJJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DuongNgocPLT22,
  author       = {Phap Duong{-}Ngoc and
                  Thang Xuan Pham and
                  Hanho Lee and
                  Tuy Tan Nguyen},
  title        = {Flexible GPU-Based Implementation of Number Theoretic Transform for
                  Homomorphic Encryption},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {259--260},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031464},
  doi          = {10.1109/ISOCC56007.2022.10031464},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DuongNgocPLT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FengPJW22,
  author       = {Tian Feng and
                  Haojie Pei and
                  Zhou Jin and
                  Xiao Wu},
  title        = {A survey and perspective on electronic design automation tools for
                  ensuring SoC security},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {215--216},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031510},
  doi          = {10.1109/ISOCC56007.2022.10031510},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/FengPJW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FlorioAM22,
  author       = {Antonello Florio and
                  Gianfranco Avitabile and
                  Ka Lok Man},
  title        = {Estimating the Angle of Arrival from Multiple {RF} Sources using Phase
                  Interferometry},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {193--194},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031322},
  doi          = {10.1109/ISOCC56007.2022.10031322},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/FlorioAM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FurutaniKUA22,
  author       = {Yuma Furutani and
                  Takuji Kousaka and
                  Shota Uchino and
                  Hiroyuki Asahara},
  title        = {A simple approach of stability analysis and {MPPT} control in {DC-DC}
                  converter with {TEM}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {225--226},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031453},
  doi          = {10.1109/ISOCC56007.2022.10031453},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/FurutaniKUA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GookyiLKJL22,
  author       = {Dennis Agyemanh Nana Gookyi and
                  Eunchong Lee and
                  Kyungho Kim and
                  Sung{-}Joon Jang and
                  Sang{-}Seol Lee},
  title        = {Exploring {GEMM} Operations on Different Configurations of the Gemmini
                  Accelerator},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {356--357},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031536},
  doi          = {10.1109/ISOCC56007.2022.10031536},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/GookyiLKJL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GotoAIK22,
  author       = {Yusuke Goto and
                  Hiroyuki Asahara and
                  Daisuke Ito and
                  Takuji Kousaka},
  title        = {Chattering phenomenon in a high-side gate driver circuit using {MOSFET}
                  equivalent circuit},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {221--222},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031363},
  doi          = {10.1109/ISOCC56007.2022.10031363},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/GotoAIK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HattoriUN22,
  author       = {Takahiro Hattori and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Phase Change of Three Coupled Chaotic Circuits to Input Signals},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {282--283},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031365},
  doi          = {10.1109/ISOCC56007.2022.10031365},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HattoriUN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeCKK22,
  author       = {Yixuan He and
                  Minsu Choi and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {A Time-Domain Parallel Counter for Deep Learning Macro},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {346--347},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031361},
  doi          = {10.1109/ISOCC56007.2022.10031361},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeCKK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeoL22,
  author       = {Yoon Heo and
                  Won{-}Young Lee},
  title        = {A Wide Range Digitally Controlled Oscillator with Direct Proportional
                  Loop Control},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {137--138},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031507},
  doi          = {10.1109/ISOCC56007.2022.10031507},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeoL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongC22,
  author       = {Soonseong Hong and
                  Hyouk{-}Kyu Cha},
  title        = {A Power-Efficient Low-Noise Neural Recording Amplifier {IC} with High
                  Tolerance to Stimulation Artifacts},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {306--307},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031576},
  doi          = {10.1109/ISOCC56007.2022.10031576},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongK22,
  author       = {Hyeonseok Hong and
                  Hyun Kim},
  title        = {Feature Distribution-based Knowledge Distillation for Deep Neural
                  Networks},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {75--76},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031412},
  doi          = {10.1109/ISOCC56007.2022.10031412},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HozumiUKA22,
  author       = {Daiki Hozumi and
                  Shota Uchino and
                  Takuji Kousaka and
                  Hiroyuki Asahara},
  title        = {Comparative Study of Nonlinear Dynamics in {DC-DC} Converter with
                  {TEM}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {223--224},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031527},
  doi          = {10.1109/ISOCC56007.2022.10031527},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HozumiUKA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuSXDD22,
  author       = {Xingyuan Hu and
                  Zhuang Shao and
                  Chenjia Xie and
                  Li Du and
                  Yuan Du},
  title        = {{SVR:} {A} Shard-aware Vertex Reordering Method for Efficient {GNN}
                  Execution and Memory Access},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {165--166},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031440},
  doi          = {10.1109/ISOCC56007.2022.10031440},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HuSXDD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HwangP22,
  author       = {Seungeon Hwang and
                  Jongsun Park},
  title        = {Percentile Clipping based Low Bit-Precision Quantization for Depth
                  Estimation Network},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {73--74},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031332},
  doi          = {10.1109/ISOCC56007.2022.10031332},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HwangP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/InoueKK22,
  author       = {Yusuke Inoue and
                  Xiangbo Kong and
                  Takeshi Kumaki},
  title        = {Implementation of {AI} characteristic motion detecting for improper-photography
                  prevention system},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {201--202},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031401},
  doi          = {10.1109/ISOCC56007.2022.10031401},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/InoueKK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangJ22,
  author       = {Yeong Min Jang and
                  Jinho Jeong},
  title        = {Modified Wilkinson Power Divider with Resonating Stubs for Physical
                  Isolation of Output Ports},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {380--381},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031408},
  doi          = {10.1109/ISOCC56007.2022.10031408},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JangJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangKL22,
  author       = {Jihoon Jang and
                  Hyun Kim and
                  Hyokeun Lee},
  title        = {Performance Analysis of a Phase-Change Memory System on Various {CNN}
                  Inference Workloads},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {133--134},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031496},
  doi          = {10.1109/ISOCC56007.2022.10031496},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JangKL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangLLH22,
  author       = {Hyeonguk Jang and
                  Sukho Lee and
                  Jae{-}Jin Lee and
                  Kyuseung Han},
  title        = {Releasing the Memory Bottleneck to Display Video Correctly},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {340--341},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031379},
  doi          = {10.1109/ISOCC56007.2022.10031379},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JangLLH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangSMK22,
  author       = {Iksu Jang and
                  Jaeyoung Seo and
                  Changjae Moon and
                  Byungsub Kim},
  title        = {A Cost-efficient FPGA-based Embedded System for Biosensor Platform},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {67--68},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031540},
  doi          = {10.1109/ISOCC56007.2022.10031540},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JangSMK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeonJJJ22,
  author       = {Youngchae Jeon and
                  Jaehoon Jeong and
                  Yeong Min Jang and
                  Jinho Jeong},
  title        = {D-band Power Amplifier Module with Medium Output Power Using E-plane
                  Waveguide Transition},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {115--116},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031504},
  doi          = {10.1109/ISOCC56007.2022.10031504},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeonJJJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeonKK22,
  author       = {Jihun Jeon and
                  Jin{-}Ku Kang and
                  Yongwoo Kim},
  title        = {Filter Pruning Method for Inference Time Acceleration Based on {YOLOX}
                  in Edge Device},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {354--355},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031377},
  doi          = {10.1109/ISOCC56007.2022.10031377},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeonKK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongKLPSJ22,
  author       = {Jaehoon Jeong and
                  Hyungeun Kim and
                  Jihyeon Lee and
                  Jaehyun Park and
                  Jongsin Shin and
                  Jinho Jeong},
  title        = {Miniaturization of bandwidth extension circuit for {ESD} {I/O} pad
                  using bridged T-coil},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {237--238},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031561},
  doi          = {10.1109/ISOCC56007.2022.10031561},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongKLPSJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongL22,
  author       = {Hyun{-}jin Jeong and
                  Kang{-}Yoon Lee},
  title        = {A Design of {SIDITO} Buck-Boost Converter with Real Time Maximum Power
                  Point Tracking for {RF} Energy Harvesting System},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {294--297},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031491},
  doi          = {10.1109/ISOCC56007.2022.10031491},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JiFLTZ22,
  author       = {Huaikun Ji and
                  Zhenhao Fan and
                  Zhaonan Lu and
                  Zhichao Tan and
                  Menglian Zhao},
  title        = {A 10.12{\(\mu\)}W 101.98dB-SNDR Three-step Incremental Analog-to-Digital
                  Converter},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {41--42},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031566},
  doi          = {10.1109/ISOCC56007.2022.10031566},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JiFLTZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinOK22,
  author       = {Myeongwoo Jin and
                  Doekkeun Oh and
                  Juho Kim},
  title        = {High-Level Synthesis Considering Layer Assignment on Timing in 3D-IC},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {386--387},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031586},
  doi          = {10.1109/ISOCC56007.2022.10031586},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinOK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinOK22a,
  author       = {Myeongwoo Jin and
                  Doekkeun Oh and
                  Juho Kim},
  title        = {Delay Impact on Process Variation of Interconnect throughout technology
                  scaling},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {388--389},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031498},
  doi          = {10.1109/ISOCC56007.2022.10031498},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinOK22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoP22,
  author       = {Joongho Jo and
                  Jongsun Park},
  title        = {Class Difficulty based Mixed Precision Quantization for Low Complexity
                  {CNN} Training},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {372--373},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031597},
  doi          = {10.1109/ISOCC56007.2022.10031597},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JoP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungK22,
  author       = {Jihyung Jung and
                  Youngmin Kim},
  title        = {A High-Performance, Low-Power 8-Bit Full-Adder Using 8\({}^{\mbox{+}}\)T
                  Differential {SRAM} for Computation-inMemory},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {131--132},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031417},
  doi          = {10.1109/ISOCC56007.2022.10031417},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungKLL22,
  author       = {Gyuhyun Jung and
                  Hyeokjun Kwon and
                  Hyunhoon Lee and
                  Youngjoo Lee},
  title        = {Fast Estimation of {NTT/INTT} Accelerator Costs for RNS-Based Homomorphic
                  Encryption},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {392--393},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031386},
  doi          = {10.1109/ISOCC56007.2022.10031386},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungKLL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KanekoIKWZTNO22,
  author       = {Naoya Kaneko and
                  Koki Iwabuchi and
                  Kenshiro Kato and
                  Daichi Watari and
                  Dafang Zhao and
                  Ittetsu Taniguchi and
                  Hiroki Nishikawa and
                  Takao Onoye},
  title        = {An Evaluation of Electricity Demand Forecasting Models for Smart Energy
                  Management Systems},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {195--196},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031493},
  doi          = {10.1109/ISOCC56007.2022.10031493},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KanekoIKWZTNO22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KangP22,
  author       = {Seongyoon Kang and
                  Jongsun Park},
  title        = {Data Bus Inversion Encoding for Improving the Power Efficiency of
                  SERDES-Containing Data Bus},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {103--104},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031583},
  doi          = {10.1109/ISOCC56007.2022.10031583},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KangP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KaoLHC22,
  author       = {Jui{-}I Kao and
                  Wei Lu and
                  Po{-}Tsang Huang and
                  Hung{-}Ming Chen},
  title        = {Precision-Aware Workload Distribution and Dataflow for a Hybrid Digital-CIM
                  Deep {CNN} Accelerator},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {171--172},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031486},
  doi          = {10.1109/ISOCC56007.2022.10031486},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KaoLHC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimAJSSS22,
  author       = {Sumin Kim and
                  Byungmin Ahn and
                  Bohwan Jun and
                  Mankeun Seo and
                  Hongrak Son and
                  Yong Ho Song},
  title        = {Low Power Decoder Architecture of Product Code for Storage Controller},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {324--325},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031469},
  doi          = {10.1109/ISOCC56007.2022.10031469},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimAJSSS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimBSS22,
  author       = {Hyunwoo Kim and
                  Seungwon Baek and
                  Jaehong Song and
                  Taigon Song},
  title        = {A Novel Processing Unit and Architecture for Process-In Memory {(PIM)}
                  in {NAND} Flash Memory},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {127--128},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031375},
  doi          = {10.1109/ISOCC56007.2022.10031375},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimBSS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimJCJL22,
  author       = {Jeongeun Kim and
                  Yue Ri Jeong and
                  Kwonneung Cho and
                  Won Sik Jeong and
                  Seung Eun Lee},
  title        = {Reconfigurable Stochastic Computing Architecture for Computationally
                  Intensive Applications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {61--62},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031563},
  doi          = {10.1109/ISOCC56007.2022.10031563},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimJCJL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimJMK22,
  author       = {Sunghoon Kim and
                  Seokjun Jang and
                  Youngki Moon and
                  Sungho Kang},
  title        = {Pair-Grouping Scan Chain Architecture for Multiple Scan Cell Fault
                  Diagnosis},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {25--26},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031415},
  doi          = {10.1109/ISOCC56007.2022.10031415},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimJMK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK22,
  author       = {Dongwhee Kim and
                  Jungrae Kim},
  title        = {{YOCO:} Unified and Efficient Memory Protection for High Bandwidth
                  Memory},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {37--38},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031395},
  doi          = {10.1109/ISOCC56007.2022.10031395},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK22a,
  author       = {Hyun{-}In Kim and
                  Jin{-}Ku Kang},
  title        = {A Low-Power Counter-based Digital {CDR}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {143--144},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031478},
  doi          = {10.1109/ISOCC56007.2022.10031478},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK22b,
  author       = {Jin{-}Ho Kim and
                  Jin{-}Ku Kang},
  title        = {A Wide-range Low Power Quarter Rate Single Loop {CDR}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {145--146},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031567},
  doi          = {10.1109/ISOCC56007.2022.10031567},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK22b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK22c,
  author       = {Hwan{-}Ung Kim and
                  Jin{-}Ku Kang},
  title        = {High-speed Serial Interface using {PWAM} Signaling Scheme},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {255--256},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031330},
  doi          = {10.1109/ISOCC56007.2022.10031330},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK22c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK22d,
  author       = {Daero Kim and
                  Jungrae Kim},
  title        = {Adaptive Granularity On-die {ECC}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {318--319},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031324},
  doi          = {10.1109/ISOCC56007.2022.10031324},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK22d.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKK22,
  author       = {Jaemyung Kim and
                  Jin{-}Ku Kang and
                  Yongwoo Kim},
  title        = {An {FPGA} Implementation of CNN-based Compression Artifact Reduction},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {95--96},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031558},
  doi          = {10.1109/ISOCC56007.2022.10031558},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLCYK22,
  author       = {Tae Hyun Kim and
                  Hyeonchan Lim and
                  Minho Cheong and
                  Hyojoon Yun and
                  Sungho Kang},
  title        = {Logic Diagnosis Based on Deep Learning for Multiple Faults},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {366--367},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031434},
  doi          = {10.1109/ISOCC56007.2022.10031434},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLCYK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLLBKPCCA22,
  author       = {Hyoung{-}Jung Kim and
                  Jae{-}Hyuk Lee and
                  Jae{-}Geun Lim and
                  Jun{-}Ho Boo and
                  Ho{-}Jin Kim and
                  Seong{-}Bo Park and
                  Youngdon Choi and
                  Jung{-}Hwan Choi and
                  Gil{-}Cho Ahn},
  title        = {A 430-MS/s 7-b Asynchronous {SAR} {ADC} With a 40 fF Input Sampling
                  Capacitor},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {235--236},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031358},
  doi          = {10.1109/ISOCC56007.2022.10031358},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLLBKPCCA22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLPK22,
  author       = {Jihye Kim and
                  Hayoung Lee and
                  Jongho Park and
                  Sungho Kang},
  title        = {{ZOS:} Zero Overhead Scan for Systolic Array-based {AI} accelerator},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {360--361},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031441},
  doi          = {10.1109/ISOCC56007.2022.10031441},
  timestamp    = {Sat, 20 Apr 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLPK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimMJ22,
  author       = {Da Won Kim and
                  Dalta Imam Maulana and
                  Wanyeong Jung},
  title        = {Kyber Accelerator on {FPGA} Using Energy-Efficient LUT-Based Barrett
                  Reduction},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {83--84},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031533},
  doi          = {10.1109/ISOCC56007.2022.10031533},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimMJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimML22,
  author       = {Jiwon Kim and
                  Seungsik Moon and
                  Youngjoo Lee},
  title        = {Hardware Analysis of Channel Estimation Method for IRS-Aided {MIMO}
                  Wireless Systems},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {99--100},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031321},
  doi          = {10.1109/ISOCC56007.2022.10031321},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimML22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP22,
  author       = {Taehwan Kim and
                  Jongsun Park},
  title        = {Source-Line Shared {SOT-MRAM} Cell for Energy Efficient Read Operation},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {3--4},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031535},
  doi          = {10.1109/ISOCC56007.2022.10031535},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP22a,
  author       = {Yeseul Kim and
                  Jongsun Park},
  title        = {Energy-Efficient {STT-MRAM} based Digital {PIM} supporting Vertical
                  Computations Using Sense Amplifier},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {9--10},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031290},
  doi          = {10.1109/ISOCC56007.2022.10031290},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP22b,
  author       = {Dongsu Kim and
                  Jongsun Park},
  title        = {Distributed Accumulation based Energy Efficient {STT-MRAM} based Digital
                  {PIM} Architecture},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {29--30},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031581},
  doi          = {10.1109/ISOCC56007.2022.10031581},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP22b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP22c,
  author       = {Minseo Kim and
                  Jongsun Park},
  title        = {High Detection Rate {BCH} Code with {CRC} Code for Memory Application},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {35--36},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031579},
  doi          = {10.1109/ISOCC56007.2022.10031579},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP22c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP22d,
  author       = {Joonhyung Kim and
                  Jongsun Park},
  title        = {The Quantitative Comparisons of Analog and Digital {SRAM} Compute-In-Memories
                  for Deep Neural Network Applications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {129--130},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031424},
  doi          = {10.1109/ISOCC56007.2022.10031424},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP22d.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimPL22,
  author       = {Ho Won Kim and
                  Hun Park and
                  Kang{-}Yoon Lee},
  title        = {Dual Band Wide Range {PLL} for IoT Application},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {113--114},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031442},
  doi          = {10.1109/ISOCC56007.2022.10031442},
  timestamp    = {Fri, 03 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimPL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimS22,
  author       = {Minjoon Kim and
                  Jaehyuk So},
  title        = {Design of State of Charge and Health Estimation for Li-ion Battery
                  Management System},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {322--323},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031465},
  doi          = {10.1109/ISOCC56007.2022.10031465},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimSH22,
  author       = {Minjoon Kim and
                  Jaehyuk So and
                  Taemin Hwang},
  title        = {Real-time Implementation of l -key Pose Estimation for Driver Behavior
                  Analysis},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {89--90},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031382},
  doi          = {10.1109/ISOCC56007.2022.10031382},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimSH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KishimotoT22,
  author       = {Yui Kishimoto and
                  Hiroyuki Torikai},
  title        = {Pitch-Shift Effects of an Ergodic Sequential Logic Nonlinear Cochlear
                  Model Induced by Three Tones},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {265--266},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031387},
  doi          = {10.1109/ISOCC56007.2022.10031387},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KishimotoT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KohH22,
  author       = {Jeongwook Koh and
                  Elmar Herzer},
  title        = {A Fully Differential Switched Capacitor Amplifier with a Two-Stage
                  Folded-Mesh Class {AB} Operational Amplifier in a 22 nm {FD-SOI} {CMOS}
                  Process},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {15--16},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031556},
  doi          = {10.1109/ISOCC56007.2022.10031556},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KohH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kok22,
  author       = {Chiang Liang Kok},
  title        = {A Novel Study on a 300{\textdegree}C, High Performance {LDO} Regulator
                  Using Silicon-On-Insulator Process for Extreme Drill Bit Application},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {286--289},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031521},
  doi          = {10.1109/ISOCC56007.2022.10031521},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kok22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KoyanagiU22,
  author       = {Yui Koyanagi and
                  Tomoaki Ukezono},
  title        = {An Extremely Light-Weight Countermeasure to Power Analysis Attack
                  in Dedicated Circuit for {AES}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {85--86},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031514},
  doi          = {10.1109/ISOCC56007.2022.10031514},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KoyanagiU22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KuoC22,
  author       = {Ko{-}Chi Kuo and
                  Hsiung{-}Yu Chi},
  title        = {A 8-bit 300MHz Domino Based Successive Approximation Register {ADC}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {47--48},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031346},
  doi          = {10.1109/ISOCC56007.2022.10031346},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KuoC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonKH22,
  author       = {Hyunjeong Kwon and
                  Youngsu Kwon and
                  Jinho Han},
  title        = {Backward Graph Construction and Lowering in {DL} Compiler for Model
                  Training on {AI} Accelerators},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {91--92},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031488},
  doi          = {10.1109/ISOCC56007.2022.10031488},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonKH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonP22,
  author       = {Jisu Kwon and
                  Daejin Park},
  title        = {Lightweighted AI-based Inference using Deterministic Randomness Compensation
                  for Microcontroller {ADC} Resolution Enhancement},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {368--369},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031497},
  doi          = {10.1109/ISOCC56007.2022.10031497},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonP22a,
  author       = {Nayoung Kwon and
                  Daejin Park},
  title        = {Lightweighted Shallow {CTS} Techniques for Checking Clock Tree Synthesizable
                  Paths in {RTL} Design Time},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {394--395},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031461},
  doi          = {10.1109/ISOCC56007.2022.10031461},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonP22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LaiCKH22,
  author       = {Yu{-}Kuen Lai and
                  Kai{-}Po Chang and
                  Xiu{-}Wen Ku and
                  Hsiang{-}Lun Hua},
  title        = {A Machine Learning Accelerator for DDoS Attack Detection and Classification
                  on {FPGA}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {181--182},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031506},
  doi          = {10.1109/ISOCC56007.2022.10031506},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LaiCKH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Lee22,
  author       = {Ealwan Lee},
  title        = {Tone-based Measurement of Excess Group Delay in Programmable Gain
                  Receiver Chains for {RF} Ranging},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {117--118},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031373},
  doi          = {10.1109/ISOCC56007.2022.10031373},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Lee22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeBK22,
  author       = {Donghui Lee and
                  Junhyuk Baik and
                  Yongtae Kim},
  title        = {An Accurate and Efficient Stochastic Computing Adder Exploiting Bit
                  Shuffle Control Scheme},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {51--52},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031549},
  doi          = {10.1109/ISOCC56007.2022.10031549},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeBK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeC22,
  author       = {Tzung{-}Je Lee and
                  Hung{-}Hsiang Chang},
  title        = {Fast-Transient {LDO} Regulator with RC-less Low-Impedance Buffer and
                  {PVT} Compensation},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {11--12},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031480},
  doi          = {10.1109/ISOCC56007.2022.10031480},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeILK22,
  author       = {Yun Seong Lee and
                  Yun Chan Im and
                  Hyunjin Lee and
                  Yong Sin Kim},
  title        = {Capless Low-Dropout Regulator with a Dual Feedback Loop and Voltage
                  Dampers},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {298--299},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031484},
  doi          = {10.1109/ISOCC56007.2022.10031484},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeILK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeJK22,
  author       = {Junghoon Lee and
                  Chang{-}Ryeol Jeon and
                  Suk{-}Ju Kang},
  title        = {Performance Comparison of Soiling Detection Using Anomaly Detection
                  Methodology},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {229--230},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031428},
  doi          = {10.1109/ISOCC56007.2022.10031428},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeJK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeJKPH22,
  author       = {Hui Dong Lee and
                  Seunghyun Jang and
                  Sunwoo Kong and
                  Bonghyuk Park and
                  Seok{-}Bong Hyun},
  title        = {A K-band {CMOS} Power Amplifier with 3-Bit Phase Shifting Characteristics},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {378--379},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031345},
  doi          = {10.1109/ISOCC56007.2022.10031345},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeJKPH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK22,
  author       = {Jiyoung Lee and
                  Youngmin Kim},
  title        = {Hybrid Assistive Circuit of {SRAM} for Improving Read and Write Noise
                  Margin in 3nm {CMOS}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {336--337},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031399},
  doi          = {10.1109/ISOCC56007.2022.10031399},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK22a,
  author       = {Sanghyun Lee and
                  Youngmin Kim},
  title        = {Low Power Ternary {XNOR} using 10T {SRAM} for In-Memory Computing},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {352--353},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031490},
  doi          = {10.1109/ISOCC56007.2022.10031490},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKILK22,
  author       = {Jiseong Lee and
                  Seung Soo Kwak and
                  Yun Chan Im and
                  Hyunjin Lee and
                  Yong Sin Kim},
  title        = {16 x 10 Pressure Sensor {CMOS} Driver {IC} for Resistance Interfence
                  Calibration of Cells},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {284--285},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031378},
  doi          = {10.1109/ISOCC56007.2022.10031378},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKILK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKP22,
  author       = {Hyeyeong Lee and
                  Joonhyung Kim and
                  Jongsun Park},
  title        = {{SRAM} Bit-line Boosting Circuit for Low Latency and Timing Aware
                  Read Operation},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {5--6},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031591},
  doi          = {10.1109/ISOCC56007.2022.10031591},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKPLKI22,
  author       = {Dongyoung Lee and
                  Kyungsu Kang and
                  Jongseong Park and
                  Byunghoon Lee and
                  Jinbeom Kim and
                  Jae{-}Woo Im},
  title        = {Toward Heterogeneous Virtual Platforms For Early {SW} Development},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {384--385},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031327},
  doi          = {10.1109/ISOCC56007.2022.10031327},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKPLKI22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLCLK22,
  author       = {Dongchan Lee and
                  Sanghyun Lee and
                  Mannhee Cho and
                  Hyung{-}Min Lee and
                  Youngmin Kim},
  title        = {Data extraction from flash memory and reverse engineering using Xilinx
                  7 series {FPGA} boards},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {330--331},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031296},
  doi          = {10.1109/ISOCC56007.2022.10031296},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLCLK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLYSK22,
  author       = {Sooryeong Lee and
                  Hayoung Lee and
                  Younwoo Yoo and
                  Seung Ho Shin and
                  Sungho Kang},
  title        = {{PROG:} Per-Row Output Generator for {BOST}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {23--24},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031319},
  doi          = {10.1109/ISOCC56007.2022.10031319},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLYSK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLYSK22a,
  author       = {Hayoung Lee and
                  Sooryeong Lee and
                  Younwoo Yoo and
                  Seung Ho Shin and
                  Sungho Kang},
  title        = {{FAME:} Fault Address Memory Structure for Repair Time Reduction},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {31--32},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031369},
  doi          = {10.1109/ISOCC56007.2022.10031369},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLYSK22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeP22,
  author       = {Kyungchul Lee and
                  Jongsun Park},
  title        = {Clipped Quantization Aware Training for Hardware Friendly Implementation
                  of Image Classification Networks},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {370--371},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031502},
  doi          = {10.1109/ISOCC56007.2022.10031502},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeePK22,
  author       = {Jeongho Lee and
                  Jungkeun Park and
                  Ki{-}Duk Kim},
  title        = {An Energy Efficient Finite State Machine Algorithm for Real-Time Asset
                  Monitoring and Tracking System},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {49--50},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031405},
  doi          = {10.1109/ISOCC56007.2022.10031405},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeePK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeT22,
  author       = {Tzung{-}Je Lee and
                  Kuo{-}Hsun Tu},
  title        = {Wide Dynamic Range Temperature Sensor Using High Sensitivity {PTAT}
                  Current Generator},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {17--18},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031523},
  doi          = {10.1109/ISOCC56007.2022.10031523},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeY22,
  author       = {Jaehyun Lee and
                  Jong{-}Hyeok Yoon},
  title        = {A Neuromorphic {SLAM} Accelerator Supporting Multi-Agent Error Correction
                  in Swarm Robotics},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {241--242},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031388},
  doi          = {10.1109/ISOCC56007.2022.10031388},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LewP22,
  author       = {Dongwoo Lew and
                  Jongsun Park},
  title        = {A Layer-wise Training and Pruning Method for Memory Efficient On-chip
                  Learning Hardware},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {97--98},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031376},
  doi          = {10.1109/ISOCC56007.2022.10031376},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LewP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Li22,
  author       = {Jin{-}Fu Li},
  title        = {Design and Test of Computing-In Memories},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {157--158},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031451},
  doi          = {10.1109/ISOCC56007.2022.10031451},
  timestamp    = {Tue, 17 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Li22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiHWW22,
  author       = {Zeyu Li and
                  Zhao Huang and
                  Junjie Wang and
                  Quan Wang},
  title        = {Investigate of Mitigation Solution against Hardware Trojans Attack
                  on Evolvable Hardware Platform},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {213--214},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031367},
  doi          = {10.1109/ISOCC56007.2022.10031367},
  timestamp    = {Tue, 14 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiHWW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiLM22,
  author       = {Qi Li and
                  Hengyi Li and
                  Lin Meng},
  title        = {{CNN} Acceleration based on Dynamic Pruning and FPGAs Implementation},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {205--206},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031291},
  doi          = {10.1109/ISOCC56007.2022.10031291},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiLM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiZM22,
  author       = {J. Li and
                  C. Zhao and
                  K. Man},
  title        = {Neuromorphic Hardware Based on Artificial Synaptic Devices},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {187--188},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031458},
  doi          = {10.1109/ISOCC56007.2022.10031458},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiZM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LimKPS22,
  author       = {Young Jun Lim and
                  Do Young Kim and
                  Joon Hyeon Park and
                  Myung Hoon Sunwoo},
  title        = {DNN-based Cancer Recurrence Predictor using {FPGA}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {362--363},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031602},
  doi          = {10.1109/ISOCC56007.2022.10031602},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LimKPS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LimYLK22,
  author       = {Hyeonchan Lim and
                  Hyojoon Yun and
                  Juyong Lee and
                  Sungho Kang},
  title        = {Cell-Aware Scan Diagnosis Using Partially Synchronous Set and Reset},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {121--122},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031435},
  doi          = {10.1109/ISOCC56007.2022.10031435},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LimYLK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LuGHCH22,
  author       = {Wei Lu and
                  Pei{-}Yu Ge and
                  Po{-}Tsang Huang and
                  Hung{-}Ming Chen and
                  Wei Hwang},
  title        = {Memory-Centric Fusion-based {CNN} Accelerator with 3D-NoC and 3D-DRAM},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {169--170},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031328},
  doi          = {10.1109/ISOCC56007.2022.10031328},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LuGHCH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LuLZK22,
  author       = {Yuncheng Lu and
                  Zehao Li and
                  Xin Zhang and
                  Tony Tae{-}Hyoung Kim},
  title        = {A Low-Power Gesture Recognition System utilizing Hybrid Tiny Classifiers},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {245--246},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031331},
  doi          = {10.1109/ISOCC56007.2022.10031331},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LuLZK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MaK22,
  author       = {Ke Ma and
                  Shinji Kimura},
  title        = {ApproxTorch: An Approximate Multiplier Evaluation Environment for
                  CNNs based on Pytorch},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {77--78},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031519},
  doi          = {10.1109/ISOCC56007.2022.10031519},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MaK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MakinoMMK22,
  author       = {Tatsumi Makino and
                  Yuu Miino and
                  Haruna Matsushita and
                  Takuji Kousaka},
  title        = {Computation of homoclinic points using particle swarm optimization
                  in 2-dimensional discrete dynamical systems},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {267--268},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031372},
  doi          = {10.1109/ISOCC56007.2022.10031372},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MakinoMMK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MatsudaKZNS22,
  author       = {Toshihiro Matsuda and
                  Yutaro Komiyama and
                  Wenqi Zhu and
                  Kien Nguyen and
                  Hiroo Sekiya},
  title        = {Maximum Efficiency Tracking for Wireless Power Transfer with Multiple
                  Receivers},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {227--228},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031460},
  doi          = {10.1109/ISOCC56007.2022.10031460},
  timestamp    = {Tue, 05 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/MatsudaKZNS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MatsuiNLW22,
  author       = {Yota Matsui and
                  Kisara Nakajima and
                  Weisen Luo and
                  Xiuqin Wei},
  title        = {Design of Class-EF\({}^{\mbox{2}}\) {WPT} System with Relay Coil},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {219--220},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031306},
  doi          = {10.1109/ISOCC56007.2022.10031306},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/MatsuiNLW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MinK22,
  author       = {Jeong Woo Min and
                  Jaeha Kim},
  title        = {{XSNN:} a System-Level Simulator for Spiking Neural Network with Neuron
                  Circuits and Synapse Devices},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {390--391},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031532},
  doi          = {10.1109/ISOCC56007.2022.10031532},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MinK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MoonKYK22,
  author       = {Junho Moon and
                  Sukwon Kang and
                  Dongyeol Yang and
                  Byung{-}Sung Kim},
  title        = {A Ka band {FMCW} Transmitter with a High Ratio Multiplier},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {109--110},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031347},
  doi          = {10.1109/ISOCC56007.2022.10031347},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MoonKYK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MounikaVL22,
  author       = {Phanidarapu Mounika and
                  Deeksha Verma and
                  Kang{-}Yoon Lee},
  title        = {An Improved Dynamic Latch Comparator with Low Power Consumption for
                  {SAR} {ADC} Applications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {43--44},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031425},
  doi          = {10.1109/ISOCC56007.2022.10031425},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MounikaVL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NabeshimaINKM22,
  author       = {Takumi Nabeshima and
                  Daisuke Ito and
                  Makoto Nakamura and
                  Takefumi Koyama and
                  Katsunori Muto},
  title        = {Electromagnetic Shielding Effectiveness of Sputtered Non-woven Noise
                  Suppression Sheet with Varied Air Gap},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {374--375},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031552},
  doi          = {10.1109/ISOCC56007.2022.10031552},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/NabeshimaINKM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NagazawaNST22,
  author       = {Ryuji Nagazawa and
                  Kien Nguyen and
                  Hiroo Sekiya and
                  Hiroyuki Torikai},
  title        = {Reduction of Processing Time for Wireless Spiking Neural Network Using
                  Wireless Communication Devices for IoT},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {278--279},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031564},
  doi          = {10.1109/ISOCC56007.2022.10031564},
  timestamp    = {Tue, 05 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/NagazawaNST22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NakamuraSUN22,
  author       = {Takuya Nakamura and
                  Ryosuke Shimizu and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Time Series Analysis with Noise-Mixing Effects Using Neural Networks},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {269--270},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031334},
  doi          = {10.1109/ISOCC56007.2022.10031334},
  timestamp    = {Fri, 04 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/NakamuraSUN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhJL22,
  author       = {Hyun Woo Oh and
                  Won Sik Jeong and
                  Seung Eun Lee},
  title        = {Evaluation of Posit Arithmetic on Machine Learning based on Approximate
                  Exponential Functions},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {358--359},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031524},
  doi          = {10.1109/ISOCC56007.2022.10031524},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OhJL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhPCCPLBLAH22,
  author       = {Young{-}Ju Oh and
                  Hyo{-}Jin Park and
                  Joo{-}Mi Cho and
                  Hyeon{-}Ji Choi and
                  Su{-}Min Park and
                  Chan{-}Ho Lee and
                  Esun Baik and
                  Chan{-}Kyu Lee and
                  Ho{-}Chan Ahn and
                  Sung{-}Wan Hong},
  title        = {A High Slew-rate Wide-range Capacitive Load Driving Buffer Amplifier
                  with Correlated Dual Positive Feedback Loops},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {231--232},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031525},
  doi          = {10.1109/ISOCC56007.2022.10031525},
  timestamp    = {Mon, 04 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/OhPCCPLBLAH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OkamotoITK22,
  author       = {Masanao Okamoto and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine},
  title        = {A Method for Implementing LSTM-Based Multiple-People Identification
                  System for Non-Contact Health Monitoring on Small-Scale {FPGA}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {55--56},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031374},
  doi          = {10.1109/ISOCC56007.2022.10031374},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/OkamotoITK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PamidimukkalaKKC22,
  author       = {Keerthana Pamidimukkala and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim and
                  Minsu Choi},
  title        = {Time-Efficient Approximate Stochastic Computing for Medical Imaging
                  Applications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {314--315},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031477},
  doi          = {10.1109/ISOCC56007.2022.10031477},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PamidimukkalaKKC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkC22,
  author       = {Hyunjun Park and
                  Woo{-}Seok Choi},
  title        = {Performance Variability Modeling of Analog Circuits Using Improved
                  Orthogonal Matching Pursuit},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {123--124},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031368},
  doi          = {10.1109/ISOCC56007.2022.10031368},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkC22a,
  author       = {Jia Park and
                  Woo{-}Seok Choi},
  title        = {Impact of Oscillator Phase Noise on Time-Domain {SNN} Performance},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {364--365},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031289},
  doi          = {10.1109/ISOCC56007.2022.10031289},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkC22a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkJSC22,
  author       = {Seongmin Park and
                  Gilsu Jeon and
                  Suwon Seong and
                  Yoonyoung Chung},
  title        = {2T Neuromorphic Device based on oxide semiconductor with High Linearity
                  and Symmetry for High-Precision Training},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {151--152},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031489},
  doi          = {10.1109/ISOCC56007.2022.10031489},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkJSC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkLJKWH22,
  author       = {Bonghyuk Park and
                  Hui Dong Lee and
                  Seunghyun Jang and
                  Sunwoo Kong and
                  Seung{-}Hun Wang and
                  Seok{-}Bong Hyun},
  title        = {A 28GHz-band integrated GaAs Power Amplifier for 5G Mobile Communications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {376--377},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031407},
  doi          = {10.1109/ISOCC56007.2022.10031407},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkLJKWH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkLLPK22,
  author       = {Jongho Park and
                  Sangjun Lee and
                  Inhwan Lee and
                  Sungwhan Park and
                  Sungho Kang},
  title        = {Correlation Aware Random Pattern Generation for Test Time and Shift
                  Power Reduction of Logic {BIST}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {53--54},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031357},
  doi          = {10.1109/ISOCC56007.2022.10031357},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkLLPK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkP22,
  author       = {Hyunchul Park and
                  Jongsun Park},
  title        = {Bit-Line Decoupled {SRAM} for Reducing Read Delays in Near Threshold
                  Voltage Operations},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {7--8},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031354},
  doi          = {10.1109/ISOCC56007.2022.10031354},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkWK22,
  author       = {Sang{-}Bo Park and
                  Go{-}Eun Woo and
                  HyungWon Kim},
  title        = {Design Optimization for Decimation Filter for High Performance Sigma-Delta
                  {ADC}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {348--349},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031411},
  doi          = {10.1109/ISOCC56007.2022.10031411},
  timestamp    = {Thu, 23 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkWK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PatnaikGGR22,
  author       = {Satwik Patnaik and
                  Vasudev Gohil and
                  Hao Guo and
                  Jeyavijayan (JV) Rajendran},
  title        = {Reinforcement Learning for Hardware Security: Opportunities, Developments,
                  and Challenges},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {217--218},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031569},
  doi          = {10.1109/ISOCC56007.2022.10031569},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PatnaikGGR22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PengYYCZ22,
  author       = {Lujie Peng and
                  Longke Yan and
                  Junyu Yang and
                  Zhiyi Chen and
                  Jun Zhou},
  title        = {A Robust and Lightweight Environmental Sound Classification Technique
                  with Adaptation to Microphone for AIoT Sound Sensing},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {239--240},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031599},
  doi          = {10.1109/ISOCC56007.2022.10031599},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PengYYCZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PhamDLT22,
  author       = {Thang Xuan Pham and
                  Phap Duong{-}Ngoc and
                  Hanho Lee and
                  Tuy Tan Nguyen},
  title        = {Low-Complexity Architecture of Finding First Four Minimum Values for
                  Non-binary {LDPC} Decoders},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {105--106},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031266},
  doi          = {10.1109/ISOCC56007.2022.10031266},
  timestamp    = {Thu, 29 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PhamDLT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RaguindinRPJ22,
  author       = {Evelyn Q. Raguindin and
                  Reibelle Q. Raguindin and
                  Mark Angelo C. Purio and
                  Ronnie O. Serfa Juan},
  title        = {A Morphological Image-based Recognition of Iron Triad using a Convolutional
                  Neural Network},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {69--70},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031544},
  doi          = {10.1109/ISOCC56007.2022.10031544},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/RaguindinRPJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RanaK22,
  author       = {Amrita Rana and
                  Kyung Ki Kim},
  title        = {Search-Efficient {NAS:} Neural Architecture Search for Classification},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {261--262},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031476},
  doi          = {10.1109/ISOCC56007.2022.10031476},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RanaK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RaoZW22,
  author       = {Chaolin Rao and
                  Yueyang Zheng and
                  Haochuan Wan},
  title        = {A Multi-precision Multiply-Accumulation Array},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {183--184},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031475},
  doi          = {10.1109/ISOCC56007.2022.10031475},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RaoZW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SaypadithDO22,
  author       = {Savath Saypadith and
                  Sunepha Detvongsa and
                  Takao Onoye},
  title        = {Joint Generative Network for Abnormal Event Detection in Surveillance
                  Videos},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {199--200},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031308},
  doi          = {10.1109/ISOCC56007.2022.10031308},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SaypadithDO22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeoCLKCYS22,
  author       = {Jeongbae Seo and
                  Shinbeom Choi and
                  Jaeik Lee and
                  Sekwang Kim and
                  Wooseong Cheong and
                  ByungChul Yoo and
                  Yong Ho Song},
  title        = {Enhancement of Emulation Usage for NVMe Solid State Drive},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {382--383},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031432},
  doi          = {10.1109/ISOCC56007.2022.10031432},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeoCLKCYS22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeokSLK22,
  author       = {Hyelin Seok and
                  Hyoju Seo and
                  Jungwon Lee and
                  Yongtae Kim},
  title        = {A Novel Efficient Approximate Adder Design using Single Input Pair
                  based Computation},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {57--58},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031341},
  doi          = {10.1109/ISOCC56007.2022.10031341},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeokSLK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SerranoSDHP22,
  author       = {Ronaldo Serrano and
                  Marco Sarmiento and
                  Ckristian Duran and
                  Trong{-}Thuc Hoang and
                  Cong{-}Kha Pham},
  title        = {A 3.65 Gb/s Area-Efficiency ChaCha20 Cryptocore},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {79--80},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031398},
  doi          = {10.1109/ISOCC56007.2022.10031398},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SerranoSDHP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShengXWZ22,
  author       = {Dian Sheng and
                  Rongxuan Xu and
                  Qinan Wang and
                  Chun Zhao},
  title        = {Spiking Neural Networks for digital hand-written number recognition},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {185--186},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031396},
  doi          = {10.1109/ISOCC56007.2022.10031396},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShengXWZ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShiMNKT22,
  author       = {Wanyin Shi and
                  Hiroki Matsumiya and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {Fusing Infrared and Visible Images for DNN-based Nighttime Human Detection},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {203--204},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031459},
  doi          = {10.1109/ISOCC56007.2022.10031459},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShiMNKT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimhadaNKT22,
  author       = {Tomoyasu Shimhada and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {Monocular Depth Estimation with Optical Flow Attention for Autonomous
                  Drones},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {197--198},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031420},
  doi          = {10.1109/ISOCC56007.2022.10031420},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimhadaNKT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimizuUN22,
  author       = {Ryosuke Shimizu and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Investigation of the Effect of Adding Random Noise to Noisy Biological
                  Signals on the Classification of Neural Network},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {271--272},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031479},
  doi          = {10.1109/ISOCC56007.2022.10031479},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimizuUN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimohaneITK22,
  author       = {Shungo Shimohane and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine},
  title        = {Memory-Access Optimization for Acceleration and Power Saving of FPGA-Based
                  Image Processing},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {338--339},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031293},
  doi          = {10.1109/ISOCC56007.2022.10031293},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimohaneITK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinCLLY22,
  author       = {Sungkyun Shin and
                  Soyeon Choi and
                  Eunchae Lee and
                  Songyeon Lee and
                  Hoyoung Yoo},
  title        = {Implementation of Aurora Interface using {SFP+} Transceiver},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {350--351},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031528},
  doi          = {10.1109/ISOCC56007.2022.10031528},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinCLLY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinKK22,
  author       = {Sang{-}Ung Shin and
                  Jin{-}Ku Kang and
                  Yongwoo Kim},
  title        = {A Design and Implementation of {MIPI} {A-PHY} {RTS} Layer},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {326--327},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031592},
  doi          = {10.1109/ISOCC56007.2022.10031592},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinKK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinL22,
  author       = {Taesu Shin and
                  Kibum Lee},
  title        = {The first study of 10nm-class backside defect using Co-Routine based
                  {ETL} in {DRAM}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {320--321},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031426},
  doi          = {10.1109/ISOCC56007.2022.10031426},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinLLYK22,
  author       = {Seung Ho Shin and
                  Hayoung Lee and
                  Sooryeong Lee and
                  Younwoo Yoo and
                  Sungho Kang},
  title        = {An Improved Early Termination Methodology Using Convolutional Neural
                  Network},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {21--22},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031485},
  doi          = {10.1109/ISOCC56007.2022.10031485},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinLLYK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShiomiT22,
  author       = {Yuta Shiomi and
                  Hiroyuki Torikai},
  title        = {A hardware-efficient ergodic sequential logic neuron network for brain
                  prosthetic {FPGA}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {276--277},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031304},
  doi          = {10.1109/ISOCC56007.2022.10031304},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShiomiT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShirafujiT22,
  author       = {Shogo Shirafuji and
                  Hiroyuki Torikai},
  title        = {A hardware-efficient sequential logic biochemical switch model toward
                  biosystem simulator},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {275},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031534},
  doi          = {10.1109/ISOCC56007.2022.10031534},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShirafujiT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SoK22,
  author       = {Jaehyeon So and
                  Jong Hwan Ko},
  title        = {An Efficient Systolic Array with Variable Data Precision and Dimension
                  Support},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {257--258},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031594},
  doi          = {10.1109/ISOCC56007.2022.10031594},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SoK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SonLBCU22,
  author       = {Min{-}Hyeong Son and
                  Young{-}Chan Lee and
                  Hyun{-}Min Baek and
                  Hyo{-}Jeong Choi and
                  Ji{-}Yong Um},
  title        = {A Programmable Gain Amplifier with Fast Transient Response for Medical
                  Ultrasound System},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {302--303},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031342},
  doi          = {10.1109/ISOCC56007.2022.10031342},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SonLBCU22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongC22,
  author       = {Joonghyun Song and
                  Woo{-}Seok Choi},
  title        = {A Highly Linear Digitally Controlled Delay Line with Reduced Duty
                  Cycle Distortion},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {398--399},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031551},
  doi          = {10.1109/ISOCC56007.2022.10031551},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongKHK22,
  author       = {Hyoseok Song and
                  Kwangmin Kim and
                  Changyoon Han and
                  Byungsub Kim},
  title        = {A Fast Eye Size Evaluation Method for High Speed Signal},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {396--397},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031352},
  doi          = {10.1109/ISOCC56007.2022.10031352},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongKHK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongKJ22,
  author       = {Yoonho Song and
                  Eunseo Kim and
                  Deog{-}Kyoon Jeong},
  title        = {Design of Energy Harvesting System with Piezoelectric Device for Onetime-High-Energy
                  Applications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {149--150},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031573},
  doi          = {10.1109/ISOCC56007.2022.10031573},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongKJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongKL22,
  author       = {Junho Song and
                  Minsu Kim and
                  Hyung{-}Min Lee},
  title        = {A Three-Level Boost Converter With Peak Current Mode Control for Flying
                  Capacitor Self-Balancing},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {300--301},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031505},
  doi          = {10.1109/ISOCC56007.2022.10031505},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongKL22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SuH22,
  author       = {Yung{-}Chuan Su and
                  Shi{-}Yu Huang},
  title        = {Just-Enough Strategy for Accurate Clock Jitter Measurement Using {A}
                  Cyclic Time-to-Digital Converter},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {45--46},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031384},
  doi          = {10.1109/ISOCC56007.2022.10031384},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SuH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SuhJ22,
  author       = {Jangwon Suh and
                  Wanyeong Jung},
  title        = {FACTGen: Framework for Automated Circuit Topology Generator},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {27--28},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031578},
  doi          = {10.1109/ISOCC56007.2022.10031578},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SuhJ22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TanCO22,
  author       = {Fern Nee Tan and
                  Li Wern Chew and
                  Ling Li Ong},
  title        = {Determining PCIe5 Jitter Margin using {SIPI} Co-Sim},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {125--126},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031312},
  doi          = {10.1109/ISOCC56007.2022.10031312},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TanCO22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TangWGH22,
  author       = {Shibo Tang and
                  Xingxin Wang and
                  Yifei Gao and
                  Wei Hu},
  title        = {Accelerating SoC Security Verification and Vulnerability Detection
                  Through Symbolic Execution},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {207--208},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031481},
  doi          = {10.1109/ISOCC56007.2022.10031481},
  timestamp    = {Sat, 11 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TangWGH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TangY22,
  author       = {Song{-}Nien Tang and
                  Chu{-}Ming Yen},
  title        = {Long-Length Accumulation Unit with Efficient Biasing for Binary Weight
                  CNNs},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {179--180},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031348},
  doi          = {10.1109/ISOCC56007.2022.10031348},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TangY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TeramuraITK22,
  author       = {Yuuki Teramura and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine},
  title        = {Smart Computational Resource Distribution System with Automatic Classification
                  Interface for {CPS}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {101--102},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031427},
  doi          = {10.1109/ISOCC56007.2022.10031427},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TeramuraITK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TomitaT22,
  author       = {Masashi Tomita and
                  Tadashi Tsubone},
  title        = {Multi-point search method for system identification based on chaotic
                  dynamics},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {273--274},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031501},
  doi          = {10.1109/ISOCC56007.2022.10031501},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TomitaT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TsaiW22,
  author       = {Wen{-}Hsin Tsai and
                  Kuei{-}Ann Wen},
  title        = {SoC Design for Mobile Real-time Badminton Stroke Classification Design},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {316--317},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031311},
  doi          = {10.1109/ISOCC56007.2022.10031311},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TsaiW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateN22,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Clustering in Globally Coupled Chaotic Circuits with Changing Weights},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {247--248},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031568},
  doi          = {10.1109/ISOCC56007.2022.10031568},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangCGD22,
  author       = {Yingfeng Wang and
                  Yi Sheng Chong and
                  Wang Ling Goh and
                  Anh Tuan Do},
  title        = {Noise-Aware and Lightweight {LSTM} for Keyword Spotting Applications},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {135--136},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031559},
  doi          = {10.1109/ISOCC56007.2022.10031559},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WangCGD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangDZUWP22,
  author       = {Bo Wang and
                  Ke Dong and
                  Nurul Akhira Binte Zakaria and
                  Mohit Upadhyay and
                  Weng{-}Fai Wong and
                  Li{-}Shiuan Peh},
  title        = {Network-on-Chip-Centric Accelerator Architectures for Edge {AI} Computing},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {243--244},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031356},
  doi          = {10.1109/ISOCC56007.2022.10031356},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/WangDZUWP22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangFXXWWC22,
  author       = {Aoran Wang and
                  Jie Fang and
                  Yinan Xu and
                  Yihu Xu and
                  Yubing Wang and
                  Yujing Wu and
                  Jin{-}Gyun Chung},
  title        = {Anomaly information detection and fault tolerance control method for
                  {CAN-FD} bus network},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {308--309},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031520},
  doi          = {10.1109/ISOCC56007.2022.10031520},
  timestamp    = {Mon, 19 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WangFXXWWC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangMWXTM22,
  author       = {Kangshi Wang and
                  Jieming Ma and
                  Jingyi Wang and
                  Bo Xu and
                  Yifan Tao and
                  Ka Lok Man},
  title        = {Digital Twin based Maximum Power Point Estimation for Photovoltaic
                  Systems},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {189--190},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031522},
  doi          = {10.1109/ISOCC56007.2022.10031522},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WangMWXTM22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangTH22,
  author       = {Xingxin Wang and
                  Shibo Tang and
                  Wei Hu},
  title        = {Towards Automatic Property Generation for SoC Security Verification},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {209--210},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031448},
  doi          = {10.1109/ISOCC56007.2022.10031448},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WangTH22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WuPC22,
  author       = {Hsin{-}Tzu Wu and
                  Hsin{-}Yi Pai and
                  Wei{-}Kai Cheng},
  title        = {Layer-wise Exploration of Synaptic Array and Weight Mapping on Heterogeneous
                  Tile-based {RRAM} {CIM} Architecture},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {163--164},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031543},
  doi          = {10.1109/ISOCC56007.2022.10031543},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WuPC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XuanLY22,
  author       = {Zhou Yu Xuan and
                  Ching{-}Jui Lee and
                  Tsung Tai Yeh},
  title        = {Lego: Dynamic Tensor-Splitting Multi-Tenant {DNN} Models on Multi-Chip-Module
                  Architecture},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {173--174},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031596},
  doi          = {10.1109/ISOCC56007.2022.10031596},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/XuanLY22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YamashitaUN22,
  author       = {Kiichi Yamashita and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronization Phenomena of Coupled Oscillators with Node and Edge
                  Weights in Two-Dimensional Complex Networks},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {280--281},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031295},
  doi          = {10.1109/ISOCC56007.2022.10031295},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YamashitaUN22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangCC22,
  author       = {Yoojeong Yang and
                  Dain Chon and
                  Woong Choi},
  title        = {Hiding Precharge Operation For Improved {SRAM} Cycle Time},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {33--34},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031318},
  doi          = {10.1109/ISOCC56007.2022.10031318},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangCC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooC22,
  author       = {Hyojun Yoo and
                  Hyouk{-}Kyu Cha},
  title        = {A Biopotential Amplifier {IC} with Active Common-Mode Cancellation
                  for Closed-Loop Neural Interfaces},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {310--311},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031542},
  doi          = {10.1109/ISOCC56007.2022.10031542},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YunC22,
  author       = {Dong{-}Kil Yun and
                  Jung{-}Hoon Chun},
  title        = {{STT-MRAM} Read and Write Circuit for High Reliability and Power Efficiency},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {290--291},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031601},
  doi          = {10.1109/ISOCC56007.2022.10031601},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YunC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZangGLLD22,
  author       = {Qibang Zang and
                  Wang Ling Goh and
                  Fei Li and
                  Lu Lu and
                  Anh Tuan Do},
  title        = {Temperature Compensation on SRAM-Based Computation in Memory Array},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031292},
  doi          = {10.1109/ISOCC56007.2022.10031292},
  timestamp    = {Sat, 22 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZangGLLD22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangPQZFZC22,
  author       = {Yuan Zhang and
                  Lele Peng and
                  Lianghua Quan and
                  Shubin Zheng and
                  Qiufeng Feng and
                  Yonggang Zhang and
                  Hui Chen},
  title        = {\({}^{\mbox{2}}\)b-sigmoid and \({}^{\mbox{2}}\)b-tanh: Low Hardware
                  Complexity Activation Functions for {LSTM}},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {93--94},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031500},
  doi          = {10.1109/ISOCC56007.2022.10031500},
  timestamp    = {Sun, 06 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangPQZFZC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangZZC22,
  author       = {Yongzhen Zhang and
                  Yuan Zhang and
                  Yonggang Zhang and
                  Hui Chen},
  title        = {Low-Complexity High-Performance Method for Calculating Arbitrary Logarithm
                  Function},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {63--64},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031359},
  doi          = {10.1109/ISOCC56007.2022.10031359},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangZZC22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZoppoMCKYPW22,
  author       = {Gianluca Zoppo and
                  Francesco Marrone and
                  Fernando Corinto and
                  Anil Korkmaz and
                  Su{-}In Yi and
                  Samuel Palermo and
                  R. Stanley Williams},
  title        = {A Mathematical Analysis of Wire Resistance Problem in Memristor Crossbars},
  booktitle    = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  pages        = {249--250},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022.10031574},
  doi          = {10.1109/ISOCC56007.2022.10031574},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZoppoMCKYPW22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isocc/2022,
  title        = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si,
                  Republic of Korea, October 19-22, 2022},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/ISOCC56007.2022},
  doi          = {10.1109/ISOCC56007.2022},
  isbn         = {978-1-6654-5971-6},
  timestamp    = {Wed, 15 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/2022.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbbasS21,
  author       = {Waseem Abbas and
                  Munkyo Seo},
  title        = {A Gain Boosted Single-Ended 300 GHz InP {HBT} Oscillator for Terahertz
                  Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {407--408},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613895},
  doi          = {10.1109/ISOCC53507.2021.9613895},
  timestamp    = {Mon, 06 Dec 2021 17:33:24 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AbbasS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbuShawishM21,
  author       = {Israa Y. AbuShawish and
                  Soliman A. Mahmoud},
  title        = {Two Stage {CMOS} Bio-medical Amplifier Based on a highly Linear T{\(\Omega\)}
                  Pseudo-Resistor},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {143--144},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613973},
  doi          = {10.1109/ISOCC53507.2021.9613973},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AbuShawishM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbuShawishM21a,
  author       = {Israa Y. AbuShawish and
                  Soliman A. Mahmoud},
  title        = {Digitally Programmable Gain and Tunable Band-Width {DPOTA} based Bio-medical
                  Amplifier},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {147--148},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613859},
  doi          = {10.1109/ISOCC53507.2021.9613859},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AbuShawishM21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AjayR21,
  author       = {B. S. Ajay and
                  Madhav Rao},
  title        = {Design of emotion recognition system using neuromorphic computing
                  technique},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {355--356},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614009},
  doi          = {10.1109/ISOCC53507.2021.9614009},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AjayR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AokiKUHA21,
  author       = {Satoshi Aoki and
                  Takuji Kousaka and
                  Shota Uchino and
                  Daiki Hozumi and
                  Hiroyuki Asahara},
  title        = {An Estimation Method for Controlling Unstable Periodic Orbit Without
                  Using Poincar{\'{e}} Map},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {177--178},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613850},
  doi          = {10.1109/ISOCC53507.2021.9613850},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AokiKUHA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AsgharJKAS21,
  author       = {Malik Summair Asghar and
                  Muhammad Junaid and
                  HyungWon Kim and
                  Saad Arslan and
                  Syed Asmat Ali Shah},
  title        = {A Digitally Controlled Analog kernel for Convolutional Neural Networks},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {242--243},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613851},
  doi          = {10.1109/ISOCC53507.2021.9613851},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AsgharJKAS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AvitabileMF21,
  author       = {Gianfranco Avitabile and
                  Ka Lok Man and
                  Antonello Florio},
  title        = {Power Consumption Analysis of a Fractional Approach to BANs Time Synchronization},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {189--190},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613945},
  doi          = {10.1109/ISOCC53507.2021.9613945},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AvitabileMF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BhargavPR21,
  author       = {K. J. N. S. Bhargav and
                  Sairam Palisetti and
                  Madhav Rao},
  title        = {A newton raphson method based approximate divider design for color
                  quantization application},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {115--116},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613961},
  doi          = {10.1109/ISOCC53507.2021.9613961},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BhargavPR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChaeKCP21,
  author       = {Changseon Chae and
                  Subin Kim and
                  Jonghang Choi and
                  Jun{-}Eun Park},
  title        = {A Multi-Bit In-Memory-Computing {SRAM} Macro Using Column-Wise Charge
                  Redistribution for {DNN} Inference in Edge Computing Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {421--422},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613934},
  doi          = {10.1109/ISOCC53507.2021.9613934},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChaeKCP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangLZZTLZ21,
  author       = {Liang Chang and
                  Chenglong Li and
                  Xin Zhao and
                  Zixuan Zhu and
                  Yi Tong and
                  Shuisheng Lin and
                  Jun Zhou},
  title        = {Trend of Emerging Non-Volatile Memory for {AI} Processor},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {223--224},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613905},
  doi          = {10.1109/ISOCC53507.2021.9613905},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangLZZTLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangN21,
  author       = {Joseph Chang and
                  Truong Q. Nguyen},
  title        = {Enhanced Depth Map Estimation in Low Light Conditions for {RGB} Cameras},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {21--22},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613968},
  doi          = {10.1109/ISOCC53507.2021.9613968},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenC21,
  author       = {Kun{-}Chih Jimmy Chen and
                  Cheng{-}Ting Chen},
  title        = {High-accuracy and Low-latency Hybrid Stochastic Computing for Artificial
                  Neural Network},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {254--255},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613856},
  doi          = {10.1109/ISOCC53507.2021.9613856},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenCHHJ21,
  author       = {Yu{-}Guang Chen and
                  Hung{-}Yi Chiang and
                  Chi{-}Wei Hsu and
                  Tsung{-}Han Hsieh and
                  Jing{-}Yang Jou},
  title        = {A Reconfigurable Accelerator Design for Quantized Depthwise Separable
                  Convolutions},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {290--291},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613976},
  doi          = {10.1109/ISOCC53507.2021.9613976},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenCHHJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenHQLY21,
  author       = {Mingyi Chen and
                  Yuzhi Hao and
                  Liang Qi and
                  Yongfu Li and
                  Jun Yan},
  title        = {Implement Tunable Sub-T{\(\Omega\)} On-chip Resistor for Vital Signal
                  Acquisition: {A} Review},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {280--281},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613917},
  doi          = {10.1109/ISOCC53507.2021.9613917},
  timestamp    = {Fri, 19 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenHQLY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenNCCCCLLJ21,
  author       = {Hung{-}Ming Chen and
                  Cheng{-}En Ni and
                  Kang{-}Yu Chang and
                  Tzu{-}Chieh Chiang and
                  Shih{-}Han Chang and
                  Cheng{-}Yu Chiang and
                  Bo{-}Cheng Lai and
                  Chien{-}Nan Liu and
                  Shyh{-}Jye Jou},
  title        = {On Reconfiguring Memory-Centric {AI} Edge Devices for {CIM}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {262--263},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613893},
  doi          = {10.1109/ISOCC53507.2021.9613893},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenNCCCCLLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChengDLF21,
  author       = {Tong Cheng and
                  Haoyu Du and
                  Li Li and
                  Yuxiang Fu},
  title        = {LSTM-based Temperature Prediction and Hotspot Tracking for Thermal-aware
                  3D NoC System},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {286--287},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613862},
  doi          = {10.1109/ISOCC53507.2021.9613862},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChengDLF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Choi21,
  author       = {Woo{-}Young Choi},
  title        = {Digital Controller Implementation of Grid-Tied Zeta Inverter Using
                  16-bits Microcontroller},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {329--330},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613988},
  doi          = {10.1109/ISOCC53507.2021.9613988},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Choi21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiC21,
  author       = {Donghoon Choi and
                  Hyouk{-}Kyu Cha},
  title        = {A Low-Power Low-Noise Neural Signal Acquisition Amplifier with Tolerance
                  to Large Stimulation Artifacts},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {325--326},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613987},
  doi          = {10.1109/ISOCC53507.2021.9613987},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiCKK21,
  author       = {Minjeong Choi and
                  Youngchang Choi and
                  Sunmean Kim and
                  Seokhyeong Kang},
  title        = {Ternary Sense Amplifier Design for Ternary {SRAM}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {151--152},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613911},
  doi          = {10.1109/ISOCC53507.2021.9613911},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiCKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiIY21,
  author       = {Soyeon Choi and
                  Nari Im and
                  Hoyoung Yoo},
  title        = {{FPGA} Design Duplication based on the Bitstream Extraction},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {373--374},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613991},
  doi          = {10.1109/ISOCC53507.2021.9613991},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiIY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiK21,
  author       = {Dahun Choi and
                  Hyun Kim},
  title        = {Hardware-friendly Log-scale Quantization for CNNs with Activation
                  Functions Containing Negative Values},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {415--416},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613921},
  doi          = {10.1109/ISOCC53507.2021.9613921},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKHM21,
  author       = {Cheol{-}Ho Choi and
                  Younghyeon Kim and
                  Jiseok Ha and
                  Byungin Moon},
  title        = {Haar Filter Hardware Architecture for the Accuracy Improvement of
                  Stereo Vision Systems},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {401--402},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614032},
  doi          = {10.1109/ISOCC53507.2021.9614032},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKHM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKLPI21,
  author       = {Jungyun Choi and
                  Kyungsu Kang and
                  Byunghoon Lee and
                  Sangho Park and
                  Jae{-}Woo Im},
  title        = {Early {HW/SW} Co-Verification Using Virtual Platforms},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613958},
  doi          = {10.1109/ISOCC53507.2021.9613958},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKLPI21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiRLPLHJ21,
  author       = {Hong{-}Seok Choi and
                  Seungha Roh and
                  Sanghee Lee and
                  Jung{-}Hoon Park and
                  Kwanghoon Lee and
                  Young{-}Ha Hwang and
                  Deog{-}Kyoon Jeong},
  title        = {A 6b 48-GS/s Asynchronous 2b/cycle Time-Interleaved {ADC} in 28-nm
                  {CMOS}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {127--128},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613967},
  doi          = {10.1109/ISOCC53507.2021.9613967},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiRLPLHJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiYKS21,
  author       = {Wooyoung Choi and
                  Seung{-}Myeong Yu and
                  Yunha Kang and
                  Junyoung Song},
  title        = {Digital {LDO} with reference-less adaptive {CLK} generation and bit-shifting
                  Coarse-Fine-control},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {79--80},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614003},
  doi          = {10.1109/ISOCC53507.2021.9614003},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiYKS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChungBC21,
  author       = {Keun{-}Yong Chung and
                  Kwang{-}Hyun Baek and
                  Bo{-}Kyong Choi},
  title        = {A {SAR} {ADC} with Segment Binary Weighted Attenuation Capacitor {DAC}
                  layout technique},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {389--390},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614025},
  doi          = {10.1109/ISOCC53507.2021.9614025},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChungBC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChungLC21,
  author       = {Ming{-}Jie Chung and
                  Chih{-}Lun Lo and
                  Po{-}Hung Chen},
  title        = {A Single-Inductor Triple-Source Energy Harvesting Interface for Batterty-Assisted
                  IoT Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {63--64},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613848},
  doi          = {10.1109/ISOCC53507.2021.9613848},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChungLC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DangbaBKSCB21,
  author       = {Hanh Dangba and
                  Ngoc Thang Bui and
                  Hae{-}Jin Kim and
                  Jun{-}hee Song and
                  Chaiyoon Chung and
                  Gyung{-}Su Byun},
  title        = {A High-speed Wireless Data Transfer for Non - Destructive Testing},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {315--316},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613878},
  doi          = {10.1109/ISOCC53507.2021.9613878},
  timestamp    = {Thu, 06 Jun 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DangbaBKSCB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Duong-NgocTL21,
  author       = {Phap Duong{-}Ngoc and
                  Tuy Nguyen Tan and
                  Hanho Lee},
  title        = {Configurable Butterfly Unit Architecture for {NTT/INTT} in Homomorphic
                  Encryption},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {345--346},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614034},
  doi          = {10.1109/ISOCC53507.2021.9614034},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Duong-NgocTL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FengBMVA21,
  author       = {Jun Feng and
                  Mohammadreza Beikmirza and
                  Mohammadreza Mehrpoo and
                  Leo C. N. de Vreede and
                  Morteza S. Alavi},
  title        = {A Versatile and Efficient 0.1-to-11 Gb/s {CML} Transmitter in 40-nm
                  {CMOS}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {41--42},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613887},
  doi          = {10.1109/ISOCC53507.2021.9613887},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/FengBMVA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FlorioA21,
  author       = {Antonello Florio and
                  Gianfranco Avitabile},
  title        = {A Linear Array Mutual Coupling Compensation Technique for Angle of
                  Arrival Estimation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {193--194},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614022},
  doi          = {10.1109/ISOCC53507.2021.9614022},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/FlorioA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GuYYC21,
  author       = {Ming Gu and
                  Fang Yuan and
                  Jun Yan and
                  Mingyi Chen},
  title        = {High-speed EEG-Based Brain-Computer Interface with Wide Dynamic-range
                  {ADC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {278--279},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613936},
  doi          = {10.1109/ISOCC53507.2021.9613936},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/GuYYC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GuoCLF21,
  author       = {Menghao Guo and
                  Tong Cheng and
                  Li Li and
                  Yuxiang Fu},
  title        = {Optimized Method for Thermal Tracking in 3D NoC Systems by Using {ANN}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {111--112},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614010},
  doi          = {10.1109/ISOCC53507.2021.9614010},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/GuoCLF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GuoSM21,
  author       = {Mingqiang Guo and
                  Sai{-}Weng Sin and
                  Rui Paulo Martins},
  title        = {Background Timing-Skew Mismatch Calibration for Time-Interleaved ADCs},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {248--249},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613935},
  doi          = {10.1109/ISOCC53507.2021.9613935},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/GuoSM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanLLK21,
  author       = {Donghyun Han and
                  Youngkwang Lee and
                  Sooryeong Lee and
                  Sungho Kang},
  title        = {Hardware Efficient Built-in Self-test Architecture for Power and Ground
                  TSVs in 3D {IC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {101--102},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613959},
  doi          = {10.1109/ISOCC53507.2021.9613959},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HanLLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeCKK21,
  author       = {Yixuan He and
                  Minsu Choi and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {A Time-Domain Computing-In-Memory Micro using Ring Oscillator},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {107--108},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613954},
  doi          = {10.1109/ISOCC53507.2021.9613954},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeCKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HoWZNA21,
  author       = {Chi{-}Jui Ho and
                  Yiqian Wang and
                  Junkang Zhang and
                  Truong Q. Nguyen and
                  Cheolhong An},
  title        = {A Convolutional Neural Network Pipeline For Multi-Temporal Retinal
                  Image Registration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {27--28},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613906},
  doi          = {10.1109/ISOCC53507.2021.9613906},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HoWZNA21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongKKJ21,
  author       = {Jiwoo Hong and
                  Sunghoon Kim and
                  Jaeha Kim and
                  Dongsuk Jeon},
  title        = {Fast Automatic Circuit Optimization Using Deep Learning},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {207--210},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613943},
  doi          = {10.1109/ISOCC53507.2021.9613943},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongKKJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongMMWW21,
  author       = {Dou Hong and
                  Jieming Ma and
                  Ka Lok Man and
                  Huiqing Wen and
                  Prudence W. H. Wong},
  title        = {Real-Time Characteristics Identification for Partial Shaded Photovoltaic
                  Strings},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {185--186},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613983},
  doi          = {10.1109/ISOCC53507.2021.9613983},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongMMWW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuangCSBHDDW21,
  author       = {Yimin Huang and
                  Kai Chen and
                  Zhuang Shao and
                  Yichuan Bai and
                  Yafeng Huang and
                  Yuan Du and
                  Li Du and
                  Zhongfeng Wang},
  title        = {{LSMQ:} {A} Layer-Wise Sensitivity-Based Mixed-Precision Quantization
                  Method for Bit-Flexible {CNN} Accelerator},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {256--257},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613969},
  doi          = {10.1109/ISOCC53507.2021.9613969},
  timestamp    = {Wed, 07 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HuangCSBHDDW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuangLLCZ21,
  author       = {Kun Huang and
                  Jingyuan Li and
                  Ye Liu and
                  Liang Chang and
                  Jun Zhou},
  title        = {A Survey on Feature Point Extraction Techniques},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {201--202},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613858},
  doi          = {10.1109/ISOCC53507.2021.9613858},
  timestamp    = {Tue, 31 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HuangLLCZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuangLLLH21,
  author       = {Po{-}Tsang Huang and
                  Ting{-}Wei Liu and
                  Wei Lu and
                  Yu{-}Hsien Lin and
                  Wei Hwang},
  title        = {An Energy-Efficient Ring-Based {CIM} Accelerator using High-Linearity
                  eNVM for Deep Neural Networks},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {260--261},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613978},
  doi          = {10.1109/ISOCC53507.2021.9613978},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HuangLLLH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HwangK21,
  author       = {Jin{-}Young Hwang and
                  Kee{-}Won Kwon},
  title        = {A Non-linear Input Converter Inversely Pre-distorted Against Nonlinear
                  Behavior of FG-based Neuromorphic Synaptic Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {409--410},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614035},
  doi          = {10.1109/ISOCC53507.2021.9614035},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HwangK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HyunML21,
  author       = {Jin Hyun and
                  Seungsik Moon and
                  Youngjoo Lee},
  title        = {Low-Complexity Voice Activity Detection Algorithm for Edge-Level Device},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {25--26},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614000},
  doi          = {10.1109/ISOCC53507.2021.9614000},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HyunML21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ImK21,
  author       = {Jaekyung Im and
                  Seokhyeong Kang},
  title        = {Comparative Analysis between Verilog and Chisel in {RISC-V} Core Design
                  and Verification},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {59--60},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614007},
  doi          = {10.1109/ISOCC53507.2021.9614007},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ImK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IsozakiUN21,
  author       = {Tsuyoshi Isozaki and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Information Transmission Focusing on Complex Networks Consisting of
                  Oscillators},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {181--182},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614020},
  doi          = {10.1109/ISOCC53507.2021.9614020},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/IsozakiUN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Jain21,
  author       = {Ankesh Jain},
  title        = {High speed Continuous-time Delta Sigma Modulators for Wide-band Applications:
                  {A} review paper},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {250--251},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613952},
  doi          = {10.1109/ISOCC53507.2021.9613952},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Jain21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangWKK21,
  author       = {Seokjun Jang and
                  Hyungil Woo and
                  Sunghoon Kim and
                  Sungho Kang},
  title        = {Secure Scan Design through Pseudo Fault Injection},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {425--426},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614012},
  doi          = {10.1109/ISOCC53507.2021.9614012},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JangWKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeonMPRMK21,
  author       = {Inho Jeon and
                  Kyounghyun Min and
                  Jinwoo Park and
                  Jeongjin Roh and
                  Deok{-}Ju Moon and
                  Hyoung{-}Rae Kim},
  title        = {A Constant On-Time Buck Converter with Fully Integrated Average Current
                  Sensing Scheme},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {294--295},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613849},
  doi          = {10.1109/ISOCC53507.2021.9613849},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeonMPRMK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongR21,
  author       = {Min{-}Wu Jeong and
                  Chae{-}Eun Rhee},
  title        = {Fusion for Tile-based Deconvolution Layers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {423--424},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613947},
  doi          = {10.1109/ISOCC53507.2021.9613947},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinDN21,
  author       = {Shiwei Jin and
                  Ji Dai and
                  Truong Q. Nguyen},
  title        = {Differential Gaze Estimation with Ocular Counter-Rolling Compensation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {23--24},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613915},
  doi          = {10.1109/ISOCC53507.2021.9613915},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinDN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinKCK21,
  author       = {Shiyi Jin and
                  Yeonjin Kim and
                  Jin{-}Gyun Chung and
                  Yongen Kim},
  title        = {{CAN} Data Compression Based on Sorting and Mapping Method},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {327--328},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613985},
  doi          = {10.1109/ISOCC53507.2021.9613985},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinKCK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinKCLRCK21,
  author       = {Junghoon Jin and
                  Seungjun Kim and
                  Sunguk Choi and
                  Pil{-}Ho Lee and
                  Sang{-}jae Rhee and
                  Ki{-}hwan Choi and
                  Jongsun Kim},
  title        = {A 7.68 GHz Fast-Lock Low-Jitter Digital {MDLL}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {311--312},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613940},
  doi          = {10.1109/ISOCC53507.2021.9613940},
  timestamp    = {Sun, 12 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinKCLRCK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JooK21,
  author       = {Hwan{-}Jin Joo and
                  Kee{-}Won Kwon},
  title        = {Binary/Ternary Vector Matrix Multiplier with 3T-2R {CBRAM} Cell},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {73--74},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613884},
  doi          = {10.1109/ISOCC53507.2021.9613884},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JooK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JooYCKLSYKK21,
  author       = {Jinwon Joo and
                  Minyong Yoon and
                  Jungwook Choi and
                  Mingu Kang and
                  Jong{-}Geon Lee and
                  Jinin So and
                  IlKwon Yun and
                  Yongsuk Kwon and
                  KyungSoo Kim},
  title        = {Understanding and Reducing Weight-Load Overhead of Systolic Deep Learning
                  Accelerators},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {413--414},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613929},
  doi          = {10.1109/ISOCC53507.2021.9613929},
  timestamp    = {Wed, 01 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JooYCKLSYKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungJJ21,
  author       = {Hoyong Jung and
                  Neungin Jeon and
                  Young{-}Chan Jang},
  title        = {Second-order Noise Shaping {SAR} {ADC} using 3-input Comparator with
                  Voltage Gain Calibration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {123--124},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614011},
  doi          = {10.1109/ISOCC53507.2021.9614011},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungJJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KangS21,
  author       = {Yunha Kang and
                  Junyoung Song},
  title        = {A 20-Gb/s Digitally Adaptive Linear Equalizer with 25dB loss for Single-ended
                  Interfaces in 65nm {CMOS}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {155--156},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613998},
  doi          = {10.1109/ISOCC53507.2021.9613998},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KangS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KawaminamiY21,
  author       = {Shoki Kawaminami and
                  Shigeru Yamashita},
  title        = {Triple-Rail Stochastic Number and Its Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {161--162},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613953},
  doi          = {10.1109/ISOCC53507.2021.9613953},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KawaminamiY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kim21,
  author       = {Hyun Kim},
  title        = {Implementation of Optimal {CNN} Accelerators for Mobile Devices: Algorithm,
                  Architecture, and Memory System Co-Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {237--238},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613926},
  doi          = {10.1109/ISOCC53507.2021.9613926},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kim21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK21,
  author       = {Aeri Kim and
                  Seokhyeong Kang},
  title        = {Data Protection Method for Flash Memory in Serial Peripheral Interface},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {117--118},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613871},
  doi          = {10.1109/ISOCC53507.2021.9613871},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKK21,
  author       = {Hyunju Kim and
                  Hyungtak Kim and
                  Youngmin Kim},
  title        = {Low Power High Performance Match Line Design of Content Addressable
                  Memory},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {347--348},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614014},
  doi          = {10.1109/ISOCC53507.2021.9614014},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimL21,
  author       = {Ho Won Kim and
                  Kang{-}Yoon Lee},
  title        = {Design of Multiplying Delay Locked Loop that prevents Harmonic Lock
                  and is insensitive to {PVT} Variation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {33--34},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613946},
  doi          = {10.1109/ISOCC53507.2021.9613946},
  timestamp    = {Fri, 03 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimL21a,
  author       = {David Kim and
                  Kang{-}Yoon Lee},
  title        = {A Design of High Power {SP7T} and {SP8T} {RF} Switches using {SOI}
                  {CMOS} Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {35--36},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613907},
  doi          = {10.1109/ISOCC53507.2021.9613907},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimL21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP21,
  author       = {Dongsu Kim and
                  Jongsun Park},
  title        = {Low Energy and Error Resilient {SOT-MRAM} based {FPGA} {LUT} Cell},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {77--78},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613950},
  doi          = {10.1109/ISOCC53507.2021.9613950},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP21a,
  author       = {Joonhyung Kim and
                  Jongsun Park},
  title        = {A Charge-domain 10T {SRAM} based In-Memory-Computing Macro for Low
                  Energy and Highly Accurate {DNN} inference},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {89--90},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613938},
  doi          = {10.1109/ISOCC53507.2021.9613938},
  timestamp    = {Mon, 15 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP21b,
  author       = {Minseo Kim and
                  Jongsun Park},
  title        = {Short Word-Line Pulse with Fast Bit-Line Boosting For High Throughput
                  6T SRAM-based Compute In-memory Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {103--104},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613869},
  doi          = {10.1109/ISOCC53507.2021.9613869},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP21b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimPK21,
  author       = {Seyoung Kim and
                  Heechun Park and
                  Jaeha Kim},
  title        = {Safety Verification of {AMS} Circuits with Piecewise-Linear System
                  Reachability Analysis},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {203--206},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614029},
  doi          = {10.1109/ISOCC53507.2021.9614029},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimPK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimPLJ21,
  author       = {Jinyeon Kim and
                  Jonghee Park and
                  Sang{-}Seol Lee and
                  Sung{-}Joon Jang},
  title        = {Object Detection Network Robust to Local Illumination Variations},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {87--88},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613974},
  doi          = {10.1109/ISOCC53507.2021.9613974},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimPLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KoKK21,
  author       = {Kyeong{-}Min Ko and
                  Dohyeon Kwon and
                  Jin{-}Ku Kang},
  title        = {Design of 20Gb/s {PAM4} Transmitter with Maximum Transition Elimination
                  and Transition Compensation Techniques},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {405--406},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613901},
  doi          = {10.1109/ISOCC53507.2021.9613901},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KoKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KoLLJ21,
  author       = {Dong Han Ko and
                  Sehee Lim and
                  Young Kyu Lee and
                  Seong{-}Ook Jung},
  title        = {High Performance and Area Efficient Ferroelectric {FET} based Reconfigurable
                  Logic Circuit},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {321--322},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613886},
  doi          = {10.1109/ISOCC53507.2021.9613886},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KoLLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KomiyamaMZNS21,
  author       = {Yutaro Komiyama and
                  Shuya Matsuhashi and
                  Wenqi Zhu and
                  Kien Nguyen and
                  Hiroo Sekiya},
  title        = {Load-Independent Inverse Class-E Oscillator with Armstrong-Oscillator
                  Based Topology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {175--176},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613877},
  doi          = {10.1109/ISOCC53507.2021.9613877},
  timestamp    = {Tue, 05 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KomiyamaMZNS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KongCZJSH21,
  author       = {Chenjie Kong and
                  Tianming Chen and
                  Jun Zhang and
                  Guizhong Jiang and
                  Yuan Shen and
                  Pan He},
  title        = {Application on Demodulation of {FBG} Sensing Signals using Phase Detection
                  Algorithm of Intake and Exhaust},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {270--271},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613960},
  doi          = {10.1109/ISOCC53507.2021.9613960},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KongCZJSH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KumarMZCLKN21,
  author       = {Shubham Kumar and
                  Jonathan Mi and
                  Qingyuan Zhang and
                  Benjamin Chang and
                  Hao Le and
                  Ramsin Khoshabeh and
                  Truong Nguyen},
  title        = {Human-Inspired Camera: {A} Novel Camera System for Computer Vision},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {29--30},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613914},
  doi          = {10.1109/ISOCC53507.2021.9613914},
  timestamp    = {Wed, 08 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KumarMZCLKN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kuo21,
  author       = {Ko{-}Chi Kuo},
  title        = {A Fast Locking All Digital Delay Locked Loop with wide operating frequency
                  ranged from 0.5 GHz to 1.8 GHz in 40nm Process},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {113--114},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613874},
  doi          = {10.1109/ISOCC53507.2021.9613874},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kuo21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwakSK21,
  author       = {Myeongjin Kwak and
                  Hyoju Seo and
                  Yongtae Kim},
  title        = {Precision Exploration of Floating-Point Arithmetic for Spiking Neural
                  Networks},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {71--72},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614005},
  doi          = {10.1109/ISOCC53507.2021.9614005},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwakSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonKJC21,
  author       = {Hanbyeol Kwon and
                  Kwangrae Kim and
                  Dongsuk Jeon and
                  Ki{-}Seok Chung},
  title        = {Reducing Refresh Overhead with In-DRAM Error Correction Codes},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {211--214},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613990},
  doi          = {10.1109/ISOCC53507.2021.9613990},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonKJC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LanZWCYR21,
  author       = {Jingchao Lan and
                  Yan Zheng and
                  Yimin Wu and
                  Min Chen and
                  Fan Ye and
                  Junyan Ren},
  title        = {A High Linearity Bootstrapped Switch with Leakage Current Suppressed
                  for GS/s Sampling Rate {ADC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {129--130},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613941},
  doi          = {10.1109/ISOCC53507.2021.9613941},
  timestamp    = {Tue, 29 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LanZWCYR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeT21,
  author       = {Minh Le and
                  Son Ngoc Truong},
  title        = {Memristor Crossbar Circuits for Neuromorphic pattern Recognition},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {221--222},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613948},
  doi          = {10.1109/ISOCC53507.2021.9613948},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Lee21,
  author       = {Kyuho Lee},
  title        = {Trends of Modern Processors for {AI} Acceleration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {227},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613902},
  doi          = {10.1109/ISOCC53507.2021.9613902},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Lee21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeH21,
  author       = {Dongjun Lee and
                  Jaeduk Han},
  title        = {Design Techniques for Area-efficient Two-Stacked Current Sources in
                  Nanometer {CMOS} Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {292--293},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613881},
  doi          = {10.1109/ISOCC53507.2021.9613881},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeHLK21,
  author       = {Youngkwang Lee and
                  Donghyun Han and
                  Sooryeong Lee and
                  Sungho Kang},
  title        = {A Circular-based {TSV} Repair Architecture},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613904},
  doi          = {10.1109/ISOCC53507.2021.9613904},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeHLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeHOB21,
  author       = {Dohyeon Lee and
                  Heecheol Hwang and
                  Hyunteck Oh and
                  Yongchan James Ban},
  title        = {Mitigating IR-Drop with Design Technology Co-Optimization for Sub-Nanometer
                  Node Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614021},
  doi          = {10.1109/ISOCC53507.2021.9614021},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeHOB21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK21,
  author       = {Dongchan Lee and
                  Youngmin Kim},
  title        = {A simplified, high-speed, Error-tolerant Adder using Zero Padding
                  Method},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {343--344},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613912},
  doi          = {10.1109/ISOCC53507.2021.9613912},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKK21,
  author       = {Hyung{-}Wook Lee and
                  Kyeong{-}Min Ko and
                  Jin{-}Ku Kang},
  title        = {An 8 - 26 Gb/s Single Loop Reference-less {CDR} with Unrestricted
                  Frequency Acquisition},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {45--46},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613875},
  doi          = {10.1109/ISOCC53507.2021.9613875},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKKC21,
  author       = {Youngwook Lee and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim and
                  Minsu Choi},
  title        = {Stochastic Edge Detection for Fine-Grained Progressive Precision},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {119--120},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614036},
  doi          = {10.1109/ISOCC53507.2021.9614036},
  timestamp    = {Tue, 01 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL21,
  author       = {Jung{-}Hyun Lee and
                  Kang{-}Yoon Lee},
  title        = {A Design of Low-Power Bootstrapped {CMOS} Switch for 20MS/s 12-bit
                  Charge Sharing {SAR} ADCs},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {5--6},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613861},
  doi          = {10.1109/ISOCC53507.2021.9613861},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL21a,
  author       = {Sang{-}Hoon Lee and
                  Won{-}Young Lee},
  title        = {A 0.6-V 400-KS/s Low Noise Asynchronous {SAR} {ADC} With Dual-Domain
                  Comparison},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {7--8},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613979},
  doi          = {10.1109/ISOCC53507.2021.9613979},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL21b,
  author       = {Tzung{-}Je Lee and
                  Yu{-}Wei Liu},
  title        = {12 {V} {PZE} Harvesting Circuit For {AUV} Using Boost Converter with
                  Resistor Matching Controller},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {133--134},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613927},
  doi          = {10.1109/ISOCC53507.2021.9613927},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL21b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLJAJJ21,
  author       = {Ki Beom Lee and
                  Sumin Lee and
                  Sunghwan Joo and
                  Hong Keun Ahn and
                  Young Seok Jung and
                  Seong{-}Ook Jung},
  title        = {{CNN} encryption using {XOR} Gate for Hardware Optimization},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {359--360},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614013},
  doi          = {10.1109/ISOCC53507.2021.9614013},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLJAJJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLK21,
  author       = {Jeong Jun Lee and
                  Seung Il Lee and
                  Hyun Kim},
  title        = {Continual Learning for Instance Segmentation to Mitigate Catastrophic
                  Forgetting},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {85--86},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613885},
  doi          = {10.1109/ISOCC53507.2021.9613885},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLKH21,
  author       = {Kiho Lee and
                  Dong{-}Ho Lee and
                  Jusung Kim and
                  Songcheol Hong},
  title        = {Wideband {LC} {VCO} with 39.3 {\%} Frequency Tuning Range for Dielectric
                  Spectroscopy System},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {39--40},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613982},
  doi          = {10.1109/ISOCC53507.2021.9613982},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLKH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLP21,
  author       = {Dongkyu Lee and
                  Seungmin Lee and
                  Daejin Park},
  title        = {Efficient Signal Processing Acceleration using OpenCL-based {FPGA-GPU}
                  Hybrid Cooperation for Reconfigurable {ECG} Diagnosis},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {349--350},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613894},
  doi          = {10.1109/ISOCC53507.2021.9613894},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLSJ21,
  author       = {Yunhee Lee and
                  Woonghee Lee and
                  Minkyo Shim and
                  Deog{-}Kyoon Jeong},
  title        = {A Sequential Two-step Algorithm For {DC} Offset Cancellation of {PAM-4}
                  Receiver},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {379--380},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613870},
  doi          = {10.1109/ISOCC53507.2021.9613870},
  timestamp    = {Mon, 30 Oct 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLSJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeM21,
  author       = {SangHyun Lee and
                  Byungin Moon},
  title        = {A Haar Classifier Accelerator with Reduced Multiplexer Usage},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {399--400},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613971},
  doi          = {10.1109/ISOCC53507.2021.9613971},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeP21,
  author       = {Sanghoon Lee and
                  Daejin Park},
  title        = {Efficient Power Control Using Variable Resolution Algorithm for LiDAR
                  Sensor-based Autonomous Vehicle},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {341--342},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613857},
  doi          = {10.1109/ISOCC53507.2021.9613857},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeePBCLLBH21,
  author       = {Wooyoung Lee and
                  Jina Park and
                  Changjun Byun and
                  Eunjin Choi and
                  Jae{-}Hyoung Lee and
                  Woojoo Lee and
                  Kyung Jin Byun and
                  Kyuseung Han},
  title        = {K-means Clustering-specific Lightweight {RISC-V} processor},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {391--392},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613863},
  doi          = {10.1109/ISOCC53507.2021.9613863},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeePBCLLBH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeePLLK21,
  author       = {Sangjun Lee and
                  Jongho Park and
                  Inhwan Lee and
                  Kwonhyoung Lee and
                  Sungho Kang},
  title        = {Hybrid Test Access Mechanism for Multiple Identical Cores},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {365--366},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613908},
  doi          = {10.1109/ISOCC53507.2021.9613908},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeePLLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeSJPL21,
  author       = {Eunchong Lee and
                  Minyong Sung and
                  Sung{-}Joon Jang and
                  Jonghee Park and
                  Sang{-}Seol Lee},
  title        = {Memory-Centric Architecture of Neural Processing Unit for Edge Device},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {240--241},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613977},
  doi          = {10.1109/ISOCC53507.2021.9613977},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeSJPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYCJ21,
  author       = {Young Kyu Lee and
                  Minjune Yeo and
                  Seokhee Cho and
                  Seong{-}Ook Jung},
  title        = {Intrinsic Capacitance based Multi bit Computing in Memory},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {361--362},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613882},
  doi          = {10.1109/ISOCC53507.2021.9613882},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYCJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYIJLZ21,
  author       = {Sanghyuk Lee and
                  Youpeng Yang and
                  Mohamed AbdelAzim Ibrahim and
                  Changhyun Jun and
                  Eng Gee Lim and
                  Yujia Zhai},
  title        = {Design on Smart Grid and Irrigation Management: based on Information
                  Sharing},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {191--192},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613898},
  doi          = {10.1109/ISOCC53507.2021.9613898},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYIJLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYK21,
  author       = {Chanhee Lee and
                  Sangho Yoon and
                  Seokhyeong Kang},
  title        = {Components Analysis on Audio Signal Mixtures},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {363--364},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613899},
  doi          = {10.1109/ISOCC53507.2021.9613899},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeYLK21,
  author       = {Seunggyu Lee and
                  Jongho Yoon and
                  Jakang Lee and
                  Seokhyeong Kang},
  title        = {Giga-sample Data Acquisition Method for High-speed {DDR5} {SDRAM}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {109--110},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614030},
  doi          = {10.1109/ISOCC53507.2021.9614030},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeYLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeynesHLR21,
  author       = {Arcel G. Leynes and
                  John Richard E. Hizon and
                  Maria Theresa G. de Leon and
                  Marc D. Rosales},
  title        = {Asymmetric Charge Transfer Scheme Model in {ML-SSHC} with Consistent
                  Power Extraction Improvement for Piezoelectric Energy Harvesters},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {65--66},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614018},
  doi          = {10.1109/ISOCC53507.2021.9614018},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeynesHLR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiWZYR21,
  author       = {Ziwei Li and
                  Guoyao Wu and
                  Yutong Zhao and
                  Fan Ye and
                  Junyan Ren},
  title        = {Resistive Degeneration Linearization Dynamic Residue Amplifiers for
                  Pipelined ADCs},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {9--10},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613883},
  doi          = {10.1109/ISOCC53507.2021.9613883},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LiWZYR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinH21,
  author       = {Qiuyang Lin and
                  Nick Van Helleptte},
  title        = {{PPG} Sensors for The New Normal: {A} Review},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {276--277},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613962},
  doi          = {10.1109/ISOCC53507.2021.9613962},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinKH21,
  author       = {Wei{-}Hung Lin and
                  Hsu{-}Yu Kao and
                  Shih{-}Hsu Huang},
  title        = {Hybrid Dynamic Fixed Point Quantization Methodology for {AI} Accelerators},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {282--283},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614019},
  doi          = {10.1109/ISOCC53507.2021.9614019},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinKH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LouCW21,
  author       = {Pang{-}Yen Lou and
                  Ying{-}Xuan Chen and
                  Chua{-}Chin Wang},
  title        = {On-chip {CMOS} Corner Detector Design for Panel Drivers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {11--12},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613965},
  doi          = {10.1109/ISOCC53507.2021.9613965},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LouCW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LouHW21,
  author       = {Pang{-}Yen Lou and
                  Yung{-}Yuan Ho and
                  Chua{-}Chin Wang},
  title        = {Analysis of Layout Arrangment for {CMOS} Oscillators to Reduce Overall
                  Variation on Wafer},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613955},
  doi          = {10.1109/ISOCC53507.2021.9613955},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LouHW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LuOLHY21,
  author       = {Jincheng Lu and
                  Zixuan Ou and
                  Ziyu Liu and
                  Cheng Han and
                  Wenbin Ye},
  title        = {Radar Based Real-Time Fall Detection System with Low Power Consumption},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {266--267},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613989},
  doi          = {10.1109/ISOCC53507.2021.9613989},
  timestamp    = {Wed, 08 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LuOLHY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MauludinLK21,
  author       = {Muhammad Fakhri Mauludin and
                  Dong{-}Ho Lee and
                  Jusung Kim},
  title        = {Wideband Operational Trans-Conductance Amplifier with Feed-Forward
                  Compensation Technique},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {298--299},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613868},
  doi          = {10.1109/ISOCC53507.2021.9613868},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MauludinLK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MehmoodAS21,
  author       = {Zubair Mehmood and
                  Waseem Abbas and
                  Munkyo Seo},
  title        = {Design of 100 GHz {OOK} Transceiver in 28nm {CMOS} Process for High
                  Speed Communication},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {99--100},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613889},
  doi          = {10.1109/ISOCC53507.2021.9613889},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MehmoodAS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MehmoodS21,
  author       = {Zubair Mehmood and
                  Munkyo Seo},
  title        = {A High Speed {OOK} Modulator at 300 GHz using {LO} Cancellation Technique},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {95--96},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613853},
  doi          = {10.1109/ISOCC53507.2021.9613853},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MehmoodS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MinKK21,
  author       = {Jiyoung Min and
                  Sunmean Kim and
                  Seokhyeong Kang},
  title        = {Memcapacitor based Minimum and Maximum Gate Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {75--76},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613984},
  doi          = {10.1109/ISOCC53507.2021.9613984},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MinKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MindoroCLRSHRL21,
  author       = {Steven Lorenzo Mindoro and
                  John Owen Cabuyadao and
                  Arcel G. Leynes and
                  Maria Sophia Ralota and
                  Zyrel Renzo Sanchez and
                  John Richard E. Hizon and
                  Marc D. Rosales and
                  Maria Theresa G. de Leon},
  title        = {A {CMOS} Power Management Unit with Undervoltage Lockout Circuit as
                  Startup for Piezoelectric Energy Harvesting Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {131--132},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613995},
  doi          = {10.1109/ISOCC53507.2021.9613995},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MindoroCLRSHRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MizunoZNKT21,
  author       = {Takumi Mizuno and
                  Qidi Zhang and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {Impacts of {HLS} Optimizations on Side-Channel Leakage for {AES} Circuits},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {53--54},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613900},
  doi          = {10.1109/ISOCC53507.2021.9613900},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MizunoZNKT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MoonYHK21,
  author       = {Youngki Moon and
                  Hyunho Yoo and
                  Donghyun Han and
                  Sungho Kang},
  title        = {Area Efficient Built-In Redundancy Analysis using Pre-Solutions with
                  Various Spare Structure},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {431--432},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613937},
  doi          = {10.1109/ISOCC53507.2021.9613937},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MoonYHK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhataSNKT21,
  author       = {Koyu Ohata and
                  Kenta Shirane and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {Scheduling with Variable-Cycle Approximate Functional Units in High-Level
                  Synthesis},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {57--58},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613852},
  doi          = {10.1109/ISOCC53507.2021.9613852},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/OhataSNKT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkCJACK21,
  author       = {Heechun Park and
                  Kyungjoon Chang and
                  Jooyeon Jeong and
                  Jaehoon Ahn and
                  Ki{-}Seok Chung and
                  Taewhan Kim},
  title        = {Challenges on {DTCO} Methodology Towards Deep Submicron Interconnect
                  Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {215--218},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614026},
  doi          = {10.1109/ISOCC53507.2021.9614026},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkCJACK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkK21,
  author       = {Geuntae Park and
                  Youngmin Kim},
  title        = {Low Power Gate Diffusion Input Full Adder using Floating Body},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {337--338},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613966},
  doi          = {10.1109/ISOCC53507.2021.9613966},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKKC21,
  author       = {Sang{-}Soo Park and
                  Dong{-}Hee Kim and
                  Jun{-}Gu Kang and
                  Ki{-}Seok Chung},
  title        = {EdgeRL: {A} Light-Weight {C/C++} Framework for On-Device Reinforcement
                  Learning},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {235--236},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613916},
  doi          = {10.1109/ISOCC53507.2021.9613916},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKKC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKKC21a,
  author       = {Cheolhyeong Park and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim and
                  Minsu Choi},
  title        = {FPGA-based Scalable Road Image Stochastic Denosing Approach},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {351--352},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613963},
  doi          = {10.1109/ISOCC53507.2021.9613963},
  timestamp    = {Tue, 01 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKKC21a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKLS21,
  author       = {Joon Hyeon Park and
                  Min Cheol Kim and
                  Byeong Dae Lee and
                  Myung Hoon Sunwoo},
  title        = {Implementation of {CNN} based Demosaicking on {FPGA}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {417--418},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614015},
  doi          = {10.1109/ISOCC53507.2021.9614015},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKLS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKPC21,
  author       = {Sanghyeon Park and
                  Jae{-}Nam Kim and
                  Seung{-}Ah Park and
                  Jung{-}Hoon Chun},
  title        = {A 30-Gb/s {PAM-8} Transmitter with a 2-Tap Feed-Forward Equalizer
                  and Background Clock Calibration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {43--44},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614002},
  doi          = {10.1109/ISOCC53507.2021.9614002},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKPC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkL21,
  author       = {Jieun Park and
                  Kang{-}Yoon Lee},
  title        = {Low Noise Analog Front End for IoT Sensor},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {149--150},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613932},
  doi          = {10.1109/ISOCC53507.2021.9613932},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkP21,
  author       = {Hyunchul Park and
                  Jongsun Park},
  title        = {Local Bit-line Charge-sharing based Pre-charging {SRAM} for Near Threshold
                  Voltage Operation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {105--106},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613896},
  doi          = {10.1109/ISOCC53507.2021.9613896},
  timestamp    = {Fri, 14 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkS21,
  author       = {Eun{-}Bin Park and
                  Taigon Song},
  title        = {An Optimized Standard Cell Design Methodology Targeting Low Parasitics
                  and Small Area for Complementary FETs (CFETs)},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {395--396},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613922},
  doi          = {10.1109/ISOCC53507.2021.9613922},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/QiNQCLW21,
  author       = {Liang Qi and
                  Tianming Ni and
                  Xinyu Qin and
                  Mingyi Chen and
                  Yongfu Li and
                  Guoxing Wang},
  title        = {Continuous-time Delta-Sigma Modulators: Single-loop versus {MASH}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {252--253},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613910},
  doi          = {10.1109/ISOCC53507.2021.9613910},
  timestamp    = {Fri, 19 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/QiNQCLW21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/QuizonASRHS21,
  author       = {Lawrence Roman A. Quizon and
                  Anastacia B. Alvarez and
                  Christoper G. Santos and
                  Marc D. Rosales and
                  John Richard E. Hizon and
                  Maria Patricia Rouelli G. Sabino},
  title        = {A Voltage-Controlled Magnetic Anisotropy based True Random Number
                  Generator},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {159--160},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613854},
  doi          = {10.1109/ISOCC53507.2021.9613854},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/QuizonASRHS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RadCKRL21,
  author       = {Reza E. Rad and
                  Soon Ho Choi and
                  SungJin Kim and
                  Behnam Samadpoor Rikan and
                  Kang{-}Yoon Lee},
  title        = {A 2-GHz Reconfigurable Transmitter Using {A} Class-D {PA} and {A}
                  Multi-Tapped Transformer},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {31--32},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613956},
  doi          = {10.1109/ISOCC53507.2021.9613956},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RadCKRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RadRL21,
  author       = {Reza E. Rad and
                  Behnam Samadpoor Rikan and
                  Kang{-}Yoon Lee},
  title        = {A 5.8 GHz {RF-DC} Based Energy-Harvesting Front-End with a Load-Lighting
                  LC-Oscillator Based Voltage Booster for a {SWIPT} {IC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {307--308},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613923},
  doi          = {10.1109/ISOCC53507.2021.9613923},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RadRL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RamamurthyPS21,
  author       = {Chinmaye Ramamurthy and
                  Chetan D. Parikh and
                  Subhajit Sen},
  title        = {Digital Calibration of 1.5 bits/stage Algorithmic {ADC}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {3--4},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614017},
  doi          = {10.1109/ISOCC53507.2021.9614017},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RamamurthyPS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Reza21,
  author       = {Md Farhadur Reza},
  title        = {Reinforcement Learning for Runtime Optimization for High Performance
                  and Energy Efficient NoC},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {284--285},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613994},
  doi          = {10.1109/ISOCC53507.2021.9613994},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Reza21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RhoKL21,
  author       = {Chang han Rho and
                  Jin{-}Ku Kang and
                  Jin Liu},
  title        = {Two-step Time-to-Digital Converter using pulse-shifting time-difference
                  repetition circuit},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {333--334},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613975},
  doi          = {10.1109/ISOCC53507.2021.9613975},
  timestamp    = {Thu, 02 Feb 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RhoKL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RikanHCRPL21,
  author       = {Behnam Samadpoor Rikan and
                  Arash Hejazi and
                  DaeYoung Choi and
                  Reza E. Rad and
                  YoungGun Pu and
                  Kang{-}Yoon Lee},
  title        = {12-Bit 5 MS/s {SAR} {ADC} with Split Type {DAC} for {BLE}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {125--126},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613993},
  doi          = {10.1109/ISOCC53507.2021.9613993},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RikanHCRPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RohCJ21,
  author       = {Seungha Roh and
                  Moon{-}Chul Choi and
                  Deog{-}Kyoon Jeong},
  title        = {A Maximum Eye Tracking Clock-and-Data Recovery Scheme with Golden
                  Section Search(GSS) Algorithm in 28-nm {CMOS}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {47--48},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613919},
  doi          = {10.1109/ISOCC53507.2021.9613919},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RohCJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RohLLS21,
  author       = {Jae Hong Roh and
                  Useok Lee and
                  Yongje Lee and
                  Myung Hoon Sunwoo},
  title        = {Efficient Partial Sum Architecture and Memory Reduction Method for
                  SC-Flip Polar Decoder},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {19--20},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613860},
  doi          = {10.1109/ISOCC53507.2021.9613860},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RohLLS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SST21,
  author       = {Sunita M. S and
                  Tejas Somashekhar and
                  Shashidhar Tantry},
  title        = {Adaptive {ON} - Time Boost Converter in 45nm for Solar Cell Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {135--136},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613879},
  doi          = {10.1109/ISOCC53507.2021.9613879},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SST21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SaitoJ21,
  author       = {Tsukasa Saito and
                  Kenya Jin'no},
  title        = {Ability to generate output series for Hysteresis Reservoir Computing},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {179--180},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614006},
  doi          = {10.1109/ISOCC53507.2021.9614006},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SaitoJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SanoSNKTYU21,
  author       = {Masaki Sano and
                  Kenta Shirane and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama and
                  Tongxin Yang and
                  Tomoaki Ukezono},
  title        = {Design of a 32-bit Accuracy-Controllable Approximate Multiplier for
                  FPGAs},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {55--56},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613872},
  doi          = {10.1109/ISOCC53507.2021.9613872},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SanoSNKTYU21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeoLSK21,
  author       = {Hyoju Seo and
                  Jungwon Lee and
                  Hyelin Seok and
                  Yongtae Kim},
  title        = {Design of an Accuracy Enhanced Imprecise Adder with Half Adder-based
                  Approximation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {153--154},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613888},
  doi          = {10.1109/ISOCC53507.2021.9613888},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeoLSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SerranoDHSTSP21,
  author       = {Ronaldo Serrano and
                  Ckristian Duran and
                  Trong{-}Thuc Hoang and
                  Marco Sarmiento and
                  Akira Tsukamoto and
                  Kuniyasu Suzaki and
                  Cong{-}Kha Pham},
  title        = {ChaCha20-Poly1305 Crypto Core Compatible with Transport Layer Security
                  1.3},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {17--18},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614016},
  doi          = {10.1109/ISOCC53507.2021.9614016},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SerranoDHSTSP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SerranoSDNHIP21,
  author       = {Ronaldo Serrano and
                  Marco Sarmiento and
                  Ckristian Duran and
                  Khai{-}Duy Nguyen and
                  Trong{-}Thuc Hoang and
                  Koichiro Ishibashi and
                  Cong{-}Kha Pham},
  title        = {A Low-Power Low-Area SoC based in {RISC-V} Processor for IoT Applications},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {375--376},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613880},
  doi          = {10.1109/ISOCC53507.2021.9613880},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SerranoSDNHIP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SharmaKK21,
  author       = {Akshay Kumar Sharma and
                  Byungho Kang and
                  Kyung Ki Kim},
  title        = {LightNet: {A} Lightweight Neural Network for Image Classification},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {419--420},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613865},
  doi          = {10.1109/ISOCC53507.2021.9613865},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SharmaKK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShenWL21,
  author       = {Yukai Shen and
                  Shiwei Wang and
                  Carolina Mora Lopez},
  title        = {RRAM-Based {STDP} Network for Edge Computing in Wearable/Implantable
                  Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {274--275},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613939},
  doi          = {10.1109/ISOCC53507.2021.9613939},
  timestamp    = {Tue, 05 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShenWL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShenZLYZ21,
  author       = {Zong Jie Shen and
                  Chun Zhao and
                  Yina Liu and
                  Li Yang and
                  Cezhou Zhao},
  title        = {Artificial synaptic behavior and its improvement of {RRAM} device
                  with stacked solution-processed MXene layers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {187--188},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613944},
  doi          = {10.1109/ISOCC53507.2021.9613944},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShenZLYZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimCSC21,
  author       = {Kyuhong Shim and
                  Iksoo Choi and
                  Wonyong Sung and
                  Jungwook Choi},
  title        = {Layer-wise Pruning of Transformer Attention Heads for Efficient Language
                  Modeling},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {357--358},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613933},
  doi          = {10.1109/ISOCC53507.2021.9613933},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimCSC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimLLJ21,
  author       = {Minkyo Shim and
                  Woonghee Lee and
                  Yunhee Lee and
                  Deog{-}Kyoon Jeong},
  title        = {A Stochastic Variable Gain Amplifier Adaptation for {PAM-4} signaling},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {49--50},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613986},
  doi          = {10.1109/ISOCC53507.2021.9613986},
  timestamp    = {Mon, 30 Oct 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimLLJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimodaHIN21,
  author       = {Yukinaga Shimoda and
                  Kota Hayashi and
                  Daisuke Ito and
                  Makoto Nakamura},
  title        = {Feed-Forward Control of {PAM4} {CTLE} for Optical Receivers Based
                  on a Step Response Analysis},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {145--146},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614028},
  doi          = {10.1109/ISOCC53507.2021.9614028},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimodaHIN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinH21,
  author       = {Tae{-}ho Shin and
                  Jaeduk Han},
  title        = {A {SCAN} Chain Generator for Verification of Full-Custom Integrated
                  Circuits},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {335--336},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613981},
  doi          = {10.1109/ISOCC53507.2021.9613981},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinLYK21,
  author       = {Seung Ho Shin and
                  Hayoung Lee and
                  Younwoo Yoo and
                  Sungho Kang},
  title        = {An Effective Spare Allocation Methodology for 3D Memory Repair with
                  {BIRA}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {429--430},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613980},
  doi          = {10.1109/ISOCC53507.2021.9613980},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinLYK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShiraneNKT21,
  author       = {Kenta Shirane and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {High-Level Synthesis of Approximate Computing Circuits with Dual Accuracy
                  Modes},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {369--370},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614001},
  doi          = {10.1109/ISOCC53507.2021.9614001},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShiraneNKT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SoLKM21,
  author       = {Jaehyuk So and
                  Dong{-}Hyun Lee and
                  Min{-}Joon Kim and
                  Yeon{-}Kug Moon},
  title        = {{ASIC} Implementation of Magnetic Induction based Wireless Communication
                  System},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {371--372},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614024},
  doi          = {10.1109/ISOCC53507.2021.9614024},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SoLKM21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SonNKAK21,
  author       = {Hyun{-}Wook Son and
                  YongSeok Na and
                  TaeHyun Kim and
                  Ali A. Al{-}Hamid and
                  HyungWon Kim},
  title        = {{CNN} Accelerator with Minimal On-Chip Memory Based on Hierarchical
                  Array},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {411--412},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613997},
  doi          = {10.1109/ISOCC53507.2021.9613997},
  timestamp    = {Tue, 07 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SonNKAK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongCJ21,
  author       = {Changmin Song and
                  Se{-}Hyeon Cho and
                  Young{-}Chan Jang},
  title        = {A 0.2 {\unicode{8210}} 1.2GHz Adaptive Bandwidth {PLL} with Controllable
                  {KVCO}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {300--301},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613999},
  doi          = {10.1109/ISOCC53507.2021.9613999},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongCJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongK21,
  author       = {Soonbum Song and
                  Youngmin Kim},
  title        = {Novel In-memory Computing Circuit using Muller C-element},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {81--82},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613964},
  doi          = {10.1109/ISOCC53507.2021.9613964},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongL21,
  author       = {Ji Hoon Song and
                  Kang{-}Yoon Lee},
  title        = {Design of 66.5dB {IRR} Baseband Analog with Filter Tuning},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {37--38},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613855},
  doi          = {10.1109/ISOCC53507.2021.9613855},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongZLZ21,
  author       = {Shuang Song and
                  Yizhao Zhou and
                  Mengyu Li and
                  Menglian Zhao},
  title        = {A Review on Recent Development of Input Impedance Boosting for Bio-Potential
                  Amplifiers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {272--273},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613951},
  doi          = {10.1109/ISOCC53507.2021.9613951},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongZLZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SungH21,
  author       = {Gaeryun Sung and
                  Jaeduk Han},
  title        = {High-speed StrongARM-latch-based Bang-bang Phase Detector in 40-nm
                  {CMOS} Technology},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {377--378},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613931},
  doi          = {10.1109/ISOCC53507.2021.9613931},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SungH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TanDPL21,
  author       = {Tuy Nguyen Tan and
                  Phap Duong{-}Ngoc and
                  Thang Xuan Pham and
                  Hanho Lee},
  title        = {Novel Performance Evaluation Approach of {AMBA} AXI-Based SoC Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {403--404},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613920},
  doi          = {10.1109/ISOCC53507.2021.9613920},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/TanDPL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TanTC21,
  author       = {Fern Nee Tan and
                  Mohamad Shahrir Tamrin and
                  Jia Yun Chuah},
  title        = {Power Integrity Specification Definition for an Integrated Clock Circuit
                  Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {171--172},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613996},
  doi          = {10.1109/ISOCC53507.2021.9613996},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TanTC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateNOF21,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio and
                  Marie Engelene J. Obien and
                  Urs Frey},
  title        = {Local and Global Activities of Izhikevich Neuron Model in Networks},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {219--220},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613867},
  doi          = {10.1109/ISOCC53507.2021.9613867},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateNOF21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangWWZWZ21,
  author       = {Bi Wang and
                  Zhaohao Wang and
                  Min Wang and
                  Weisheng Zhao and
                  Liang Wang and
                  Yuanfu Zhao},
  title        = {Soft Error Sensitivity of Magnetic Random Access Memory and Its Radiation
                  Hardening Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {199--200},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613876},
  doi          = {10.1109/ISOCC53507.2021.9613876},
  timestamp    = {Mon, 19 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/WangWWZWZ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WangY21,
  author       = {Yong{-}Zheng Wang and
                  Ching{-}Yuan Yang},
  title        = {A Self Synchronized-Switch Rectifier for Piezoelectric-Vibration Energy-Harvesting
                  Systems},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {61--62},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613847},
  doi          = {10.1109/ISOCC53507.2021.9613847},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WangY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XiaoFDDC21,
  author       = {Yang Xiao and
                  Wuyu Fan and
                  Yuan Du and
                  Li Du and
                  Mau{-}Chung Frank Chang},
  title        = {CTT-based Non-Volatile Deep Neural Network Accelerator Design},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {258--259},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613930},
  doi          = {10.1109/ISOCC53507.2021.9613930},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/XiaoFDDC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XingSLZG21,
  author       = {Xinpeng Xing and
                  Xueqian Shang and
                  Senji Liu and
                  Xinfa Zheng and
                  Georges G. E. Gielen},
  title        = {Power-efficient VCO-based ADCs for Wireless Communication Systems},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {244--245},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613925},
  doi          = {10.1109/ISOCC53507.2021.9613925},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/XingSLZG21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XiongZKWGWXHSY21,
  author       = {Tianzhu Xiong and
                  Yongliang Zhou and
                  Yuyao Kong and
                  Bo Wang and
                  An Guo and
                  Yufei Wang and
                  Chen Xue and
                  Haiming Hsu and
                  Xin Si and
                  Jun Yang},
  title        = {Design Methodology towards High-Precision {SRAM} based Computation-in-Memory
                  for {AI} Edge Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {195--196},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613913},
  doi          = {10.1109/ISOCC53507.2021.9613913},
  timestamp    = {Sun, 30 Apr 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/XiongZKWGWXHSY21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XuJCFL21,
  author       = {Jin Xu and
                  Lin Jiang and
                  Hui Chen and
                  Yuxiang Fu and
                  Li Li},
  title        = {A Low-Complexity Architecture for Implementing Square to Tenth Root
                  of Complex Numbers},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {15--16},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613873},
  doi          = {10.1109/ISOCC53507.2021.9613873},
  timestamp    = {Wed, 28 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/XuJCFL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangC21,
  author       = {Chih{-}Chyau Yang and
                  Tian{-}Sheuan Chang},
  title        = {Pre-RTL {DNN} Hardware Evaluator With Fused Layer Support},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {83--84},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614027},
  doi          = {10.1109/ISOCC53507.2021.9614027},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YangC21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangCFL21,
  author       = {Heping Yang and
                  Hui Chen and
                  Yuxiang Fu and
                  Li Li},
  title        = {Low-Latency Architecture for Implementing Floating-Point Multiplier
                  and Divider Based on Symmetric-Mapping {LUT}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {13--14},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613909},
  doi          = {10.1109/ISOCC53507.2021.9613909},
  timestamp    = {Wed, 28 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangCFL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangOKJL21,
  author       = {Kee Hoon Yang and
                  Tae Seob Oh and
                  Jae Bin Kim and
                  JongWan Jo and
                  Kang{-}Yoon Lee},
  title        = {{RF-DC} Converter Using Loss Compensation and Adaptive Matching Network},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {93--94},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613891},
  doi          = {10.1109/ISOCC53507.2021.9613891},
  timestamp    = {Fri, 04 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YangOKJL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YonemotoUN21,
  author       = {Naoto Yonemoto and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Suppression of Chaos Propagation in Ladder Chaotic Circuits by Local
                  Switching of Coupling Strength},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {183--184},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614004},
  doi          = {10.1109/ISOCC53507.2021.9614004},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YonemotoUN21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Yoo21,
  author       = {Jerald Yoo},
  title        = {Body-coupled wireless power transfer and energy harvesting for wearables},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {225},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614033},
  doi          = {10.1109/ISOCC53507.2021.9614033},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Yoo21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooHL21,
  author       = {Sunwoo Yoo and
                  Seungwoo Hong and
                  Youngjoo Lee},
  title        = {Low-Complexity On-Device {ECG} Classifier using Binarized Neural Network},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {393--394},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613942},
  doi          = {10.1109/ISOCC53507.2021.9613942},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooHL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooLSK21,
  author       = {Younwoo Yoo and
                  Hayoung Lee and
                  Seung Ho Shin and
                  Sungho Kang},
  title        = {Post-bond Repair of Line Faults with Double-bit {ECC} for 3D Memory},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {427--428},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613892},
  doi          = {10.1109/ISOCC53507.2021.9613892},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooLSK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YoonCK21,
  author       = {Jaejoon Yoon and
                  Sehyeon Chung and
                  Taewhan Kim},
  title        = {Analyses of Power Staple Inserting Methodologies for Mitigating IR-Drops},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {169--170},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613897},
  doi          = {10.1109/ISOCC53507.2021.9613897},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YoonCK21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YueSYL21,
  author       = {Jinshan Yue and
                  Wenyu Sun and
                  Huazhong Yang and
                  Yongpan Liu},
  title        = {Challenges and Opportunities of Energy-Efficient {CIM} SoC Design
                  for Edge {AI} Devices},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {197--198},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613846},
  doi          = {10.1109/ISOCC53507.2021.9613846},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YueSYL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YunJ21,
  author       = {Daeho Yun and
                  Deog{-}Kyoon Jeong},
  title        = {Auto-tracking Method with Optimal Reference Voltage for {PAM-4} Receiver},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {381--382},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613866},
  doi          = {10.1109/ISOCC53507.2021.9613866},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YunJ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhaiCQYR21,
  author       = {Danfeng Zhai and
                  Chixiao Chen and
                  Liang Qi and
                  Fan Ye and
                  Junyan Ren},
  title        = {Machine Learning based Prior-Knowledge-Free Nyquist {ADC} Characterization
                  and Calibration},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {246--247},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613864},
  doi          = {10.1109/ISOCC53507.2021.9613864},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhaiCQYR21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangCFL21,
  author       = {Yonggang Zhang and
                  Hui Chen and
                  Yuxiang Fu and
                  Li Li},
  title        = {\({}^{\mbox{2}}\){\(\beta\)}-softmax: {A} Hardware-Friendly Activation
                  Function with Low Complexity and High Performance},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {353--354},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613928},
  doi          = {10.1109/ISOCC53507.2021.9613928},
  timestamp    = {Wed, 28 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangCFL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangGCYYLP21,
  author       = {Zhiwen Zhang and
                  Qian Gong and
                  Yuan Cao and
                  Cheng Yin and
                  Enyi Yao and
                  Yanhua Liu and
                  Yongqing Pan},
  title        = {Detecting {LED} Chip Surface Defects with Modified Faster {R-CNN}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {268--269},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613949},
  doi          = {10.1109/ISOCC53507.2021.9613949},
  timestamp    = {Mon, 28 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangGCYYLP21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangSLLL21,
  author       = {Xiangyu Zhang and
                  Wenyan Su and
                  Juan Li and
                  Jingwei Li and
                  Xin Lou},
  title        = {Spatial Non-Maximum Suppression for Object Detection using Correlation
                  and Dynamic Thresholds},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {264--265},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9614023},
  doi          = {10.1109/ISOCC53507.2021.9614023},
  timestamp    = {Thu, 09 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangSLLL21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangTH21,
  author       = {Tingting Zhang and
                  Qichao Tao and
                  Jie Han},
  title        = {Solving Traveling Salesman Problems Using Ising Models with Simulated
                  Bifurcation},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {288--289},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613918},
  doi          = {10.1109/ISOCC53507.2021.9613918},
  timestamp    = {Thu, 23 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangTH21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhangZCCYQ21,
  author       = {Jingying Zhang and
                  Yang Zhao and
                  Mingyi Chen and
                  Chixiao Chen and
                  Fan Ye and
                  Liang Qi},
  title        = {Self-coupled {MASH} Delta-Sigma Modulator with Zero Optimization},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613903},
  doi          = {10.1109/ISOCC53507.2021.9613903},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhangZCCYQ21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhaoZNKT21,
  author       = {Yilin Zhao and
                  Qidi Zhang and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Hiroyuki Tomiyama},
  title        = {Power Side-Channel Analysis for Different Adders on {FPGA}},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {367--368},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613957},
  doi          = {10.1109/ISOCC53507.2021.9613957},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhaoZNKT21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhuKNS21,
  author       = {Wenqi Zhu and
                  Yutaro Komiyama and
                  Kien Nguyan and
                  Hiroo Sekiya},
  title        = {PSO-based Design Procedure for Class-DE Inverter},
  booktitle    = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  pages        = {173--174},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021.9613924},
  doi          = {10.1109/ISOCC53507.2021.9613924},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhuKNS21.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isocc/2021,
  title        = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island,
                  South Korea, Republic of, October 6-9, 2021},
  publisher    = {{IEEE}},
  year         = {2021},
  url          = {https://doi.org/10.1109/ISOCC53507.2021},
  doi          = {10.1109/ISOCC53507.2021},
  isbn         = {978-1-6654-0174-6},
  timestamp    = {Mon, 06 Dec 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/2021.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbbasS20,
  author       = {Waseem Abbas and
                  Munkyo Seo},
  title        = {Fully Randomized 4-Channel 30 Gb/s Differential {PRBS} Generator with
                  Single Clock Input and Different Channel Seed Settings: 4 x 30Gb/s
                  Differential {PRBSG} with Different seed settings using single clock},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {280--281},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333114},
  doi          = {10.1109/ISOCC50952.2020.9333114},
  timestamp    = {Fri, 12 Feb 2021 11:57:12 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AbbasS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbeIMKIS020,
  author       = {Yudai Abe and
                  Akio Iwabuchi and
                  Jun{-}Ichi Matsuda and
                  Anna Kuwana and
                  Takashi Ida and
                  Yukiko Shibasaki and
                  Haruo Kobayashi},
  title        = {Low Power Loss {IGBT} Driver Circuit Using Current Drive},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {135--136},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333104},
  doi          = {10.1109/ISOCC50952.2020.9333104},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AbeIMKIS020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AhnSLCPPK20,
  author       = {Soonsung Ahn and
                  Jaegeun Song and
                  Chaegang Lim and
                  Yohan Choi and
                  Sooho Park and
                  Yunsoo Park and
                  Chulwoo Kim},
  title        = {A 1 MS/s 9.15 {ENOB} Low-Power {SAR} {ADC} with Triple-Charge-Sharing
                  Technique},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332980},
  doi          = {10.1109/ISOCC50952.2020.9332980},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AhnSLCPPK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AinKBL20,
  author       = {Qurat ul Ain and
                  Danial Khan and
                  Muhammad Basim and
                  Kang{-}Yoon Lee},
  title        = {Design of Current Sensor in {COT} {DC-DC} Converter for IoT applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {107--108},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332937},
  doi          = {10.1109/ISOCC50952.2020.9332937},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AinKBL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Al-ShidaifatJJL20,
  author       = {Alaaddin Al{-}Shidaifat and
                  Chamindra Jayawickrama and
                  Yechan Jung and
                  Songwook Lee and
                  Hanjung Song and
                  Nihan Kahraman},
  title        = {Chaotic True Random Number Generator for Secure Communication Applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {244--245},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333113},
  doi          = {10.1109/ISOCC50952.2020.9333113},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Al-ShidaifatJJL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Ali0YRL20,
  author       = {Imran Ali and
                  Muhammad Asif and
                  Huo Yingge and
                  Muhammad Riaz ur Rehman and
                  Kang{-}Yoon Lee},
  title        = {An Ultra-Low Power Wake-up Receiver Digital Controller for 5.8 GHz
                  {DSRC} Applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {300--301},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332967},
  doi          = {10.1109/ISOCC50952.2020.9332967},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Ali0YRL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AlsimBLRSSH20,
  author       = {Renzo Nicolas Alsim and
                  Anastacia Ballesil{-}Alvarez and
                  Maria Theresa G. de Leon and
                  Marc D. Rosales and
                  Maria Patricia Rouelli Sabino{-}Santos and
                  Christopher Santos and
                  John Richard E. Hizon},
  title        = {A Top-Down Approach for Low Noise Amplifier Design using Verilog-A},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {81--82},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333033},
  doi          = {10.1109/ISOCC50952.2020.9333033},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AlsimBLRSSH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AmyounyHKK20,
  author       = {Elizabeth Amyouny and
                  Yixuan He and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {Peak Current Control Boost Converter with Time-Multiplex},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {105--106},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332969},
  doi          = {10.1109/ISOCC50952.2020.9332969},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AmyounyHKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AsgharA020,
  author       = {Malik Summair Asghar and
                  Saad Arslan and
                  HyungWon Kim},
  title        = {Low Power Spiking Neural Network Circuit with Compact Synapse and
                  Neuron Cells},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {157--158},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333105},
  doi          = {10.1109/ISOCC50952.2020.9333105},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AsgharA020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BaeP20,
  author       = {Kwanho Bae and
                  Jongsun Park},
  title        = {Efficient {TSV} Fault Detection Scheme For High Bandwidth Memory Using
                  Pattern Analysis},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {19--20},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333115},
  doi          = {10.1109/ISOCC50952.2020.9333115},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/BaeP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BaePPS20,
  author       = {Ji{-}Hoon Bae and
                  Joon Hyeon Park and
                  Jin{-}Hyeok Park and
                  Myung Hoon Sunwoo},
  title        = {Multi-Channel Input Deep Convolutional Neural Network for Mammogram
                  Diagnosis},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {23--24},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333038},
  doi          = {10.1109/ISOCC50952.2020.9333038},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BaePPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BaekKCK20,
  author       = {Seunghan Baek and
                  Sunmean Kim and
                  Youngchang Choi and
                  Seokhyeong Kang},
  title        = {MTCMOS-based Ternary to Binary Converter},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {5--6},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333078},
  doi          = {10.1109/ISOCC50952.2020.9333078},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/BaekKCK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BasimKASAL20,
  author       = {Muhammad Basim and
                  Danial Khan and
                  Qurat Ul Ain and
                  Khuram Shehzad and
                  Muhammad Asif and
                  Kang{-}Yoon Lee},
  title        = {A High Efficient {RF-DC} Converter for {RF} Energy Harvesting Applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {37--38},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333117},
  doi          = {10.1109/ISOCC50952.2020.9333117},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/BasimKASAL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BiMM0S20,
  author       = {Ziqiang Bi and
                  Jieming Ma and
                  Ka Lok Man and
                  Yong Yue and
                  Jeremy S. Smith},
  title        = {A Novel Global Maximum Power Point Tracking Technique based on Shading
                  Detection for Photovoltaic Strings},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {165--166},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333031},
  doi          = {10.1109/ISOCC50952.2020.9333031},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BiMM0S20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/CaburnayRBLHRSS20,
  author       = {Adrian G. Caburnay and
                  Jonathan Gabriel S. A. Reyes and
                  Anastacia P. Ballesil{-}Alvarez and
                  Maria Theresa G. de Leon and
                  John Richard E. Hizon and
                  Marc D. Rosales and
                  Christopher G. Santos and
                  Maria Patricia Rouelli G. Sabino},
  title        = {Design Space Exploration of a 512KB STT-Assisted {SOT} {MRAM} Cache},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {145--146},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333087},
  doi          = {10.1109/ISOCC50952.2020.9333087},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/CaburnayRBLHRSS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/CanadaYMN20,
  author       = {Jorge Ca{\~{n}}ada and
                  Yui Yoshida and
                  Hiroki Miura and
                  Nobuhiko Nakano},
  title        = {An On-Chip Scalable Low Power Consumption High-Voltage Driver Based
                  on Standard {CMOS} Technology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {17--18},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333001},
  doi          = {10.1109/ISOCC50952.2020.9333001},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/CanadaYMN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChaKK20,
  author       = {Jaekwang Cha and
                  Jinhyuk Kim and
                  Shiho Kim},
  title        = {Skin Deformation Deteciton Sensory System for {AR} Headset Hands-free
                  Interface},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {342--343},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333101},
  doi          = {10.1109/ISOCC50952.2020.9333101},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChaKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangLHC20,
  author       = {Robert Chen{-}Hao Chang and
                  Pui{-}Sun Lei and
                  Jerry Kuei{-}Shou Huang and
                  Wei{-}Chih Chen},
  title        = {Batteryless {DC-DC} Boost Converter for Thermoelectric Energy Harvesting
                  Devices},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {101--102},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332999},
  doi          = {10.1109/ISOCC50952.2020.9332999},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangLHC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangYLX020,
  author       = {Liang Chang and
                  Siqi Yang and
                  Jiahao Liu and
                  Jianbiao Xiao and
                  Jun Zhou},
  title        = {Scalability Analysis and Modeling of XPoint-based {MRAM}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {205--206},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333009},
  doi          = {10.1109/ISOCC50952.2020.9333009},
  timestamp    = {Sat, 28 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangYLX020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenFC20,
  author       = {Yu{-}Hsiang Chen and
                  Chih{-}Peng Fan and
                  Robert Chen{-}Hao Chang},
  title        = {Prototype of Low Complexity {CNN} Hardware Accelerator with FPGA-based
                  {PYNQ} Platform for Dual-Mode Biometrics Recognition},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {189--190},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333049},
  doi          = {10.1109/ISOCC50952.2020.9333049},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenFC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenL20,
  author       = {Kun{-}Chih Jimmy Chen and
                  Jing{-}Wen Liang},
  title        = {A Two-stage Training Mechanism for the {CNN} with Trainable Activation
                  Function},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {123--124},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333116},
  doi          = {10.1109/ISOCC50952.2020.9333116},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenL20a,
  author       = {Tai{-}Wei Chen and
                  Wei{-}Liang Lin},
  title        = {3D Human Motion Reconstruction in Unity With Monocular Camera},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {191--192},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333017},
  doi          = {10.1109/ISOCC50952.2020.9333017},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenL20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenL20b,
  author       = {Kuan{-}Hung Chen and
                  Yu{-}Ta Lu},
  title        = {A Distance-Aware Technique for Object Detection Used in Self-Driving
                  Vehicles},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {197--198},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333071},
  doi          = {10.1109/ISOCC50952.2020.9333071},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenL20b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenL20c,
  author       = {Cheng{-}Hsien Chen and
                  Yeong{-}Kang Lai},
  title        = {The Influence Measures of Light Intensity on Machine Learning for
                  Semantic Segmentation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {199--200},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333018},
  doi          = {10.1109/ISOCC50952.2020.9333018},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenL20c.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenLZHC20,
  author       = {Chuen{-}Yau Chen and
                  Cheng{-}Yuan Lin and
                  Yi{-}Ze Zou and
                  Hung{-}Ming Hsiao and
                  Yen{-}Ting Chen},
  title        = {Application of Blind Signal Processing in Image Watermarking Systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {195--196},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332959},
  doi          = {10.1109/ISOCC50952.2020.9332959},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenLZHC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Cheon020,
  author       = {Sungsoo Cheon and
                  Jongsun Park},
  title        = {A Bit-Line Boosting Technique for Fast Bit-Line Computation without
                  Read Disturbance},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {294--295},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333096},
  doi          = {10.1109/ISOCC50952.2020.9333096},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Cheon020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoK20,
  author       = {Manhee Cho and
                  Youngmin Kim},
  title        = {Nanoelectromechanical Memory Switch based Ternary Content-Addressable
                  Memory},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {274--275},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332924},
  doi          = {10.1109/ISOCC50952.2020.9332924},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoK20a,
  author       = {Jaeik Cho and
                  Youngmin Kim},
  title        = {Low Power Approximate Multiplier Using Error Tolerant Adder},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {298--299},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332952},
  doi          = {10.1109/ISOCC50952.2020.9332952},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoK20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoL20,
  author       = {Younggyun Cho and
                  Mi Lu},
  title        = {A Reconfigurable Approximate Floating-Point Multiplier with kNN},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {117--118},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332978},
  doi          = {10.1109/ISOCC50952.2020.9332978},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoS020,
  author       = {Junghoon Cho and
                  Junhyun Song and
                  Jongsun Park},
  title        = {Implementation of Low Cost {ARIA} Architecture with Composite Field
                  Optimization and Datapath Modification},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {304--305},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332954},
  doi          = {10.1109/ISOCC50952.2020.9332954},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoS020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Choi020,
  author       = {Sunghyun Choi and
                  Jongsun Park},
  title        = {Early Termination of {STDP} Learning with Spike Counts in Spiking
                  Neural Networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {75--76},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333061},
  doi          = {10.1109/ISOCC50952.2020.9333061},
  timestamp    = {Thu, 04 Nov 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Choi020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Choi20,
  author       = {Woo{-}Young Choi},
  title        = {Effective Software Scheme of the Space Vector Modulation Using One-Chip
                  Micro-Controller},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {336--337},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333010},
  doi          = {10.1109/ISOCC50952.2020.9333010},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Choi20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKBK20,
  author       = {Youngchang Choi and
                  Sunmean Kim and
                  Seunghan Baek and
                  Seokhyeong Kang},
  title        = {Low-Power 4-Trit Current-Steering {DAC} for Ternary Data Conversion},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {254--255},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332983},
  doi          = {10.1109/ISOCC50952.2020.9332983},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKBK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKC20,
  author       = {Duheon Choi and
                  Kwangsu Kim and
                  Eui{-}Young Chung},
  title        = {Asymmetric Prefetching Architecture for Multicore Processor},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {13--14},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332921},
  doi          = {10.1109/ISOCC50952.2020.9332921},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKLPL20,
  author       = {Joo{-}Sung Choi and
                  Suk{-}Ju Kang and
                  Min{-}Ji Lee and
                  Jun{-}Young Park and
                  Ji{-}Won Lee},
  title        = {Sequential Compression Using Efficient {LUT} Correlation for Display
                  Defect Compensation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {115--116},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332953},
  doi          = {10.1109/ISOCC50952.2020.9332953},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKLPL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKPLPBLY20,
  author       = {Jungyun Choi and
                  Kyungsu Kang and
                  Sangho Park and
                  Seunghan Lee and
                  YoHan Park and
                  Byeongwook Bae and
                  Byunghoon Lee and
                  ByungChul Yoo},
  title        = {On-chip Interconnect Optimization and Validation using Virtual Platform},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {53--54},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333094},
  doi          = {10.1109/ISOCC50952.2020.9333094},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKPLPBLY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiL20,
  author       = {Soon Ho Choi and
                  Kang{-}Yoon Lee},
  title        = {A Design of 5.8 GHz Ultralow-Power Wake-up Receiver: 14 kHz On Off
                  Keying for {DSRC} Application},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {260--261},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332976},
  doi          = {10.1109/ISOCC50952.2020.9332976},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiLPJC20,
  author       = {Seong Bin Choi and
                  Sang{-}Seol Lee and
                  Jonghee Park and
                  Sung{-}Joon Jang and
                  Byung{-}Ho Choi},
  title        = {Efficient final output feature map processing method supporting real-time
                  object detection and recognition},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {324--325},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333051},
  doi          = {10.1109/ISOCC50952.2020.9333051},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiLPJC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiYY20,
  author       = {Soyeon Choi and
                  Jieun Yeo and
                  Hoyoung Yoo},
  title        = {Extraction of {ROM} Data from Bitstream in Xilinx {FPGA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {97--98},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333036},
  doi          = {10.1109/ISOCC50952.2020.9333036},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiYY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/CovielloAF20,
  author       = {Giuseppe Coviello and
                  Gianfranco Avitabile and
                  Antonello Florio},
  title        = {The Effects of Timing Error Sources in Wireless Multi-Unit Off-Line
                  Acquisition Systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {159--160},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333082},
  doi          = {10.1109/ISOCC50952.2020.9333082},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/CovielloAF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DiaHAHRSSL20,
  author       = {Christian Joseph Dia and
                  Ralfael Himor and
                  Anastacia B. Alvarez and
                  John Richard E. Hizon and
                  Marc D. Rosales and
                  Maria Patricia Rouelli Sabino{-}Santos and
                  Christopher Santos and
                  Maria Theresa G. de Leon},
  title        = {An Interface for Shock Inputs in Piezoelectric Energy Harvesting using
                  Synchronous Electric Charge Extraction},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {103--104},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332936},
  doi          = {10.1109/ISOCC50952.2020.9332936},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DiaHAHRSSL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DuBHYK0K20,
  author       = {Yuanyang Du and
                  Xueyan Bai and
                  Manato Hirai and
                  Shuhei Yamamoto and
                  Anna Kuwana and
                  Haruo Kobayashi and
                  Kazuyoshi Kubo},
  title        = {Digital-to-Analog Converter Architectures Based on Polygonal and Prime
                  Numbers},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {7--8},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333102},
  doi          = {10.1109/ISOCC50952.2020.9333102},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DuBHYK0K20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DuML20,
  author       = {Yu Du and
                  Ka Lok Man and
                  Eng Gee Lim},
  title        = {Image Radar-based Traffic Surveillance System: An all-weather sensor
                  as intelligent transportation infrastructure component},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {161--162},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333124},
  doi          = {10.1109/ISOCC50952.2020.9333124},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DuML20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Duong-NgocKL20,
  author       = {Phap Duong{-}Ngoc and
                  Yong{-}Jin Kim and
                  Hanho Lee},
  title        = {Efficient {\textdollar}k{\textdollar}-Parallel Pipelined {NTT} Architecture
                  for Post Quantum Cryptography},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {212--213},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332806},
  doi          = {10.1109/ISOCC50952.2020.9332806},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Duong-NgocKL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ElbtitySLK20,
  author       = {Mohammed E. Elbtity and
                  Hyun{-}Wook Son and
                  Dong{-}Yeong Lee and
                  HyungWon Kim},
  title        = {High Speed, Approximate Arithmetic Based Convolutional Neural Network
                  Accelerator},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {71--72},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333013},
  doi          = {10.1109/ISOCC50952.2020.9333013},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ElbtitySLK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FlorioACMM20,
  author       = {Antonello Florio and
                  Gianfranco Avitabile and
                  Giuseppe Coviello and
                  Jieming Ma and
                  Ka Lok Man},
  title        = {The Impact of Coherent Signal Reception on Interferometric Angle of
                  Arrival Estimation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {167--168},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333100},
  doi          = {10.1109/ISOCC50952.2020.9333100},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/FlorioACMM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GyaangLK20,
  author       = {Raymond Gyaang and
                  Dong{-}Ho Lee and
                  Jusung Kim},
  title        = {Design and Validation of a Blocker Rejection {LNA} with On-Chip Dual-Notch
                  Filters},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {31--32},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332933},
  doi          = {10.1109/ISOCC50952.2020.9332933},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/GyaangLK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeCKK20,
  author       = {Yixuan He and
                  Minsu Choi and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {An Ultra-Low-Power Tunable Bump Circuit using Source-Degenerated Differential
                  Transconductor},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {131--132},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332988},
  doi          = {10.1109/ISOCC50952.2020.9332988},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeCKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HejaziRAL20,
  author       = {Arash Hejazi and
                  Behnam Samadpoor Rikan and
                  Seyed Ali Hosseini Asl and
                  Kang{-}Yoon Lee},
  title        = {A Sub-1-mW Fractional-N Phase-Locked Loop For Mixer-Based Wake-up
                  Receiver In Wireless Sensors},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {220--221},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333095},
  doi          = {10.1109/ISOCC50952.2020.9333095},
  timestamp    = {Thu, 17 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HejaziRAL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeoKYKKY20,
  author       = {Hyunwoo Heo and
                  Hyungseup Kim and
                  Donggeun You and
                  Yongsu Kwon and
                  Hyoungho Ko and
                  Yil{-}Suk Yang},
  title        = {Phase-Locked Loop-Based Nanoresonator Integrated Circuit for Motional
                  Resistance Sensing with Automatic Gain Control},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {226--227},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333108},
  doi          = {10.1109/ISOCC50952.2020.9333108},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeoKYKKY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HikidaNT20,
  author       = {Takuma Hikida and
                  Hiroki Nishikawa and
                  Hiroyuki Tomiyama},
  title        = {Heuristic Algorithms for Dynamic Scheduling of Moldable Tasks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {55--56},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333067},
  doi          = {10.1109/ISOCC50952.2020.9333067},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HikidaNT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HiraiTGYK020,
  author       = {Manato Hirai and
                  Hiroshi Tanimoto and
                  Yuji Gendai and
                  Shuhei Yamamoto and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Nonlinearity Analysis of Resistive Ladder-Based Current-Steering Digital-to-Analog
                  Converter},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {9--10},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332949},
  doi          = {10.1109/ISOCC50952.2020.9332949},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HiraiTGYK020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HirotaTO20,
  author       = {Yusuke Hirota and
                  Ittetsu Taniguchi and
                  Takao Onoye},
  title        = {Parallelization of Local Path Planning for High Reliable Autonomous
                  Drones},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {67--68},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333111},
  doi          = {10.1109/ISOCC50952.2020.9333111},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HirotaTO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HoPLCG20,
  author       = {Weng{-}Geng Ho and
                  Ali Akbar Pammu and
                  Ne Kyaw Zwa Lwin and
                  Kwen{-}Siong Chong and
                  Bah{-}Hwee Gwee},
  title        = {High Throughput and Secure Authentication-Encryption on Asynchronous
                  Multicore Processor for Edge Computing IoT Applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {173--174},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333008},
  doi          = {10.1109/ISOCC50952.2020.9333008},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HoPLCG20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongLA020,
  author       = {Jiun Hong and
                  TaeGeon Lee and
                  Saad Arslan and
                  HyungWon Kim},
  title        = {Compact {CNN} Training Accelerator with Variable Floating-Point Datapath},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {326--327},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332986},
  doi          = {10.1109/ISOCC50952.2020.9332986},
  timestamp    = {Fri, 19 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongLA020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HosonoSYHIK0MHO20,
  author       = {Takashi Hosono and
                  Lei Sha and
                  Souma Yamamoto and
                  Mayu Hirano and
                  Takashi Ida and
                  Anna Kuwana and
                  Haruo Kobayashi and
                  Yoichi Moroshima and
                  Hiromichi Harakawa and
                  Takeshi Oikawa},
  title        = {Improved Nagata Current Source Insensitive to Temperature and Power
                  Supply Voltage},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {151--152},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333005},
  doi          = {10.1109/ISOCC50952.2020.9333005},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HosonoSYHIK0MHO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HsuYW20,
  author       = {Hao{-}Hsiang Hsu and
                  Ching{-}Yuan Yang and
                  Dung{-}An Wang},
  title        = {A High-Efficiency Parallel-SSHI Rectifier for Piezoelectric Energy
                  Harvesting},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {222--223},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333099},
  doi          = {10.1109/ISOCC50952.2020.9333099},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HsuYW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HwangKC20,
  author       = {Do{-}Yeon Hwang and
                  Yeon{-}Jin Kim and
                  Jin{-}Gyun Chung},
  title        = {{CAN} Security Protocol Using Modified {MAC}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {308--309},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332998},
  doi          = {10.1109/ISOCC50952.2020.9332998},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HwangKC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IdaNKTT20,
  author       = {Mayu Ida and
                  Hiroki Nishikawa and
                  Xiangbo Kong and
                  Ittetsu Taniguchi and
                  Hiroyuki Tomiyama},
  title        = {A Quadcopters Flight Simulation Considering the Influence of Wind},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {334--335},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333107},
  doi          = {10.1109/ISOCC50952.2020.9333107},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/IdaNKTT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IizukaIHA20,
  author       = {Kensuke Iizuka and
                  Kohei Ito and
                  Kazuei Hironaka and
                  Hideharu Amano},
  title        = {A Method of Partitioning Convolutional Layer to Multiple FPGAs},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {25--26},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332929},
  doi          = {10.1109/ISOCC50952.2020.9332929},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/IizukaIHA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IsozakiNUN20,
  author       = {Tsuyoshi Isozaki and
                  Takumi Nara and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Analysis of Synchronization Phenomena in Complex Networks Consisting
                  of van der Pol Oscillators},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {187--188},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333015},
  doi          = {10.1109/ISOCC50952.2020.9333015},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/IsozakiNUN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Jeong020,
  author       = {Jinho Jeong and
                  Jongsun Park},
  title        = {Fast 6T {SRAM} Bit-Line Computing with Consecutive Short Pulse Word-Lines
                  and Skewed Inverter},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {292--293},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333058},
  doi          = {10.1109/ISOCC50952.2020.9333058},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Jeong020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongHC20,
  author       = {Taeyang Jeong and
                  Sangwoo Han and
                  Eui{-}Young Chung},
  title        = {A Fast Full-System Simulation Environment for Memory System Evaluation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {99--100},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333006},
  doi          = {10.1109/ISOCC50952.2020.9333006},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongHC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongKI20,
  author       = {SeoHyeong Jeong and
                  Dongmin Kim and
                  Donggu Im},
  title        = {A Baseband Analog Spectrum Sensing Unit Employing Super Source Follower-Based
                  Channel Selection Filters},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {33--34},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333060},
  doi          = {10.1109/ISOCC50952.2020.9333060},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongKI20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JhongCHHLHW20,
  author       = {Wun{-}Siou Jhong and
                  Shao{-}I Chu and
                  Yu{-}Jung Huang and
                  Tsun{-}Yi Hsu and
                  Wei{-}Chen Lin and
                  Pokai Huang and
                  Jia{-}Jung Wang},
  title        = {Deep Learning Hardware/Software Co-Design for Heart Sound Classification},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {27--28},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333069},
  doi          = {10.1109/ISOCC50952.2020.9333069},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JhongCHHLHW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoL20,
  author       = {Cheol{-}Won Jo and
                  Kwang{-}Yeob Lee},
  title        = {Bit-Serial multiplier based Neural Processing Element with Approximate
                  adder tree},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {286--287},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332993},
  doi          = {10.1109/ISOCC50952.2020.9332993},
  timestamp    = {Wed, 15 Jun 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JoL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoP20,
  author       = {Joongho Jo and
                  Jongsun Park},
  title        = {Confidence Score based Mini-batch Skipping for {CNN} Training on Mini-batch
                  Training Environment},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {129--130},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332956},
  doi          = {10.1109/ISOCC50952.2020.9332956},
  timestamp    = {Sat, 13 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JoP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungL20,
  author       = {Eun{-}Young Jung and
                  Won{-}Young Lee},
  title        = {A Fast Locking Duty Cycle Corrector with High Accuracy},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {45--46},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332963},
  doi          = {10.1109/ISOCC50952.2020.9332963},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungLLKJ20,
  author       = {Woosong Jung and
                  Jinhyung Lee and
                  Kwangho Lee and
                  Hyojun Kim and
                  Deog{-}Kyoon Jeong},
  title        = {A 8.4Gb/s Low Power Transmitter with 1.66 pJ/b using 40: 1 Serializer
                  for DisplayPort Interface},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {41--42},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333028},
  doi          = {10.1109/ISOCC50952.2020.9333028},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungLLKJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KBPS20,
  author       = {Pradeep K and
                  Mohith B and
                  Manjunath K. P and
                  Sunita M. S},
  title        = {Comparative analysis of FinFET and Planar {MOSFET} SRAMs},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {11--12},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333122},
  doi          = {10.1109/ISOCC50952.2020.9333122},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KBPS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KangLS20,
  author       = {Shinyoung Kang and
                  Juyoung Lee and
                  Yunheub Song},
  title        = {Investigation on Synaptic Characteristics of Interfacial Phase Change
                  Memory for Artificial Synapse Application},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {169--170},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332972},
  doi          = {10.1109/ISOCC50952.2020.9332972},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KangLS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kim020,
  author       = {Geonho Kim and
                  Jongsun Park},
  title        = {Low Cost Early Exit Decision Unit Design for {CNN} Accelerator},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {127--128},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333079},
  doi          = {10.1109/ISOCC50952.2020.9333079},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kim020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimC20,
  author       = {Yeon{-}Jin Kim and
                  Jin{-}Gyun Chung},
  title        = {Variable Length {MAC} for {CAN} Security Protocol},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {272--273},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333089},
  doi          = {10.1109/ISOCC50952.2020.9333089},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimCHK20,
  author       = {Taeyeon Kim and
                  Sunguk Choi and
                  S. Han and
                  Jongsun Kim},
  title        = {An All-Digital {MDLL} for Programmable N/M-ratio Frequency Multiplication},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {230--231},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332935},
  doi          = {10.1109/ISOCC50952.2020.9332935},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimCHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimCKBKA20,
  author       = {Tae{-}Gwan Kim and
                  Kang{-}Il Cho and
                  Ho{-}Jin Kim and
                  Jun{-}Ho Boo and
                  Yong{-}Sik Kwak and
                  Gil{-}Cho Ahn},
  title        = {A Third-Order {DT} Delta-Sigma Modulator With Noise-Coupling Technique},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {3--4},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332984},
  doi          = {10.1109/ISOCC50952.2020.9332984},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimCKBKA20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimCM20,
  author       = {Min{-}Joon Kim and
                  Sung{-}Hun Chae and
                  Yeon{-}Kug Moon},
  title        = {Implementation of Real-time Simulation System for Li-ion Battery Protection
                  Circuit Module},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {268--269},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332932},
  doi          = {10.1109/ISOCC50952.2020.9332932},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimCM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimCM20a,
  author       = {Min{-}Joon Kim and
                  Sung{-}Hun Chae and
                  Yeon{-}Kug Moon},
  title        = {Adaptive Battery State-of-Charge Estimation Method for Electric Vehicle
                  Battery Management System},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {288--289},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332950},
  doi          = {10.1109/ISOCC50952.2020.9332950},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimCM20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimHM20,
  author       = {Junghwan Kim and
                  Jongkil Hyun and
                  Byungin Moon},
  title        = {Low-cost Hardware Architecture for Integral Image Generation using
                  Word Length Reduction},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {119--120},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332974},
  doi          = {10.1109/ISOCC50952.2020.9332974},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimHM20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimJ20,
  author       = {WonJong Kim and
                  HyeGang Jun},
  title        = {Fast Prototyping of a Deep Neural Network on an {FPGA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {214--215},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333030},
  doi          = {10.1109/ISOCC50952.2020.9333030},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimJC20,
  author       = {Dohyun Kim and
                  Taeyang Jeong and
                  Eui{-}Young Chung},
  title        = {Resource Utilization Optimized Design Method for Matched Filter of
                  {PSS} Searcher},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {290--291},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333027},
  doi          = {10.1109/ISOCC50952.2020.9333027},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimJC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK20,
  author       = {Hyunju Kim and
                  Youngmin Kim},
  title        = {Binary Content-Addressable Memory System using Nanoelectromechanical
                  Memory Switch},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {270--271},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332913},
  doi          = {10.1109/ISOCC50952.2020.9332913},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK20a,
  author       = {Sungrae Kim and
                  Hyun Kim},
  title        = {Mixture of Deterministic and Stochastic Quantization Schemes for Lightweight
                  {CNN}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {314--315},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332958},
  doi          = {10.1109/ISOCC50952.2020.9332958},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK20b,
  author       = {Nam Joon Kim and
                  Hyun Kim},
  title        = {Mask-Soft Filter Pruning for Lightweight {CNN} Inference},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {316--317},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333054},
  doi          = {10.1109/ISOCC50952.2020.9333054},
  timestamp    = {Wed, 22 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK20b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKPC20,
  author       = {Yoon Woo Kim and
                  Min Gu Kim and
                  Yellappa Palagani and
                  Jun Rim Choi},
  title        = {13.56 MHz High-Efficiency Power Transmitter and Receiver for Wirelessly
                  Powered Biomedical Implants},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {234--235},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333112},
  doi          = {10.1109/ISOCC50952.2020.9333112},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKPC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimL20,
  author       = {Baek Hwan Kim and
                  Kang{-}Yoon Lee},
  title        = {{ASK} Modulator Spur reduction using Sigma Delta Modulator and Oscillator},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {35--36},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332975},
  doi          = {10.1109/ISOCC50952.2020.9332975},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimL20a,
  author       = {Min Yeong Kim and
                  Kang{-}Yoon Lee},
  title        = {A Design of Charge Pump for Low Noise Phase-Locked Loops using Clock
                  Quadrature},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {262--263},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333003},
  doi          = {10.1109/ISOCC50952.2020.9333003},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimL20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLJKK20,
  author       = {Jihye Kim and
                  Hayoung Lee and
                  Seokjun Jang and
                  Hogyeong Kim and
                  Sungho Kang},
  title        = {Memory-like Defect Diagnosis for {CMOL} FPGAs},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {139--140},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332927},
  doi          = {10.1109/ISOCC50952.2020.9332927},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLJKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLPNSKS20,
  author       = {Hyungmin Kim and
                  Daniel Juhun Lee and
                  Soyoun Park and
                  Taemin Nho and
                  YoungChul Shin and
                  Seongkweon Kim and
                  Dongha Shim},
  title        = {Current Mode Neuromorphic Implementation using Current Memory},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {248--249},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332996},
  doi          = {10.1109/ISOCC50952.2020.9332996},
  timestamp    = {Sat, 13 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLPNSKS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP20,
  author       = {Jooyoon Kim and
                  Jongsun Park},
  title        = {Variation-Tolerant Separated Pre-Charge Sense Amplifier for Resistive
                  Non-Volatile logic circuit},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {147--148},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333037},
  doi          = {10.1109/ISOCC50952.2020.9333037},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimPJ20,
  author       = {Hyojun Kim and
                  Jun{-}Eun Park and
                  Deog{-}Kyoon Jeong},
  title        = {An Area-Efficient Temperature Compensated Sub-Threshold {CMOS} Voltage
                  Reference},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {153--154},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333020},
  doi          = {10.1109/ISOCC50952.2020.9333020},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimPJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KitamuraUN20,
  author       = {Kodai Kitamura and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Maintaining Images by Cellular Neural Networks with Switching Two
                  Templates},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {183--184},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332939},
  doi          = {10.1109/ISOCC50952.2020.9332939},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KitamuraUN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KohJHJ20,
  author       = {Daehyun Koh and
                  Dainel Jeong and
                  Jeongho Hwang and
                  Deog{-}Kyoon Jeong},
  title        = {Optical Receiver Front-end for Active Optical Cable in 180 nm {CMOS}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {43--44},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333024},
  doi          = {10.1109/ISOCC50952.2020.9333024},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KohJHJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonKYHK020,
  author       = {Yongsu Kwon and
                  Hyungseup Kim and
                  Donggeun You and
                  Hyunwoo Heo and
                  Hyoungho Ko and
                  Sangmin Lee},
  title        = {A 28.4 nV/{\(\surd\)}Hz Chopper Stabilized Current Feedback Instrumentation
                  Amplifier with Auto Offset Calibration {DAC} for Resistive Bridge
                  Sensor},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {228--229},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332997},
  doi          = {10.1109/ISOCC50952.2020.9332997},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonKYHK020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LakshmiMS20,
  author       = {P. Vijaya Lakshmi and
                  Sarada Musala and
                  Avireni Srinivasulu},
  title        = {Low Power High Speed Body Driven Comparator for Implantable Electronics},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {149--150},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333059},
  doi          = {10.1109/ISOCC50952.2020.9333059},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LakshmiMS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeYKBK20,
  author       = {Van Loi Le and
                  Taegeun Yoo and
                  Ju Eon Kim and
                  Kwang{-}Hyun Baek and
                  Tony Tae{-}Hyoung Kim},
  title        = {A Low-Power Smart Gesture Sensing SoC with On-chip Image Sensor for
                  Smart Devices},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {171--172},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332985},
  doi          = {10.1109/ISOCC50952.2020.9332985},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeYKBK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeCSBKP20,
  author       = {Minji Lee and
                  Changseok Choi and
                  Donghyeon Seo and
                  Byeongjun Bang and
                  Yongseok Kang and
                  Woohyun Paik},
  title        = {Improving Analysis Coverage for Dynamic {IR} Drop Sign-off in FinFET
                  SoC Design},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {332--333},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332987},
  doi          = {10.1109/ISOCC50952.2020.9332987},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeCSBKP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeHKK20,
  author       = {Hayoung Lee and
                  Donghyun Han and
                  Hogyeong Kim and
                  Sungho Kang},
  title        = {Redundancy Analysis Optimization with Clustered Known Solutions for
                  High Speed Repair},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {51--52},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332931},
  doi          = {10.1109/ISOCC50952.2020.9332931},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeHKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK20,
  author       = {Seokho Lee and
                  Youngmin Kim},
  title        = {Booth Fusion: Efficient Bit Fusion Multiplier with Booth Encoding},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {73--74},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332943},
  doi          = {10.1109/ISOCC50952.2020.9332943},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK20a,
  author       = {Seungho Lee and
                  Youngmin Kim},
  title        = {Implementation of Modular Subtraction Unit for NTT-based Polynomial
                  Multiplier},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {284--285},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332926},
  doi          = {10.1109/ISOCC50952.2020.9332926},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK20b,
  author       = {Seung Il Lee and
                  Hyun Kim},
  title        = {Instant and Accurate Instance Segmentation Equipped with Path Aggregation
                  and Attention Gate},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {320--321},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332981},
  doi          = {10.1109/ISOCC50952.2020.9332981},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK20b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKC20,
  author       = {Gi Lee and
                  Byoung Jin Kim and
                  Eui{-}Young Chung},
  title        = {Exploring Replacement Policy for Memcached},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {296--297},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332942},
  doi          = {10.1109/ISOCC50952.2020.9332942},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeL20,
  author       = {Dong Won Lee and
                  Kang{-}Yoon Lee},
  title        = {A Design of 5.8GHz Tunable Band Noise Cancelling {CMOS} {LNA} for
                  {DSRC} Communications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {89--90},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332934},
  doi          = {10.1109/ISOCC50952.2020.9332934},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLJL20,
  author       = {Seung{-}Yeong Lee and
                  Jae{-}Hyoung Lee and
                  Hyeonguk Jang and
                  Woojoo Lee},
  title        = {A Framework for Detecting the Presence of an Unattended Child in a
                  Vehicle},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {59--60},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332914},
  doi          = {10.1109/ISOCC50952.2020.9332914},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLJL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLLC20,
  author       = {Eunchong Lee and
                  Yongseok Lee and
                  Sang{-}Seol Lee and
                  Byoung{-}Ho Choi},
  title        = {Implementation of a Round Robin Processing Element for Deep Learning
                  Accelerator},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {302--303},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333012},
  doi          = {10.1109/ISOCC50952.2020.9333012},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLLC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeS20,
  author       = {Kyongsu Lee and
                  Jae{-}Yoon Sim},
  title        = {High-speed transceiver network for in-vehicle communication system},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {264--265},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333103},
  doi          = {10.1109/ISOCC50952.2020.9333103},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeSKK20,
  author       = {Jungwon Lee and
                  Hyoju Seo and
                  Yerin Kim and
                  Yongtae Kim},
  title        = {Design of a Low-Cost Approximate Adder with a Zero Truncation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {69--70},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332971},
  doi          = {10.1109/ISOCC50952.2020.9332971},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeSKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LewP20,
  author       = {Dongwoo Lew and
                  Jongsun Park},
  title        = {Early Image Termination Technique During {STDP} Training of Spiking
                  Neural Network},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {79--80},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333081},
  doi          = {10.1109/ISOCC50952.2020.9333081},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LewP20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiYF20,
  author       = {Wei{-}Chih Li and
                  Cheng{-}Jie Yang and
                  Wai{-}Chi Fang},
  title        = {A Real-time Emotion Recognition System Based on an {AI} System-On-Chip
                  Design},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {29--30},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333072},
  doi          = {10.1109/ISOCC50952.2020.9333072},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiYF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LimKKK20,
  author       = {Hyeonchan Lim and
                  Tae Hyun Kim and
                  Seunghwan Kim and
                  Sungho Kang},
  title        = {Diagnosis of Scan Chain Faults Based-on Machine-Learning},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {57--58},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333074},
  doi          = {10.1109/ISOCC50952.2020.9333074},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LimKKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinYH20,
  author       = {Derek Lin and
                  Jun{-}Yu Yang and
                  Shi{-}Yu Huang},
  title        = {A Voting Phase Detector Design with Mitigated Process Variation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {91--92},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333007},
  doi          = {10.1109/ISOCC50952.2020.9333007},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinYH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiuH20,
  author       = {Jiayi Liu and
                  Kejie Huang},
  title        = {A Novel Scheme to Map Convolutional Networks to Network-on-Chip with
                  Computing-In-Memory Nodes},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {126--127},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332940},
  doi          = {10.1109/ISOCC50952.2020.9332940},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiuH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MandaPOHK20,
  author       = {Manikanta Prahlad Manda and
                  Chan Su Park and
                  ByeongCheol Oh and
                  Daijoon Hyun and
                  Hi{-}Seok Kim},
  title        = {Pedestrian Detection in Infrared Thermal Images Based on Raised Cosine
                  Distribution},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {278--279},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332804},
  doi          = {10.1109/ISOCC50952.2020.9332804},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MandaPOHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MehmoodS20,
  author       = {Zubair Mehmood and
                  Munkyo Seo},
  title        = {A Wideband Distributed Demodulator at 100 GHz},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {83--84},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332955},
  doi          = {10.1109/ISOCC50952.2020.9332955},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MehmoodS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MehmoodS20a,
  author       = {Zubair Mehmood and
                  Munkyo Seo},
  title        = {A 100 GHz {LO} Cancellation Based High Speed {OOK} Modulator},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {85--86},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333121},
  doi          = {10.1109/ISOCC50952.2020.9333121},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MehmoodS20a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MengZMT20,
  author       = {Zelin Meng and
                  Zhiyu Zhang and
                  Lin Meng and
                  Hiroyuki Tomiyama},
  title        = {A Case Study on Rubbing Character Recognition Based on Deep Learning},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {318--319},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333064},
  doi          = {10.1109/ISOCC50952.2020.9333064},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MengZMT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MetkuCKK20,
  author       = {Prashanthi Metku and
                  Minsu Choi and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {Gate Diffusion Input Multi-Threshold Null Convention Logic Circuit
                  Design Approach},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {282--283},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332941},
  doi          = {10.1109/ISOCC50952.2020.9332941},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MetkuCKK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MinL20,
  author       = {Jung Gyu Min and
                  Youngjoo Lee},
  title        = {High-Quality {HTTP} Live Streaming System for Limited Communication
                  Bandwidth},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {113--114},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333086},
  doi          = {10.1109/ISOCC50952.2020.9333086},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MinL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MishraKKCK20,
  author       = {Ashutosh Mishra and
                  Jinhyuk Kim and
                  Dohyun Kim and
                  Jaekwang Cha and
                  Shiho Kim},
  title        = {An Intelligent In-cabin Monitoring System in Fully Autonomous Vehicles},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {61--62},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333062},
  doi          = {10.1109/ISOCC50952.2020.9333062},
  timestamp    = {Tue, 24 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/MishraKKCK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MishraKR20,
  author       = {Ashutosh Mishra and
                  Shiho Kim and
                  N. S. Rajput},
  title        = {An Efficient Sensory System for Intelligent Gas Monitoring Accurate
                  classification and precise quantification of gases/ odors},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {338--339},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332957},
  doi          = {10.1109/ISOCC50952.2020.9332957},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/MishraKR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NasrollahpourMZ20,
  author       = {Mehdi Nasrollahpour and
                  Alexei Matyushov and
                  Mohsen Zaeimbashi and
                  Nian Xiang Sun},
  title        = {A Low Noise {MEMS} Based {CMOS} Resonator Using Magnetoelectric Sensor},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {63--64},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332807},
  doi          = {10.1109/ISOCC50952.2020.9332807},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/NasrollahpourMZ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NoH20,
  author       = {Taeung No and
                  Jaeduk Han},
  title        = {Design Techniques for Robust and Area-efficient Current Sources in
                  Nanometer {CMOS} Technology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {232--233},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333000},
  doi          = {10.1109/ISOCC50952.2020.9333000},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/NoH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhCL20,
  author       = {Hyun Woo Oh and
                  Kwon Neung Cho and
                  Seung Eun Lee},
  title        = {Design of 32-bit Processor for Embedded Systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {306--307},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332944},
  doi          = {10.1109/ISOCC50952.2020.9332944},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OhCL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKJJPL20,
  author       = {JoonHong Park and
                  David Kim and
                  Ree Jin Joe and
                  JongWan Jo and
                  YoungGun Pu and
                  Kang{-}Yoon Lee},
  title        = {Multi-band {PLL} for {RF} wireless charger at 2.4 GHz and 5.8 GHz},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {39--40},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333120},
  doi          = {10.1109/ISOCC50952.2020.9333120},
  timestamp    = {Sun, 25 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKJJPL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKLNKS20,
  author       = {Soyoun Park and
                  Hyungmin Kim and
                  Daniel Juhun Lee and
                  Taemin Nho and
                  Seongkweon Kim and
                  Dongha Shim},
  title        = {Reduced power consumption Current-mode {ADC} using {SAR} logic for
                  {AI} application},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {256--257},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332945},
  doi          = {10.1109/ISOCC50952.2020.9332945},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKLNKS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkL20,
  author       = {Jongmin Park and
                  Youngjoo Lee},
  title        = {Low-Complexity DNN-Based End-to-End Automatic Speech Recognition using
                  Low-Rank Approximation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {210--211},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332970},
  doi          = {10.1109/ISOCC50952.2020.9332970},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkLJI20,
  author       = {So{-}Hyeon Park and
                  Jae{-}Hee Lee and
                  Hang{-}Geun Jeong and
                  Donggu Im},
  title        = {Design of a {CMOS} Current-mode Squaring Circuit for Training Analog
                  Neural Networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {250--251},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333090},
  doi          = {10.1109/ISOCC50952.2020.9333090},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkLJI20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkSK20,
  author       = {Ye In Park and
                  Jou Won Song and
                  Suk{-}Ju Kang},
  title        = {{HDR} Image Generator Focused on Saturated Region Restoration with
                  Contextual Loss},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {312--313},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333073},
  doi          = {10.1109/ISOCC50952.2020.9333073},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkSK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PhamL20,
  author       = {Thang Xuan Pham and
                  Hanho Lee},
  title        = {Efficient Check Node Unit Architecture for Non-binary Quasi-Cyclic
                  {LDPC} Codes},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {216--217},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333048},
  doi          = {10.1109/ISOCC50952.2020.9333048},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PhamL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PhamNLK20,
  author       = {Quan{-}Dung Pham and
                  Xuan Truong Nguyen and
                  Hyuk{-}Jae Lee and
                  Hyun Kim},
  title        = {An MAE-aware {ROI} Sampling Model for LiDAR},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {276--277},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333034},
  doi          = {10.1109/ISOCC50952.2020.9333034},
  timestamp    = {Wed, 22 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PhamNLK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/QuintansNTSALHS20,
  author       = {Sean Kane Lloyd M. Quintans and
                  Francesca Bea V. Narcida and
                  Janelle Eira A. Tordesillas and
                  Maria Patricia Rouelli G. Sabino and
                  Anastacia B. Alvarez and
                  Maria Theresa G. de Leon and
                  John Richard E. Hizon and
                  Christopher G. Santos and
                  Marc D. Rosales},
  title        = {5 Gb/s Optical Transceiver for {MEMS} Tunable {HCG-VCSEL} in 65 nm
                  {CMOS}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {47--48},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333075},
  doi          = {10.1109/ISOCC50952.2020.9333075},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/QuintansNTSALHS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RanaK20,
  author       = {Amrita Rana and
                  Kyung Ki Kim},
  title        = {A Lightweight {DNN} for {ECG} Image Classification},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {328--329},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332968},
  doi          = {10.1109/ISOCC50952.2020.9332968},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RanaK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Rho0KC20,
  author       = {Daewon Rho and
                  Minkyu Kim and
                  Hyun{-}Kyu Kim and
                  Woo{-}Young Choi},
  title        = {Performance Optimization of Silicon Photonic Ring Switch with {CMOS}
                  Driver},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {49--50},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332951},
  doi          = {10.1109/ISOCC50952.2020.9332951},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Rho0KC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SDBVT20,
  author       = {Sunita M. S and
                  Mayur G. D and
                  Preet Bedi and
                  Nagesh Verma and
                  Shashidhar Tantry},
  title        = {50 MHz 3-Level Buck Converter with added Boost Converter},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {109--110},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333088},
  doi          = {10.1109/ISOCC50952.2020.9333088},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SDBVT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SDVR20,
  author       = {Karthik S and
                  Karthick D and
                  Sanjaya M. V and
                  Madhav Rao},
  title        = {Design and Implementation of a Low Power Ternary Content Addressable
                  Memory {(TCAM)}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {15--16},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333092},
  doi          = {10.1109/ISOCC50952.2020.9333092},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SDVR20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SekiyaMNW20,
  author       = {Hiroo Sekiya and
                  Jingyue Ma and
                  Kien Nguyen and
                  Xiuqin Wei},
  title        = {Design of Class-{\(\Phi\)}3 Inverter},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {179--180},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333077},
  doi          = {10.1109/ISOCC50952.2020.9333077},
  timestamp    = {Tue, 05 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SekiyaMNW20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeoEJ20,
  author       = {Byeong Jae Seo and
                  Yun Seong Eo and
                  Seung{-}Hwan Jung},
  title        = {A K-band VCO-based Impulse Generator for {UWB} Radar Sensors},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {87--88},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333093},
  doi          = {10.1109/ISOCC50952.2020.9333093},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeoEJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeoYK20,
  author       = {Hyoju Seo and
                  Yoon Seok Yang and
                  Yongtae Kim},
  title        = {An Energy-Efficient Imprecise Adder with a Lower-part Constant Approximation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {143--144},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332922},
  doi          = {10.1109/ISOCC50952.2020.9332922},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeoYK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShaKHK20,
  author       = {Lei Sha and
                  Anna Kuwana and
                  Masashi Horiguchi and
                  Haruo Kobayashi},
  title        = {Simple Reference Voltage Generation Circuit Insensitive to Temperature},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {242--243},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332938},
  doi          = {10.1109/ISOCC50952.2020.9332938},
  timestamp    = {Thu, 25 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShaKHK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShenZYZ20,
  author       = {Zong Jie Shen and
                  Chun Zhao and
                  Li Yang and
                  Cezhou Zhao},
  title        = {Bionic Sypantic Application of OxRRAM Devices},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {163--164},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333055},
  doi          = {10.1109/ISOCC50952.2020.9333055},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShenZYZ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShibasakiAHAK020,
  author       = {Yukiko Shibasaki and
                  Koji Asami and
                  Akemi Hatta and
                  Riho Aoki and
                  Anna Kuwana and
                  Haruo Kobayashi},
  title        = {Study on Crest Factor Controlled Multi-Tone Signal for Analog {RF}
                  Circuit Testing},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {133--134},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332919},
  doi          = {10.1109/ISOCC50952.2020.9332919},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShibasakiAHAK020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SongK20,
  author       = {Jae{-}Hun Song and
                  Suk{-}Ju Kang},
  title        = {Fast 3D Hand Pose Estimation for Real-time System},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {121--122},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333123},
  doi          = {10.1109/ISOCC50952.2020.9333123},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SongK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SullDJ20,
  author       = {Jung{-}Woo Sull and
                  Hyungrok Do and
                  Deog{-}Kyoon Jeong},
  title        = {A 112-Gb/s {PAM-4} Transmitter with 8: 1 {MUX} in 28-nm {CMOS}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {266--267},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332964},
  doi          = {10.1109/ISOCC50952.2020.9332964},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SullDJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TavaresLKL20,
  author       = {Yang Azevedo Tavares and
                  Sewon Lee and
                  Seunghyun Kim and
                  Minjae Lee},
  title        = {Calibration of M-Channel Time-Interleaved Analog-to-Digital Converters
                  Based on Curve Fitting},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {252--253},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333091},
  doi          = {10.1109/ISOCC50952.2020.9333091},
  timestamp    = {Mon, 01 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/TavaresLKL20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TingALRSHS20,
  author       = {Arriel Ting and
                  Anastacia B. Alvarez and
                  Maria Theresa G. de Leon and
                  Marc D. Rosales and
                  Maria Patricia Rouelli Sabino{-}Santos and
                  John Richard E. Hizon and
                  Christopher Santos},
  title        = {Designing a Class {E} Power Amplifier through Modeling in Verilog-A},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {93--94},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333109},
  doi          = {10.1109/ISOCC50952.2020.9333109},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TingALRSHS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateNO20,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio and
                  Thomas Ott},
  title        = {Frustrated Complex Networks of Nonlinear Circuits With Stochastically
                  Coupling},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {181--182},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333023},
  doi          = {10.1109/ISOCC50952.2020.9333023},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateNO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateNOF20,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio and
                  Marie Engelene J. Obien and
                  Urs Frey},
  title        = {Nonlinear Time Series Analysis of Spike Data of Izhikevich Neuron
                  Model},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {203--204},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333002},
  doi          = {10.1109/ISOCC50952.2020.9333002},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateNOF20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Venkataramanaiah20,
  author       = {Shreyas K. Venkataramanaiah and
                  Shihui Yin and
                  Yu Cao and
                  Jae{-}Sun Seo},
  title        = {Deep Neural Network Training Accelerator Designs in {ASIC} and {FPGA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {21--22},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333063},
  doi          = {10.1109/ISOCC50952.2020.9333063},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Venkataramanaiah20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WatanabeNT20,
  author       = {Takava Watanabe and
                  Hiroki Nishikawa and
                  Hiroyuki Tomiyama},
  title        = {Scheduling of Rigid Tasks on Heterogeneous Multicores},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {330--331},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333098},
  doi          = {10.1109/ISOCC50952.2020.9333098},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WatanabeNT20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WooLKIIKML20,
  author       = {Jiyong Woo and
                  Miyoung Lee and
                  Jeong Hun Kim and
                  Jong{-}Pil Im and
                  Solyee Im and
                  Yeriaron Kim and
                  Seung{-}Eon Moon and
                  Joohyun Lee},
  title        = {Impact of Variability Issues of Resistive Memory Synapses on Pattern
                  Recognition Systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {201--202},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333029},
  doi          = {10.1109/ISOCC50952.2020.9333029},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WooLKIIKML20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WuHHH20,
  author       = {Chung{-}Bin Wu and
                  Yin{-}Tsung Hwang and
                  Yu{-}Cheng Hsueh and
                  Yu{-}Kuan Hsiao},
  title        = {High Efficient Bandwidth Utilization Hardware Design and Implement
                  for {AI} Deep Learning Accelerator},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {193--194},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333025},
  doi          = {10.1109/ISOCC50952.2020.9333025},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WuHHH20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YamamotoEAISTK020,
  author       = {Souma Yamamoto and
                  Kuswan Isam Ebisawa and
                  Yudai Abe and
                  Takashi Ida and
                  Yukiko Shibasaki and
                  Nobukazu Tsukiji and
                  Anna Kuwana and
                  Haruo Kobayashi and
                  Akira Suzuki and
                  Yukichi Todoroki and
                  Toshihiko Kakinoki and
                  Nobuto Ono and
                  Kazuhiro Miura},
  title        = {Operation and Stability Analysis of Temperature-Insensitive {MOS}
                  Reference Current Source with Self-Bias Circuit},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {137--138},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332805},
  doi          = {10.1109/ISOCC50952.2020.9332805},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YamamotoEAISTK020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YamazakiITK20,
  author       = {Rei Yamazaki and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine},
  title        = {Processing Time Reduction for {JPEG} Compression Using Pixel Array
                  Conversion},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {111--112},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332994},
  doi          = {10.1109/ISOCC50952.2020.9332994},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YamazakiITK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangK20,
  author       = {Yoon Seok Yang and
                  Yongtae Kim},
  title        = {Recent Trend of Neuromorphic Computing Hardware: Intel's Neuromorphic
                  System Perspective},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {218--219},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332961},
  doi          = {10.1109/ISOCC50952.2020.9332961},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangK20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangLKPB20,
  author       = {Hyeokjoon Yang and
                  Hyunbae Lee and
                  Hanseul Kim and
                  Sangwook Park and
                  Jinwook Burm},
  title        = {A 12-b 2 MS/s {R-C} Two-Step {SAR} {ADC} with Bit-Cycling Time Control
                  and {LSB} Correction Logic},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {238--239},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332915},
  doi          = {10.1109/ISOCC50952.2020.9332915},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangLKPB20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangLXSY20,
  author       = {Shiyu Yang and
                  Peilin Liu and
                  Jianwei Xue and
                  Rongdi Sun and
                  Rendong Ying},
  title        = {An Efficient {FPGA} Implementation of Izhikevich Neuron Model},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {141--142},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333014},
  doi          = {10.1109/ISOCC50952.2020.9333014},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangLXSY20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangNLKJI20,
  author       = {Hee{-}Yeon Yang and
                  Yu{-}Jin Noh and
                  Sung{-}Ho Lee and
                  Geun{-}Won Kim and
                  Hang{-}Geun Jeong and
                  Donggu Im},
  title        = {A Current-link {CMOS} Analog Neuron with Simplified Synapse Using
                  a Merged Switch Array},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {77--78},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332923},
  doi          = {10.1109/ISOCC50952.2020.9332923},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangNLKJI20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YangS20,
  author       = {Jeonggyu Yang and
                  Taigon Song},
  title        = {A Prediction Scheme in Spiking Neural Network {(SNN)} Hardware for
                  Ultra-low Power Consumption},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {310--311},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333106},
  doi          = {10.1109/ISOCC50952.2020.9333106},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YangS20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YeKKJ20,
  author       = {Changmin Ye and
                  Vladimir Kornijcuk and
                  Jeeson Kim and
                  Doo Seok Jeong},
  title        = {{FPGA} implementation of sequence-to-sequence predicting spiking neural
                  networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {322--323},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332910},
  doi          = {10.1109/ISOCC50952.2020.9332910},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YeKKJ20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YonemotoNUN20,
  author       = {Naoto Yonemoto and
                  Katsuya Nakabai and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Investigation of Synchronization Phenomena in Coupled Two-degrees-of-Freedom
                  Chaotic Circuits},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {185--186},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9333050},
  doi          = {10.1109/ISOCC50952.2020.9333050},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YonemotoNUN20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Yoo20,
  author       = {Jerald Yoo},
  title        = {Area and Energy-Efficient Multi-Channel Instrumentation Amplifiers
                  for Biomedical Applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {207--208},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332973},
  doi          = {10.1109/ISOCC50952.2020.9332973},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Yoo20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooKKYC20,
  author       = {Taegeun Yoo and
                  Tony Tae{-}Hyoung Kim and
                  Bongjin Kim and
                  Chengshuo Yu and
                  Kevin Chai Tshun Chuan},
  title        = {Design of Current-Mode 8T {SRAM} Compute-In-Memory Macro for Processing
                  Neural Networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {175--176},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332992},
  doi          = {10.1109/ISOCC50952.2020.9332992},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooKKYC20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YoshimotoTTO20,
  author       = {Jo Yoshimoto and
                  Ittetsu Taniguchi and
                  Hiroyuki Tomiyama and
                  Takao Onoye},
  title        = {An Evaluation of Edge Computing Platform for Reliable Automated Drones},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {95--96},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332925},
  doi          = {10.1109/ISOCC50952.2020.9332925},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YoshimotoTTO20.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YouHKKK020,
  author       = {Donggeun You and
                  Hyunwoo Heo and
                  Hyungseup Kim and
                  Yongsu Kwon and
                  Hyoungho Ko and
                  Sangmin Lee},
  title        = {A Current Feedback Instrumentation Amplifier with Current Reuse and
                  Power Line Interference Mitigation Technique for {ECG} Recording},
  booktitle    = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  pages        = {224--225},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020.9332918},
  doi          = {10.1109/ISOCC50952.2020.9332918},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YouHKKK020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isocc/2020,
  title        = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea,
                  October 21-24, 2020},
  publisher    = {{IEEE}},
  year         = {2020},
  url          = {https://doi.org/10.1109/ISOCC50952.2020},
  doi          = {10.1109/ISOCC50952.2020},
  isbn         = {978-1-7281-8331-2},
  timestamp    = {Fri, 12 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/2020.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/0002WZZ19,
  author       = {Liang Chang and
                  Zhaohao Wang and
                  Youguang Zhang and
                  Weisheng Zhao},
  title        = {Ultra-fast and Energy-efficient Write-Computing Operation for Neuromorphic
                  Computing},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {140--141},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078528},
  doi          = {10.1109/ISOCC47750.2019.9078528},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/0002WZZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AhnOKL19,
  author       = {Yong Deok Ahn and
                  Su{-}Jin Oh and
                  Sung{-}Jin Kim and
                  Kang{-}Yoon Lee},
  title        = {A Design of Low Inrush Current Low Dropout Regulator Using the Method
                  of Pre-charging the Load},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {214--215},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078498},
  doi          = {10.1109/ISOCC47750.2019.9078498},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AhnOKL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AldahlawiKK19,
  author       = {Abdulsami Aldahlawi and
                  Yang{-}Bin Kim and
                  Kyung Ki Kim},
  title        = {{GPU} Architecture Optimization For Mobile Computing},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {247--248},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027715},
  doi          = {10.1109/ISOCC47750.2019.9027715},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AldahlawiKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AliAQRL19,
  author       = {Imran Ali and
                  Muhammad Asif and
                  Yasser Mohammadi Qaragoez and
                  Muhammad Riaz ur Rehman and
                  Kang{-}Yoon Lee},
  title        = {An Adaptive {PA} Modulation Index Controller with Temperature Compensation
                  for {DSRC} Applications},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {111--112},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078490},
  doi          = {10.1109/ISOCC47750.2019.9078490},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AliAQRL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AsifAQBL19,
  author       = {Muhammad Asif and
                  Imran Ali and
                  Yasser Mohammadi Qaragoez and
                  Muhammad Basim and
                  Kang{-}Yoon Lee},
  title        = {A Configurable Linear {PA} Ramp Controller for {DSRC} Applications
                  in 130 nm {CMOS} Technology},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {229--230},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078501},
  doi          = {10.1109/ISOCC47750.2019.9078501},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AsifAQBL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AttiliM19,
  author       = {Imtinan Basem Attili and
                  Soliman A. Mahmoud},
  title        = {Uncompensated Robust Rail-to-Rail New Amplifier Structure Compatible
                  with Drivers of {LCD} Panels},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {192--193},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027714},
  doi          = {10.1109/ISOCC47750.2019.9027714},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AttiliM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AvitabileFP19,
  author       = {Gianfranco Avitabile and
                  Antonello Florio and
                  Giovanni Piccinni},
  title        = {A Hybrid Hardware Estimation of the Angle of Arrival for Industrial
                  IoT purposes},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {89--90},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027688},
  doi          = {10.1109/ISOCC47750.2019.9027688},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AvitabileFP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BasimKSAAAL19,
  author       = {Muhammad Basim and
                  Danial Khan and
                  Khuram Shahzad and
                  Qurat ul Ain and
                  Muhammad Asif and
                  Muhammad Ali and
                  Kang{-}Yoon Lee},
  title        = {Design of a High Performance {RF} Energy Harvester for Wide Input
                  Power Range},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {87--88},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027680},
  doi          = {10.1109/ISOCC47750.2019.9027680},
  timestamp    = {Tue, 25 Aug 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/BasimKSAAAL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BenitezCLHR19,
  author       = {Herlan Kester Benitez and
                  Christian Haven Cabuso and
                  Maria Theresa G. de Leon and
                  John Richard E. Hizon and
                  Marc D. Rosales},
  title        = {An SDR-based {WSN} Testbed for {RF} Front End Simulation and Experimentation},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {91--92},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027733},
  doi          = {10.1109/ISOCC47750.2019.9027733},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BenitezCLHR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BetschiC19,
  author       = {Olivier Betschi and
                  Ken Choi},
  title        = {Novel 4-Transistors Ternary Inverter Circuit Using Carbon-Nanotube
                  Field -Effect Transistors},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {243--244},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027764},
  doi          = {10.1109/ISOCC47750.2019.9027764},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BetschiC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BiMMYS19,
  author       = {Ziqiang Bi and
                  Jieming Ma and
                  Ka Lok Man and
                  Yong Yue and
                  Jeremy S. Smith},
  title        = {A Novel Global Maximum Power Point Tracking Method based on Shading
                  Detection},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {188--189},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027639},
  doi          = {10.1109/ISOCC47750.2019.9027639},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BiMMYS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangT19,
  author       = {Yun{-}Nan Chang and
                  Yu{-}Tang Tin},
  title        = {Scaling Bit-Flexible Neural Networks},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {253--254},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078506},
  doi          = {10.1109/ISOCC47750.2019.9078506},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChansriSLM19,
  author       = {Chana Chansri and
                  Jakkree Srinonchat and
                  Eng Gee Lim and
                  Ka Lok Man},
  title        = {Low Cost Hand Gesture Control in Complex Environment Using Raspberry
                  Pi},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {186--187},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027669},
  doi          = {10.1109/ISOCC47750.2019.9027669},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChansriSLM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChaoH19,
  author       = {Kuan{-}Chieh Chao and
                  Terng{-}Yin Hsu},
  title        = {Scalable Multi-DSP Architecture with Clock Adaptation for IoT Access
                  Point},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {75--76},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027633},
  doi          = {10.1109/ISOCC47750.2019.9027633},
  timestamp    = {Tue, 29 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChaoH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenDH19,
  author       = {Kuan{-}Hung Chen and
                  Jesse Der{-}Chian Deng and
                  Yin{-}Tsung Hwang},
  title        = {A High-Performance Pedestrian Detector and Its Implementation on Embedded
                  Systems for Hypermarket Environment},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {154--155},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027682},
  doi          = {10.1109/ISOCC47750.2019.9027682},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenDH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenL19,
  author       = {Kun{-}Chih Jimmy Chen and
                  Yuan{-}Hou Liao},
  title        = {Online Machine Learning-based Temperature Prediction for Thermal-aware
                  NoC System},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {65--66},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027723},
  doi          = {10.1109/ISOCC47750.2019.9027723},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenLLC19,
  author       = {Chuen{-}Yau Chen and
                  Cheng{-}Yuan Lin and
                  Wei{-}Ching Liu and
                  Yen{-}Ting Chen},
  title        = {Application of Blind-Signal-Processing Algorithm in Image Separation
                  - Blind-Signal-Processing in Image Separation},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {158--159},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078531},
  doi          = {10.1109/ISOCC47750.2019.9078531},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenLLC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenTCY19,
  author       = {Pei{-}Yin Chen and
                  Chi{-}Huan Tang and
                  Wei{-}Ting Chen and
                  Hui{-}Liang Yu},
  title        = {Dual Path Binary Neural Network},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {251--252},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027649},
  doi          = {10.1109/ISOCC47750.2019.9027649},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenTCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenZL19,
  author       = {Wei{-}Da Chen and
                  You{-}Chen Zhang and
                  Hui{-}Yu Liang},
  title        = {Implementation of Integrating a High Resolution Time-to-Digital Converter
                  with an Embedded Processor System on Low-Cost {FPGA}},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {97--98},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027738},
  doi          = {10.1109/ISOCC47750.2019.9027738},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenZL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChengLKK19,
  author       = {Minho Cheng and
                  Hyunyul Lim and
                  Tae Hyun Kim and
                  Sungho Kang},
  title        = {A Hardware-efficient {TSV} Repair Scheme Based on Butterfly Topology},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {63--64},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078496},
  doi          = {10.1109/ISOCC47750.2019.9078496},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChengLKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoKOLK19,
  author       = {Kyunghwan Cho and
                  Jihye Kim and
                  Hyunggoy Oh and
                  Sangjun Lee and
                  Sungho Kang},
  title        = {A New Scan Chain Reordering Method for Low Power Consumption based
                  on Care Bit Density},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {134--135},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078527},
  doi          = {10.1109/ISOCC47750.2019.9078527},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoKOLK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiLJ19,
  author       = {Seong Bin Choi and
                  Sang{-}Seol Lee and
                  Sung{-}Joon Jang},
  title        = {{CNN} inference simulator for accurate and efficient accelerator design},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {283--284},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027697},
  doi          = {10.1109/ISOCC47750.2019.9027697},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiLJ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChuLHYH19,
  author       = {Shao{-}I Chu and
                  Yi{-}Ming Lee and
                  Chen{-}En Hsieh and
                  Jiun{-}Han Yen and
                  Yu{-}Jung Huang},
  title        = {Stochastic Circuit Design of Image Contrast Stretching},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {81--82},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027705},
  doi          = {10.1109/ISOCC47750.2019.9027705},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChuLHYH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DiabM19,
  author       = {Maha S. Diab and
                  Soliman A. Mahmoud},
  title        = {A 6nW Seventh-Order {OTA-C} Band Pass Filter for Continuous Wavelet
                  Transform},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {196--197},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027752},
  doi          = {10.1109/ISOCC47750.2019.9027752},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DiabM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DiabM19a,
  author       = {Maha S. Diab and
                  Soliman A. Mahmoud},
  title        = {Ultra-Low Power Rectangular Field Programmable Analogue Arrays For
                  Biomedical Applications},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {204--205},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027673},
  doi          = {10.1109/ISOCC47750.2019.9027673},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DiabM19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ElsiginyEA19,
  author       = {Ahmed Elsiginy and
                  Mohamed Elmahdy and
                  Eman Azab},
  title        = {A Novel Hybrid Analog Design Optimizer with Particle Swarm Optimization
                  and modern Deep Neural Networks},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {212--213},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027647},
  doi          = {10.1109/ISOCC47750.2019.9027647},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ElsiginyEA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FaisalYN19,
  author       = {Muhammad Faisal and
                  Jawad Yousaf and
                  Wansoo Nah},
  title        = {Effect of Random Modulation Switching Schemes on Harmonics and {CE}
                  Levels of a Buck Converter},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {208--209},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027744},
  doi          = {10.1109/ISOCC47750.2019.9027744},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/FaisalYN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FukushimaT19,
  author       = {Kizashi Fukushima and
                  Tadashi Tsubone},
  title        = {Nonlinear Behavior of Unmanned Aerial Vehicle based on Oscillation
                  Dynamics},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {146--147},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027701},
  doi          = {10.1109/ISOCC47750.2019.9027701},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/FukushimaT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Gao19,
  author       = {Xiang Gao},
  title        = {Low Jitter and Low Power PLL{\,:\,}Towards The Utopia},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {38--39},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078535},
  doi          = {10.1109/ISOCC47750.2019.9078535},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Gao19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GuoMR19,
  author       = {Tian Guo and
                  Jiho Moon and
                  Jeongjin Roh},
  title        = {A Power Supply Rejection Compensated External Capacitor-Less Low Drop-Out
                  Regulator},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {54--55},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027699},
  doi          = {10.1109/ISOCC47750.2019.9027699},
  timestamp    = {Mon, 17 May 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/GuoMR19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanCA19,
  author       = {Jaeduk Han and
                  Eric Chang and
                  Elad Alon},
  title        = {Design and Automatic Generation of High-Speed Circuits for Wireline
                  Communications},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {40--41},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027683},
  doi          = {10.1109/ISOCC47750.2019.9027683},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HanCA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HaslerWMF19,
  author       = {Mattis Hasler and
                  Robert Wittig and
                  Emil Mat{\'{u}}s and
                  Gerhard P. Fettweis},
  title        = {A Hybrid Execution Approach to Improve the Performance of Dataflow
                  Applications},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {107--108},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027648},
  doi          = {10.1109/ISOCC47750.2019.9027648},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HaslerWMF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeKK19,
  author       = {Yixuan He and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {Evaluations of Electronic Neuron Model for Low Power {VLSI} Implementation},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {206--207},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027702},
  doi          = {10.1109/ISOCC47750.2019.9027702},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongKYL19,
  author       = {Jiun Hong and
                  HyungWon Kim and
                  Unsang Yu and
                  Hongju Lee},
  title        = {Programmable of a Frequency for Concurrent Driving Signals of Touch
                  Screen Controller},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {289--290},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027667},
  doi          = {10.1109/ISOCC47750.2019.9027667},
  timestamp    = {Wed, 17 Feb 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongKYL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HwangK19,
  author       = {Heejae Hwang and
                  Jongsun Kim},
  title        = {A Low-Power 20 Gbps Multi-phase MDLL-based Digital {CDR} with Receiver
                  Equalization},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {42--43},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078536},
  doi          = {10.1109/ISOCC47750.2019.9078536},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HwangK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HwangSKP19,
  author       = {Dongil Hwang and
                  Jangseop Shin and
                  Jeehwan Kim and
                  Yunheung Paek},
  title        = {Data Randomization for Multi-Variant Execution Environment},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {291--292},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027747},
  doi          = {10.1109/ISOCC47750.2019.9027747},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HwangSKP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IchiiNITK19,
  author       = {Yudai Ichii and
                  Ryosuke Noguchi and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine},
  title        = {Suitable-Compensation Circuit Design for a {PAM4} Transmitter in 180-nm
                  {CMOS}},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {210--211},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027703},
  doi          = {10.1109/ISOCC47750.2019.9027703},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/IchiiNITK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IngcoRA19,
  author       = {Wally Enrico M. Ingco and
                  Rosula S. J. Reyes and
                  Patricia Angela R. Abu},
  title        = {Development of a Spectral Feature Extraction using Enhanced {MFCC}
                  for Respiratory Sound Analysis},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {263--264},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027640},
  doi          = {10.1109/ISOCC47750.2019.9027640},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/IngcoRA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ItoTNK19,
  author       = {Daisuke Ito and
                  Tomotaka Tanaka and
                  Makoto Nakamura and
                  Keiji Kishine},
  title        = {A wideband differential {VCO} based on double-short-path loop architecture},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {126--127},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078464},
  doi          = {10.1109/ISOCC47750.2019.9078464},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ItoTNK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangHLL19,
  author       = {Hyeonguk Jang and
                  Kyuseung Han and
                  Sukho Lee and
                  Jae{-}Jin Lee},
  title        = {Supporting Serial Interfaces on Virtual SoC Platforms to Develop Sensor
                  Applications},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {101--102},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078525},
  doi          = {10.1109/ISOCC47750.2019.9078525},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JangHLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeonKK19,
  author       = {Gyunam Jeon and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {Standing Wave Oscillator Based Clock Distribution Minimizing Equivalent
                  Capacitance for Process and Temperature variation},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {241--242},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027698},
  doi          = {10.1109/ISOCC47750.2019.9027698},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JeonKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JiTWLC19,
  author       = {Min{-}Zhi Ji and
                  Wei{-}Chung Tseng and
                  Ting{-}Jia Wu and
                  Bo{-}Rong Lin and
                  Chung{-}Ho Chen},
  title        = {Micro Darknet For Inference: {ESL} reference for inference accelerator
                  design},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {69--70},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027644},
  doi          = {10.1109/ISOCC47750.2019.9027644},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JiTWLC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoL19,
  author       = {Cheol{-}Won Jo and
                  Kwang{-}Yeob Lee},
  title        = {Design of multicycle path accelerator for neural network},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {221--224},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078500},
  doi          = {10.1109/ISOCC47750.2019.9078500},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JoL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoePKK19,
  author       = {Hyounghun Joe and
                  Cheolsoo Park and
                  Hyungtak Kim and
                  Youngmin Kim},
  title        = {Lower-part Stochastic Unipolar Adder to Improve Computation Accuracy},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {23--24},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078491},
  doi          = {10.1109/ISOCC47750.2019.9078491},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JoePKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JuangLL19,
  author       = {Tso{-}Bing Juang and
                  Cong{-}Yi Lin and
                  Guan{-}Zhong Lin},
  title        = {Design of High-Speed and Area-Efficient Cartesian to Polar Coordinate
                  Converters Using Logarithmic Number Systems},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {180--181},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027761},
  doi          = {10.1109/ISOCC47750.2019.9027761},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JuangLL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungK19,
  author       = {Sangwoo Jung and
                  Jaeha Kung},
  title        = {Noise Tolerance of an Energy-Scalable Deep Learning Model with Two
                  Extreme Bit-Precisions},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {71--72},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078497},
  doi          = {10.1109/ISOCC47750.2019.9078497},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KhanM19,
  author       = {Sumaiyah I. Khan and
                  Soliman A. Mahmoud},
  title        = {{CMOS} Subthreshold Balanced Input-Differential Output Four-Quadrant
                  Multiplier for Teager Energy Operator Based Systems},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {122--123},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027692},
  doi          = {10.1109/ISOCC47750.2019.9027692},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KhanM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KilCKBKA19,
  author       = {Jun{-}Young Kil and
                  Kang{-}Il Cho and
                  Ho{-}Jin Kim and
                  Jun{-}Ho Boo and
                  Yong{-}Sik Kwak and
                  Gil{-}Cho Ahn},
  title        = {A 101 dB Dynamic Range Delta-Sigma Modulator Using Modified Feed-Forward
                  Architecture for Audio Application},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {7--8},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027739},
  doi          = {10.1109/ISOCC47750.2019.9027739},
  timestamp    = {Thu, 04 Mar 2021 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KilCKBKA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kim19,
  author       = {Tony Tae{-}Hyoung Kim},
  title        = {Overview of Memory Design for Next Generation Applications},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {162--163},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027664},
  doi          = {10.1109/ISOCC47750.2019.9027664},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kim19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimCKS19,
  author       = {Jungah Kim and
                  Shinil Chang and
                  Seungsoo Kim and
                  Hyunchol Shin},
  title        = {A 1.2 GHz Bandwidth Baseband Analog Circuit in 65nm {CMOS} for Millimeter-Wave
                  Radio},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {301--302},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027652},
  doi          = {10.1109/ISOCC47750.2019.9027652},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimCKS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimCYKK19,
  author       = {Hyunjoon Kim and
                  Qian Chen and
                  Taegeun Yoo and
                  Tony Tae{-}Hyoung Kim and
                  Bongjin Kim},
  title        = {A Bit-Precision Reconfigurable Digital In-Memory Computing Macro for
                  Energy-Efficient Processing of Artificial Neural Networks},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {166--167},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027679},
  doi          = {10.1109/ISOCC47750.2019.9027679},
  timestamp    = {Mon, 26 Jun 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimCYKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKLKS19,
  author       = {Soyeon Kim and
                  Byeonghyeon Kim and
                  Yongho Lee and
                  Seungsoo Kim and
                  Hyunchol Shin},
  title        = {A 28GHz Direct Conversion Receiver in 65nm {CMOS} for 5G mmWave Radio},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {29--30},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027756},
  doi          = {10.1109/ISOCC47750.2019.9027756},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKLKS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKLKS19a,
  author       = {Byeonghyeon Kim and
                  Soyeon Kim and
                  Yongho Lee and
                  Seungsoo Kim and
                  Hyunchol Shin},
  title        = {A 28GHz Quadrature Up-conversion Transmitter in 65nm {CMOS} for 5G
                  mmWave Radio},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {31--32},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027748},
  doi          = {10.1109/ISOCC47750.2019.9027748},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKLKS19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLHPKKL19,
  author       = {Hyunsung Kim and
                  Juin Lim and
                  Wonbin Hong and
                  Joonho Park and
                  Young{-}Seok Kim and
                  Mijung Kim and
                  Youngjoo Lee},
  title        = {Design of a Low-Power BLE5-Based Wearable Device for Tracking Movements
                  of Football Players},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {11--12},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027630},
  doi          = {10.1109/ISOCC47750.2019.9027630},
  timestamp    = {Wed, 05 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLHPKKL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLMK19,
  author       = {Jihye Kim and
                  Sangjun Lee and
                  Minho Moon and
                  Sungho Kang},
  title        = {Transition-delay Test Methodology for Designs with Self-gating},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {93--94},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078524},
  doi          = {10.1109/ISOCC47750.2019.9078524},
  timestamp    = {Wed, 28 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLMK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimuraIITK19,
  author       = {Sanshiro Kimura and
                  Atsuto Imajo and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine},
  title        = {Frequency Discriminator Using a Simple {AD} Converter for Interface
                  Systems},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {128--129},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027674},
  doi          = {10.1109/ISOCC47750.2019.9027674},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimuraIITK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Kuo19,
  author       = {Ko{-}Chi Kuo},
  title        = {A 10-bit 250 MS/s Binary Search and Two channel {SAR} {ADC} by a two-bit
                  per Conversion with Error Tolerance Ability},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027763},
  doi          = {10.1109/ISOCC47750.2019.9027763},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Kuo19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KweonSK19,
  author       = {JunYoung Kweon and
                  Yun{-}Heup Song and
                  Tony Tae{-}Hyoung Kim},
  title        = {Modelling of Phase Change Memory(PCM) cell for Circuit Simulation},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {170--171},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027691},
  doi          = {10.1109/ISOCC47750.2019.9027691},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KweonSK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonCYCSHKLKJC19,
  author       = {Youngsu Kwon and
                  Yong Cheol Peter Cho and
                  Jeongmin Yang and
                  Jaehoon Chung and
                  Kyoung{-}Seon Shin and
                  Jinho Han and
                  Chan Kim and
                  Chun{-}Gi Lyuh and
                  Hyun{-}Mi Kim and
                  In San Jeon and
                  Min{-}Seok Choi},
  title        = {{AI} 32TFLOPS Autonomous Driving Processor on AI-Ware with Adaptive
                  Power Saving},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {174--175},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078533},
  doi          = {10.1109/ISOCC47750.2019.9078533},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonCYCSHKLKJC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonKK19,
  author       = {Eunji Kwon and
                  Yesung Kang and
                  Seokhyeong Kang},
  title        = {Outlier-aware Time-multiplexing {MAC} for Higher Energy-Efficiency
                  on CNNs},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {119--120},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027750},
  doi          = {10.1109/ISOCC47750.2019.9027750},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeCK19,
  author       = {Sanghyuk Lee and
                  Jaehoon Cha and
                  Kyeong Soo Kim},
  title        = {Data Gathering and Application to Building Energy Optimization with
                  Sensitivity Analysis for IoT Applications},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {184--185},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027650},
  doi          = {10.1109/ISOCC47750.2019.9027650},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeCK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeH19,
  author       = {Seung Chan Lee and
                  Tae Hee Han},
  title        = {A 4-way Matrix Multiply Unit for High Throughput Machine Learning
                  Accelerator},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {113--114},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078493},
  doi          = {10.1109/ISOCC47750.2019.9078493},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeHLK19,
  author       = {Hayoung Lee and
                  Donghyun Han and
                  Seungtaek Lee and
                  Sungho Kang},
  title        = {Redundancy Analysis based on Fault Distribution for Memory with Complex
                  Spares},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {235--236},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078503},
  doi          = {10.1109/ISOCC47750.2019.9078503},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeHLK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeJL19,
  author       = {Eunchong Lee and
                  Sung{-}Joon Jang and
                  Sang{-}Seol Lee},
  title        = {An Implementation of the System on Chip Control System for a FPGA-Based
                  Computer Vision Accelerator},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {299--300},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027658},
  doi          = {10.1109/ISOCC47750.2019.9027658},
  timestamp    = {Tue, 21 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeJL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK19,
  author       = {Seungmin Lee and
                  Bongsoon Kang},
  title        = {Hardware Implementation of Minimum Filter based on 2-D Cumulative
                  Histogram},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {297--298},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027719},
  doi          = {10.1109/ISOCC47750.2019.9027719},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKC19,
  author       = {Chaeun Lee and
                  Jaehyun Kim and
                  Kiyoung Choi},
  title        = {An RRAM-based Analog Neuron Design for the Weighted Spiking Neural
                  network},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {259--260},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078507},
  doi          = {10.1109/ISOCC47750.2019.9078507},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKHC19,
  author       = {Ho{-}Yun Lee and
                  Yeon{-}Jin Kim and
                  Do{-}Yeon Hwang and
                  Jin{-}Gyun Chung},
  title        = {Peak Variation Detection Using Variable Length Moving Average Filter
                  for Defects Inspection Systems},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {231--232},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027754},
  doi          = {10.1109/ISOCC47750.2019.9027754},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKHC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLKKK19,
  author       = {Miyoung Lee and
                  Joohyun Lee and
                  Jinkyu Kim and
                  Byungjo Kim and
                  Juyeob Kim},
  title        = {The Sparsity and Activation Analysis of Compressed {CNN} Networks
                  in a {HW} {CNN} Accelerator Model},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {255--256},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027643},
  doi          = {10.1109/ISOCC47750.2019.9027643},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLKKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeLMK19,
  author       = {Young{-}Woo Lee and
                  Youngkwang Lee and
                  Minho Moon and
                  Sungho Kang},
  title        = {Tunable Compact Probing Detector with Fast Analysis Time Against Invasive
                  Attacks},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {115--116},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078494},
  doi          = {10.1109/ISOCC47750.2019.9078494},
  timestamp    = {Wed, 28 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeLMK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeePKC19,
  author       = {Eunseok Lee and
                  Sujin Park and
                  Nahmil Koo and
                  SeongHwan Cho},
  title        = {A Low-Power Piezoelectric Speaker Driver Using {LC} Oscillator for
                  Acoustic Communication},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {85--86},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027681},
  doi          = {10.1109/ISOCC47750.2019.9027681},
  timestamp    = {Tue, 08 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeePKC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeSKM19,
  author       = {Seonyoung Lee and
                  Haengson Son and
                  Yunjeong Kim and
                  Kyoungwon Min},
  title        = {Design of hand skeleton extraction accelerator for a real-time hand
                  gesture recognition},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {245--246},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078505},
  doi          = {10.1109/ISOCC47750.2019.9078505},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeSKM19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiLYL19,
  author       = {Miao{-}Shan Li and
                  Yen{-}Kuei Lu and
                  Ching{-}Yuan Yang and
                  Chin{-}Lung Lin},
  title        = {PLL-Based Clock and Data Recovery for {SSC} Embedded Clock Systems},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {309--310},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027672},
  doi          = {10.1109/ISOCC47750.2019.9027672},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiLYL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MaCCHL19,
  author       = {Wei{-}Hsuan Ma and
                  Kuan{-}Ying Chang and
                  Kuan{-}Ting Chen and
                  Yin{-}Tsung Hwang and
                  Jin{-}Fa Lin},
  title        = {Projection Matching Pursuit based DoA Estimation Scheme and its {FPGA}
                  Implementation},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {109--110},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027717},
  doi          = {10.1109/ISOCC47750.2019.9027717},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MaCCHL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MaekawaMUIN19,
  author       = {Tatsuya Maekawa and
                  Yusaku Miwa and
                  Akihito Uno and
                  Daisuke Ito and
                  Makoto Nakamura},
  title        = {Tunable pre-emphasis architecture for downstream data in long-haul
                  {PON} systems},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {105--106},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027711},
  doi          = {10.1109/ISOCC47750.2019.9027711},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MaekawaMUIN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MalikAKJP19,
  author       = {Malik Summair Asghar and
                  Saad Arslan and
                  HyungWon Kim and
                  Jaehun Jun and
                  Hyunkyu Park},
  title        = {Hybrid Concurrent Driving Technique for Large Touch Screen Panels},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {79--80},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027704},
  doi          = {10.1109/ISOCC47750.2019.9027704},
  timestamp    = {Wed, 28 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MalikAKJP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ManabatMQA19,
  author       = {Alec Xavier Manabat and
                  Celine Rose Marcelo and
                  Alfonso Louis Quinquito and
                  Anastacia Alvarez},
  title        = {Energy-Quality Scalability of Hyperdimensional Computing for Character
                  Recognition in 65nm {CMOS}},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {225--226},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027684},
  doi          = {10.1109/ISOCC47750.2019.9027684},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ManabatMQA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MandaPOK19,
  author       = {Manikanta Prahlad Manda and
                  Chan Su Park and
                  ByeongCheol Oh and
                  Hi{-}Seok Kim},
  title        = {Marker-based watershed algorithm for segmentation of the infrared
                  images},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {227--228},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027721},
  doi          = {10.1109/ISOCC47750.2019.9027721},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/MandaPOK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MeherU19,
  author       = {Manas Ranjan Meher and
                  Wolfgang Ullmann},
  title        = {An Innovative {I/O} Budgeting Methodology for Hierarchical SoC Development},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {279--280},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027653},
  doi          = {10.1109/ISOCC47750.2019.9027653},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MeherU19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MengKMT19,
  author       = {Zelin Meng and
                  Xiangbo Kong and
                  Lin Meng and
                  Hiroyuki Tomiyama},
  title        = {Lucas-Kanade Optical Flow Based Camera Motion Estimation Approach},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {77--78},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027696},
  doi          = {10.1109/ISOCC47750.2019.9027696},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MengKMT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MetkuCKK19,
  author       = {Prashanthi Metku and
                  Minsu Choi and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {Optimization of Null Convenction Logic Using Gate Diffusion Input},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {21--22},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027760},
  doi          = {10.1109/ISOCC47750.2019.9027760},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MetkuCKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MetkuCKK19a,
  author       = {Prashanthi Metku and
                  Minsu Choi and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim},
  title        = {Area Efficient Multi-Threshold Null Convenction Logic},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {27--28},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027762},
  doi          = {10.1109/ISOCC47750.2019.9027762},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MetkuCKK19a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NguyenC19,
  author       = {Duy Thanh Nguyen and
                  Ik{-}Joon Chang},
  title        = {Energy-efficient DNN-training with Stretchable {DRAM} Refresh Controller
                  and Critical-bit Protection},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {168--169},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078532},
  doi          = {10.1109/ISOCC47750.2019.9078532},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/NguyenC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NishiguchiITOK19,
  author       = {Kenta Nishiguchi and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Kazunori Ogohara and
                  Keiji Kishine},
  title        = {Optimization Technique of Memory Traffic for FPGA-Based Image Processing
                  System},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {46--47},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027690},
  doi          = {10.1109/ISOCC47750.2019.9027690},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/NishiguchiITOK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NishioU19,
  author       = {Yoshifumi Nishio and
                  Yoko Uwate},
  title        = {Clusteling Methods Using Synchronization of Chaotic Circuit Networks},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {152--153},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027745},
  doi          = {10.1109/ISOCC47750.2019.9027745},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/NishioU19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NozakiNTT19,
  author       = {Ryohei Nozaki and
                  Hiroki Nishikawa and
                  Ittetsu Taniguchi and
                  Hiroyuki Tomiyama},
  title        = {Function-Level Module Sharing in High-Level Synthesis},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {50--51},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078522},
  doi          = {10.1109/ISOCC47750.2019.9078522},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/NozakiNTT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhECL19,
  author       = {Ghil{-}Geun Oh and
                  Jong{-}Ho Eun and
                  Shin{-}Young Chung and
                  Brandon Lee},
  title        = {Advanded Design Verification and Debugging Techniques Based on Optical
                  Fault Isolation Method},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {44--45},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027634},
  doi          = {10.1109/ISOCC47750.2019.9027634},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/OhECL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PanisRMAHRSL19,
  author       = {Phoebe Laine Panis and
                  Maria Sophia Ralota and
                  Joenard Matanguihan and
                  Anastacia B. Alvarez and
                  John Richard E. Hizon and
                  Marc D. Rosales and
                  Christopher Santos and
                  Maria Theresa G. de Leon},
  title        = {Design and Implementation a Self-starting Thermal Energy Harvester
                  with Resonant Startup and Maximum Power Point Tracking Capabilities/or
                  Wireless Sensor Nodes},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {95--96},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027707},
  doi          = {10.1109/ISOCC47750.2019.9027707},
  timestamp    = {Sat, 21 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PanisRMAHRSL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKK19,
  author       = {Sunghye Park and
                  Sunmean Kim and
                  Seokhyeong Kang},
  title        = {Multi-Threshold Voltages Graphene Barristor-Based Ternary {ALU}},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {25--26},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078492},
  doi          = {10.1109/ISOCC47750.2019.9078492},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkLHK19,
  author       = {Jaeseo Park and
                  Yunsoo Lee and
                  Jun Ho Heo and
                  Suk{-}Ju Kang},
  title        = {Convolutional Neural Network-based Jaywalking Data Generation and
                  Classification},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {132--133},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078526},
  doi          = {10.1109/ISOCC47750.2019.9078526},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkLHK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PatilKNLC19,
  author       = {Sayali Patil and
                  Young Bae Kim and
                  Haiqing Nan and
                  Li Li and
                  Ken Choi},
  title        = {Analysis of Performance Variation of Composite Logic in 7nm {CMOS}
                  Technology Using {SBD} Effect Based on {TDDB}},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {237--238},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027700},
  doi          = {10.1109/ISOCC47750.2019.9027700},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PatilKNLC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/QaragoezAKL19,
  author       = {Yasser Mohammadi Qaragoez and
                  Imran Ali and
                  Seong Jin Kim and
                  Kang{-}Yoon Lee},
  title        = {A 5.8GHz 9.5 dBm Class-E Power Amplifier for {DSRC} Applications},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {202--203},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027646},
  doi          = {10.1109/ISOCC47750.2019.9027646},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/QaragoezAKL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RanaK19,
  author       = {Amrita Rana and
                  Kyung Ki Kim},
  title        = {{ECG} Heartbeat Classification Using a Single Layer {LSTM} Model},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {267--268},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027740},
  doi          = {10.1109/ISOCC47750.2019.9027740},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RanaK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RehmanAKKL19,
  author       = {Muhammad Riaz ur Rehman and
                  Imran Ali and
                  Pervesh Kumar and
                  SungJin Kim and
                  Kang{-}Yoon Lee},
  title        = {Real time High Accuracy Phase Difference Measurement for Parallel
                  Multi-Channel Sensors},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {233--234},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078502},
  doi          = {10.1109/ISOCC47750.2019.9078502},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/RehmanAKKL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RuseckasMMK19,
  author       = {Julius Ruseckas and
                  Gediminas Molis and
                  Ausra Mackute{-}Varoneckiene and
                  Tomas Krilavicius},
  title        = {Multi-carrier Signal Detection using Convolutional Neural Networks},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {190--191},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078534},
  doi          = {10.1109/ISOCC47750.2019.9078534},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/RuseckasMMK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SchuikiSB19,
  author       = {Fabian Schuiki and
                  Michael Schaffner and
                  Luca Benini},
  title        = {{NTX:} {A} 260 Gflop/sW Streaming Accelerator for Oblivious Floating-Point
                  Algorithms in 22 nm {FD-SOI}},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {117--118},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078495},
  doi          = {10.1109/ISOCC47750.2019.9078495},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SchuikiSB19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SekiyaOW19,
  author       = {Hiroo Sekiya and
                  Yuta Ozawa and
                  Xiuqin Wei},
  title        = {Load Independent Parallel Resonant Inverter},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {148--149},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078529},
  doi          = {10.1109/ISOCC47750.2019.9078529},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SekiyaOW19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeoLKWPP19,
  author       = {Youngho Seo and
                  Sanghun Lee and
                  Sunwoo Kim and
                  Jooho Wang and
                  Sungkyung Park and
                  Chester Sungchung Park},
  title        = {Latency-Insensitive Controller for Convolutional Neural Network Accelerators},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {249--250},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027661},
  doi          = {10.1109/ISOCC47750.2019.9027661},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SeoLKWPP19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SetiawanA19,
  author       = {Erwin Setiawan and
                  Trio Adiono},
  title        = {Throughput Improvement of an Autocorrelation Block for Time Synchronization
                  in OFDM-based LiFi},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {219--220},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078499},
  doi          = {10.1109/ISOCC47750.2019.9078499},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SetiawanA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShenZYZ19,
  author       = {Zong Jie Shen and
                  Ce Zhou Zhao and
                  Li Yang and
                  Chun Zhao},
  title        = {Resistive Switching Behavior of Solution-Processed AlOx, based {RRAM}
                  with Ni and TiN Top Electrode at Low Annealing Temperatures},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {182--183},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027657},
  doi          = {10.1109/ISOCC47750.2019.9027657},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ShenZYZ19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShimadaHNTT19,
  author       = {Kana Shimada and
                  Takuma Hikida and
                  Hiroki Nishikawa and
                  Ittetsu Taniguchi and
                  Hiroyuki Tomiyama},
  title        = {Scheduling of Malleable Tasks with DMA-based Communication},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {48--49},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078521},
  doi          = {10.1109/ISOCC47750.2019.9078521},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ShimadaHNTT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShiraneYTHYT19,
  author       = {Kenta Shirane and
                  Takahiro Yamamoto and
                  Ittetsu Taniguchi and
                  Yuko Hara{-}Azumi and
                  Shigeru Yamashita and
                  Hiroyuki Tomiyama},
  title        = {Maximum Error-Aware Design of Approximate Array Multipliers},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {73--74},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078488},
  doi          = {10.1109/ISOCC47750.2019.9078488},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ShiraneYTHYT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SurendarrajYC19,
  author       = {Sandhya Surendarraj and
                  Palagani Yellappa and
                  Jun Rim Choi},
  title        = {Design of Cardiac Status Indicator and {R-R} Interval Adjustment Circuits},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {257--258},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027742},
  doi          = {10.1109/ISOCC47750.2019.9027742},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SurendarrajYC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TolentinoFJABSS19,
  author       = {Lean Karlo S. Tolentino and
                  Edmon O. Fernandez and
                  Romeo L. Jorda and
                  Shayne Nathalie D. Amora and
                  Daniel Kristopher T. Bartolata and
                  Joshua Ricart V. Sarucam and
                  June Carlo L. Sobrepe{\~{n}}a and
                  Kristine Yvonne P. Sombol},
  title        = {Development of an IoT-based Aquaponics Monitoring and Correction System
                  with Temperature-Controlled Greenhouse},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {261--262},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027722},
  doi          = {10.1109/ISOCC47750.2019.9027722},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/TolentinoFJABSS19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Tsai19,
  author       = {Chia{-}Chun Tsai},
  title        = {Performance Improvement for Stacked-Layer Data Bus Reconstruction
                  on Complete Timing Period},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {52--53},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078523},
  doi          = {10.1109/ISOCC47750.2019.9078523},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/Tsai19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TsaiH19,
  author       = {Tsung{-}Han Tsai and
                  Ping{-}Cheng Hao},
  title        = {Customized Wake-Up Word with Key Word Spotting using Convolutional
                  Neural Network},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {136--137},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027708},
  doi          = {10.1109/ISOCC47750.2019.9027708},
  timestamp    = {Tue, 19 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/TsaiH19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UchinoYMSIKOA19,
  author       = {Shota Uchino and
                  T. Yamamoto and
                  F. Mohamad and
                  K. Shinohara and
                  Tsutomu Iida and
                  Takuji Kousaka and
                  Hirokazu Ohtagaki and
                  Hiroyuki Asahara},
  title        = {Basic circuit design of high step-up ratio {DC-DC} converter},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {150--151},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078530},
  doi          = {10.1109/ISOCC47750.2019.9078530},
  timestamp    = {Mon, 18 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/UchinoYMSIKOA19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateN19,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Nonlinear Time Series Analysis of Coupled Bursting Neuron Model Depending
                  on Coupling Strength},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {138--139},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027710},
  doi          = {10.1109/ISOCC47750.2019.9027710},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateN19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateNOF19,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio and
                  Marie Engelene J. Obien and
                  Urs Frey},
  title        = {Visualization of Neuron Data using Nonlinear Technic},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {142--143},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027753},
  doi          = {10.1109/ISOCC47750.2019.9027753},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateNOF19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WuCY19,
  author       = {Chung{-}Bin Wu and
                  Guan{-}Jing Chen and
                  Chien{-}Cheng Yu},
  title        = {Low Complexity License Plate Recognition System},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {156--157},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027654},
  doi          = {10.1109/ISOCC47750.2019.9027654},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WuCY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WuWY19,
  author       = {Wan{-}Ling Wu and
                  Yong{-}Zheng Wang and
                  Ching{-}Yuan Yang},
  title        = {Flipping Rectifiers for Piezoelectric Vibration Energy Harvesting},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {198--199},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027758},
  doi          = {10.1109/ISOCC47750.2019.9027758},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WuWY19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XueC19,
  author       = {Cheng{-}Xin Xue and
                  Meng{-}Fan Chang},
  title        = {Challenges in Circuit Designs of Nonvolatile-memory based computing-in-memory
                  for {AI} Edge Devices},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {164--165},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027656},
  doi          = {10.1109/ISOCC47750.2019.9027656},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/XueC19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YinggeAL19,
  author       = {Huo Yingge and
                  Imran Ali and
                  Kang{-}Yoon Lee},
  title        = {A Wideband Differential Low Noise Amplifier for {TVWS} Applications
                  in 130 nm {CMOS} Technology},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {83--84},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078520},
  doi          = {10.1109/ISOCC47750.2019.9078520},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YinggeAL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YooPK19,
  author       = {Tae Koan Yoo and
                  Jong Kang Park and
                  Jong Tae Kim},
  title        = {{VLSI} Implementation of Area-Efficient Parallelized Neural Network
                  Accelerator Using Hashing Trick},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {67--68},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027759},
  doi          = {10.1109/ISOCC47750.2019.9027759},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YooPK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YoonJCL19,
  author       = {Young Hyun Yoon and
                  Su{-}Yeon Jang and
                  Do Young Choi and
                  Seung Eun Lee},
  title        = {Flexible Embedded {AI} System with High-speed Neuromorphic Controller},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {265--266},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078508},
  doi          = {10.1109/ISOCC47750.2019.9078508},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YoonJCL19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YuLSK19,
  author       = {Hoyoung Yu and
                  Hyung{-}Min Lee and
                  Youngjoo Shin and
                  Youngmin Kim},
  title        = {{FPGA} reverse engineering in Vivado design suite based on X-ray project},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {239--240},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078504},
  doi          = {10.1109/ISOCC47750.2019.9078504},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YuLSK19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YuanT19,
  author       = {Jun Yuan and
                  Xiaobin Tang},
  title        = {63.2pS at 1.2V dynamic comparator in 65nm {CMOS} technology},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {194--195},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9027693},
  doi          = {10.1109/ISOCC47750.2019.9027693},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YuanT19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhongE19,
  author       = {Zhaoqian Zhong and
                  Masato Edahiro},
  title        = {Model-based Parallelization for Simulink Models on Multicore CPUs
                  and GPUs},
  booktitle    = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  pages        = {103--104},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://doi.org/10.1109/ISOCC47750.2019.9078489},
  doi          = {10.1109/ISOCC47750.2019.9078489},
  timestamp    = {Mon, 11 May 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhongE19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isocc/2019,
  title        = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea
                  (South), October 6-9, 2019},
  publisher    = {{IEEE}},
  year         = {2019},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/9017212/proceeding},
  isbn         = {978-1-7281-2478-0},
  timestamp    = {Fri, 20 Mar 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/2019.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/0001ZJLWML18,
  author       = {Zhao Wang and
                  Heng Zhang and
                  Zhenzhen Jiang and
                  Mark Leach and
                  Jing Chen Wang and
                  Ka Lok Man and
                  Eng Gee Lim},
  title        = {A Multiband Rectenna for Self-sustainable Devices},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {178--179},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649903},
  doi          = {10.1109/ISOCC.2018.8649903},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/0001ZJLWML18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/0006KK18,
  author       = {Jeongsoo Park and
                  Jinhyun Kim and
                  Jeong{-}Geun Kim},
  title        = {A Ka-band low noise amplifier in 0.15{\(\mu\)}m GaAs E-mode pHEMT
                  technology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {255--256},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649803},
  doi          = {10.1109/ISOCC.2018.8649803},
  timestamp    = {Sat, 19 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/0006KK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AnCS18,
  author       = {Jehong An and
                  Yunfan Chen and
                  Hyunchul Shin},
  title        = {Weather Classification using Convolutional Neural Networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {245--246},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649921},
  doi          = {10.1109/ISOCC.2018.8649921},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AnCS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AttiliM18,
  author       = {Imtinan Basem Attili and
                  Soliman A. Mahmoud},
  title        = {Optimizing the Performance of a Low Power - Area Efficient {OTA} Design
                  that is Based on Hybrid Current Shunting Technique},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {95--96},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649893},
  doi          = {10.1109/ISOCC.2018.8649893},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AttiliM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BaeK18,
  author       = {Gyu Jin Bae and
                  Young Hwan Kim},
  title        = {Segmentation-based disparity refinement},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {74--75},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649977},
  doi          = {10.1109/ISOCC.2018.8649977},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/BaeK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChaeKOPSP18,
  author       = {Kwanyeob Chae and
                  Billy Koo and
                  Jihun Oh and
                  Sanghune Park and
                  Jongshin Shin and
                  Jaehong Park},
  title        = {Digital {PHY} Design Methodologies for High-Speed and Low-Power Memory
                  Interface},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {140--141},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649918},
  doi          = {10.1109/ISOCC.2018.8649918},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChaeKOPSP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenXYS18,
  author       = {Yunfan Chen and
                  Han Xie and
                  Donghoon Yeo and
                  Hyunchul Shin},
  title        = {Infrared and Visible Image Fusion using Multi-Scale Decomposition
                  and Visual Saliency Map},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {243--244},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649957},
  doi          = {10.1109/ISOCC.2018.8649957},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenXYS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChengCH18,
  author       = {Wei{-}Kai Cheng and
                  Jian{-}Kai Chen and
                  Shih{-}Hsu Huang},
  title        = {Integration of Retention-aware Refresh and {BISR} Techniques for {DRAM}
                  Refresh Power Reduction},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {50--51},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649914},
  doi          = {10.1109/ISOCC.2018.8649914},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChengCH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/CheongLK18,
  author       = {Minho Cheong and
                  Ingeol Lee and
                  Sungho Kang},
  title        = {A Test Methodology for Neural Computing Unit},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {11--12},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649896},
  doi          = {10.1109/ISOCC.2018.8649896},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/CheongLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChiouCLYCL18,
  author       = {Lih{-}Yih Chiou and
                  Chun{-}Hao Chang and
                  Liang{-}Ying Lu and
                  Wei{-}Hsuan Yang and
                  Yeong{-}Jar Chang and
                  Juin{-}Ming Lu},
  title        = {Fast Steady-State Thermal Analysis},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {15--16},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649912},
  doi          = {10.1109/ISOCC.2018.8649912},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChiouCLYCL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoLSK18,
  author       = {Keewon Cho and
                  Young{-}Woo Lee and
                  Sungyoul Seo and
                  Sungho Kang},
  title        = {2-D Failure Bitmap Compression Using Line Fault Marking Method},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {21--22},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649886},
  doi          = {10.1109/ISOCC.2018.8649886},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoLSK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiK018,
  author       = {Byungjun Choi and
                  Bohun Kim and
                  Jongsun Park},
  title        = {Low Cost Hardware Implementation of {LEA-128} Encryption using Bit-Serial
                  Technique},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {46--47},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649937},
  doi          = {10.1109/ISOCC.2018.8649937},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiK018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiKLKKS18,
  author       = {Jaegyeong Choi and
                  Jungah Kim and
                  Yongho Lee and
                  Seungsoo Kim and
                  Jongsik Kim and
                  Hyunchol Shin},
  title        = {Design of a Low-Power Complex Baseband Filter with Tunable Gain and
                  Bandwidth in 65nm {CMOS}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {109--110},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649974},
  doi          = {10.1109/ISOCC.2018.8649974},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiKLKKS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiY18,
  author       = {Soyeon Choi and
                  Hoyoung Yoo},
  title        = {Hybrid Decoding for Polar Codes},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {121--122},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649922},
  doi          = {10.1109/ISOCC.2018.8649922},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DiabM18,
  author       = {Maha S. Diab and
                  Soliman A. Mahmoud},
  title        = {Elliptic {OTA-C} Low-Pass Filters for Analog Front-End of Biosignal
                  Detection System},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {103--104},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649964},
  doi          = {10.1109/ISOCC.2018.8649964},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DiabM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/FujiiHUN18,
  author       = {Kyohei Fujii and
                  Shuhei Hashimoto and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Synchronization Phenomena of Coupled Chaotic Circuits Network with
                  Coupling Strength Depending on Number of Degree},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {164--165},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649924},
  doi          = {10.1109/ISOCC.2018.8649924},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/FujiiHUN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanCK18,
  author       = {Donghee Han and
                  Hyo Bin Choi and
                  Yong Sin Kim},
  title        = {Design of Road Surface Lighting System for Rear Lamp using Automotive
                  Ultrasonic Sensor},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {249--250},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649888},
  doi          = {10.1109/ISOCC.2018.8649888},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HanCK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanSYK18,
  author       = {Mangi Han and
                  Ji Min Song and
                  Hoon{-}Gee Yang and
                  Youngmin Kim},
  title        = {Implementation of Multi-Channel {FM} Repeater using Digital Signal
                  Processing Algorithm in {FPGA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {208--209},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649935},
  doi          = {10.1109/ISOCC.2018.8649935},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HanSYK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HosokawaUN18,
  author       = {Yasuteru Hosokawa and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Design of Two Template Cellular Neural Networks for Color Image Processing},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {162--163},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649934},
  doi          = {10.1109/ISOCC.2018.8649934},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HosokawaUN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuangCJ0FZ18,
  author       = {Yujie Huang and
                  Yujie Cai and
                  Ming{-}e Jing and
                  Jun Han and
                  Yibo Fan and
                  Xiaoyang Zeng},
  title        = {The Hardware Acceleration of {SC} Decoder for Polar Code towards {HLS}
                  Optimization},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {123--124},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649940},
  doi          = {10.1109/ISOCC.2018.8649940},
  timestamp    = {Wed, 06 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HuangCJ0FZ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/IshidaSU18,
  author       = {Ryuta Ishida and
                  Toshinori Sato and
                  Tomoaki Ukezono},
  title        = {Approximate Adder Generation for Image Processing Using Convolutional
                  Neural Network},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {38--39},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649928},
  doi          = {10.1109/ISOCC.2018.8649928},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/IshidaSU18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JinISK18,
  author       = {Minhyun Jin and
                  Hyejin Im and
                  Minkyu Song and
                  Soo Youn Kim},
  title        = {Nano-ampere Current Sensing Technique for {OLED} Mobile Displays},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {101--102},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649891},
  doi          = {10.1109/ISOCC.2018.8649891},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JinISK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoALK18,
  author       = {So Yeon Jo and
                  Namhyun Ahn and
                  Yunsoo Lee and
                  Suk{-}Ju Kang},
  title        = {Transfer Learning-based Vehicle Classification},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {127--128},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649802},
  doi          = {10.1109/ISOCC.2018.8649802},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JoALK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoHLL18,
  author       = {Junseo Jo and
                  Seokha Hwang and
                  Sunggu Lee and
                  Youngjoo Lee},
  title        = {Multi-Mode {LSTM} Network for Energy-Efficient Speech Recognition},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {133--134},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649913},
  doi          = {10.1109/ISOCC.2018.8649913},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JoHLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JoeCK18,
  author       = {Hounghun Joe and
                  Manhee Cho and
                  Youngmin Kim},
  title        = {Accurate Stochastic Computing Using a Wire Exchanging Unipolar Multiplier},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {229--230},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649892},
  doi          = {10.1109/ISOCC.2018.8649892},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JoeCK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JuangLL18,
  author       = {Tso{-}Bing Juang and
                  Cong{-}Yi Lin and
                  Guan{-}Zhong Lin},
  title        = {Area-Delay Product Efficient Design for Convolutional Neural Network
                  Circuits Using Logarithmic Number Systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {170--171},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649961},
  doi          = {10.1109/ISOCC.2018.8649961},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JuangLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JungUSHLK18,
  author       = {Hyunki Jung and
                  Dzuhri Radityo Utomo and
                  Saebyeok Shin and
                  Seok{-}Kyun Han and
                  Sang{-}Gug Lee and
                  Jusung Kim},
  title        = {Ka-band {RF} Front-End with 5dB {NF} and 16dB conversion gain in 45nm
                  {CMOS} technology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {105--106},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649986},
  doi          = {10.1109/ISOCC.2018.8649986},
  timestamp    = {Wed, 02 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JungUSHLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KangYC18,
  author       = {Sungbum Kang and
                  Joonsang Yu and
                  Kiyoung Choi},
  title        = {Tapered-Ratio Compression for Residual Network},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {72--73},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649890},
  doi          = {10.1109/ISOCC.2018.8649890},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KangYC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KavyaTJC18,
  author       = {Thathupara Subramanyan Kavya and
                  Erdenetuya Tsogtbaatar and
                  Young{-}Min Jang and
                  Sang{-}Bock Cho},
  title        = {Night-time Vehicle Detection Based on Brake/Tail Light Color},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {206--207},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649981},
  doi          = {10.1109/ISOCC.2018.8649981},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KavyaTJC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimC18,
  author       = {Bruce C. Kim and
                  Sang{-}Bock Cho},
  title        = {Design of 3D Inductors for IoT Security},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {251--252},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649908},
  doi          = {10.1109/ISOCC.2018.8649908},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimHKH18,
  author       = {Ju Sung Kim and
                  Jeong Beom Hong and
                  Ju Yeon Kang and
                  Tae Hee Han},
  title        = {Lifetime Improvement Method using Threshold-based Partial Data Compression
                  in NoC},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {269--270},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649951},
  doi          = {10.1109/ISOCC.2018.8649951},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimHKH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK18,
  author       = {Geun{-}Jun Kim and
                  Bongsoon Kang},
  title        = {A Method of Prevent Loss of Information in Ill-Posed Problem Based
                  Application using Atmospheric Scattering Model},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {214--215},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649899},
  doi          = {10.1109/ISOCC.2018.8649899},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimL18,
  author       = {Min{-}Cheol Kim and
                  Kwang{-}Yeob Lee},
  title        = {Optimal Model Analysis for Denoising Monte Calro Rendering Noise},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {263--264},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649801},
  doi          = {10.1109/ISOCC.2018.8649801},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLC18,
  author       = {Yeon{-}Jin Kim and
                  Ho{-}Yun Lee and
                  Jin{-}Gyun Chung},
  title        = {4-Bit Data Arrangement Algorithm for {CAN} Compression},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {216--217},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649946},
  doi          = {10.1109/ISOCC.2018.8649946},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimLC18a,
  author       = {Jaehyun Kim and
                  Chaeun Lee and
                  Kiyoung Choi},
  title        = {Energy Efficient Analog Synapse/Neuron Circuit for Binarized Neural
                  Networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {271--272},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649929},
  doi          = {10.1109/ISOCC.2018.8649929},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimLC18a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimOLK18,
  author       = {Heetae Kim and
                  Hyunggoy Oh and
                  Sangjun Lee and
                  Sungho Kang},
  title        = {Low Power Scan Chain Architecture Based on Circuit Topology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {267--268},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649956},
  doi          = {10.1109/ISOCC.2018.8649956},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimOLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimP18,
  author       = {Taehwan Kim and
                  Jongsun Park},
  title        = {Spin Orbit Torque-RAM Write Energy Reduction with Self-Verification
                  Scheme},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {19--20},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649942},
  doi          = {10.1109/ISOCC.2018.8649942},
  timestamp    = {Sat, 04 Jan 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimPC18,
  author       = {Jeong Hyeon Kim and
                  Jae Hyeon Park and
                  Sung In Cho},
  title        = {Optimized Image Crop-based Video Retargeting},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {224--225},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649938},
  doi          = {10.1109/ISOCC.2018.8649938},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimPC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimSKRK18,
  author       = {Min Kim and
                  Kyung{-}Sub Son and
                  Namhoon Kim and
                  Chang Hang Rho and
                  Jin{-}Ku Kang},
  title        = {A Two-Step Time-to-Digital Converter using Ring Oscillator Time Amplifier},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {143--144},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649906},
  doi          = {10.1109/ISOCC.2018.8649906},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimSKRK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimTCLJC18,
  author       = {YoungBae Kim and
                  Qiang Tong and
                  Ken Choi and
                  Eunchong Lee and
                  Sung{-}Joon Jang and
                  Byeong{-}Ho Choi},
  title        = {System Level Power Reduction for {YOLO2} Sub-modules for Object Detection
                  of Future Autonomous Vehicles},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {151--155},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649950},
  doi          = {10.1109/ISOCC.2018.8649950},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KimTCLJC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KongLJ18,
  author       = {Sungick Kong and
                  Sang{-}Seol Lee and
                  Sung{-}Joon Jang},
  title        = {Stereo vision-based Collision Avoidance for Unmanned Systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {204--205},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649941},
  doi          = {10.1109/ISOCC.2018.8649941},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KongLJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KongP18,
  author       = {Byeong Yong Kong and
                  In{-}Cheol Park},
  title        = {Efficient Implementation of Multiple Interleavers in {IDMA} for 5G},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {119--120},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649984},
  doi          = {10.1109/ISOCC.2018.8649984},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KongP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KweonCSK18,
  author       = {JunYoung Kweon and
                  JunTae Choi and
                  Yun{-}Heup Song and
                  Tony Tae{-}Hyoung Kim},
  title        = {Leakage Control System Using Data Estimation of Resistive Memory},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {196--197},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649917},
  doi          = {10.1109/ISOCC.2018.8649917},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KweonCSK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KwonKK18,
  author       = {Hyun{-}jeong Kwon and
                  Young Hwan Kim and
                  Seokhyeong Kang},
  title        = {Estimation of Leakage Distribution Utilizing Gaussian Mixture Model},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {149--150},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649978},
  doi          = {10.1109/ISOCC.2018.8649978},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KwonKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LaiC18,
  author       = {Tzu{-}Yi Lai and
                  Kuan{-}Hung Chen},
  title        = {On-Chip Memory Optimization of High Efficiency Accelerator for Deep
                  Convolutional Neural Networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {82--83},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649945},
  doi          = {10.1109/ISOCC.2018.8649945},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LaiC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Lee18,
  author       = {Jinyong Lee},
  title        = {Study on Intel {CPU-FPGA} Architecture : Security Perspective},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {52--53},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649948},
  doi          = {10.1109/ISOCC.2018.8649948},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Lee18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeBHLL18,
  author       = {Hyunhoon Lee and
                  Younghoon Byun and
                  Seokha Hwang and
                  Sunggu Lee and
                  Youngjoo Lee},
  title        = {Fixed-Point Quantization of 3D Convolutional Neural Networks for Energy-Efficient
                  Action Recognition},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {129--130},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649987},
  doi          = {10.1109/ISOCC.2018.8649987},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeBHLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeCHKJ18,
  author       = {Taeju Lee and
                  Ji{-}Hyoung Cha and
                  Su{-}Hyun Han and
                  Seong{-}Jin Kim and
                  Minkyu Je},
  title        = {A 4.86 {\(\mathrm{\mu}\)}W/Channel Fully Differential Multi-Channel
                  Neural Recording System},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {68--69},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649952},
  doi          = {10.1109/ISOCC.2018.8649952},
  timestamp    = {Mon, 08 Apr 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeCHKJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeH18,
  author       = {Kwangjin Lee and
                  Tae Hee Han},
  title        = {Reliability Optimization of ReRAM Architecture using Heterogeneous
                  Error Correcting Code Scheme},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {25--26},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649989},
  doi          = {10.1109/ISOCC.2018.8649989},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK18,
  author       = {Ho Sub Lee and
                  Young Hwan Kim},
  title        = {Human Visual Attention Analysis-based Image Segmentation using Color
                  Histogram},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {76--77},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649895},
  doi          = {10.1109/ISOCC.2018.8649895},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeK18a,
  author       = {Kyungnam Lee and
                  Youngmin Kim},
  title        = {Design and Analysis of Digital {PID} Controller in {MCU} and {FPGA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {261--262},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649909},
  doi          = {10.1109/ISOCC.2018.8649909},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeK18a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKKBK18,
  author       = {Donggu Lee and
                  Taejong Kim and
                  Sinyoung Kim and
                  Kanghyeon Byun and
                  Kuduck Kwon},
  title        = {A {CMOS} Rectifier with 72.3{\%} RF-to-DC Conversion Efficiency Employing
                  Tunable Impedance Matching Network for Ambient {RF} Energy Harvesting},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {259--260},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649983},
  doi          = {10.1109/ISOCC.2018.8649983},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKKBK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeKPLPK18,
  author       = {Hui Dong Lee and
                  Sunwoo Kong and
                  Bonghyuk Park and
                  Kwang Chun Lee and
                  Jeong{-}Soo Park and
                  Jeong{-}Geun Kim},
  title        = {A 28-GHz 28.5-dBm power amplifier using 0.15-{\(\mathrm{\mu}\)}m InGaAs
                  E-mode pHEMT technology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {257--258},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649905},
  doi          = {10.1109/ISOCC.2018.8649905},
  timestamp    = {Sat, 19 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeKPLPK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LeeM18,
  author       = {Sangjae Lee and
                  Byungin Moon},
  title        = {Drivable Area Detection Method Capable of Distinguishing Vegetation
                  Area on Country Road},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {80--81},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649902},
  doi          = {10.1109/ISOCC.2018.8649902},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LeeM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiH18,
  author       = {Bo{-}Yi Li and
                  Jiun{-}Lang Huang},
  title        = {A Multi-Fault Dynamic Compaction Technique for Test Pattern Count
                  Reduction},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {9--10},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649901},
  doi          = {10.1109/ISOCC.2018.8649901},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LiH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiLHS18,
  author       = {Yu{-}Ting Li and
                  Jin{-}Fu Li and
                  Chun{-}Lung Hsu and
                  Chi{-}Tien Sun},
  title        = {Diagnosis of Resistive Nonvolatile-8T SRAMs},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {23--24},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649953},
  doi          = {10.1109/ISOCC.2018.8649953},
  timestamp    = {Tue, 17 Oct 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LiLHS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LimCAKKBSKK18,
  author       = {Kyunghyun Lim and
                  Minsoo Choi and
                  Myat Thu Linn Aung and
                  Kyunghwan Kim and
                  Ji{-}Seong Kim and
                  Rock{-}Hyun Baek and
                  Ho{-}Jin Song and
                  Tony Tae{-}Hyoung Kim and
                  Byungsub Kim},
  title        = {Experimental Verification of a Simple, Intuitive, and Accurate Closed-Form
                  Transfer Function Model for Diverse High-Speed Interconnects},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {239--240},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649925},
  doi          = {10.1109/ISOCC.2018.8649925},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LimCAKKBSKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LimJK18,
  author       = {Hyeonchan Lim and
                  Seokjun Jang and
                  Sungho Kang},
  title        = {A Software-based Scan Chain Diagnosis for Double Faults in {A} Scan
                  Chain},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {265--266},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649930},
  doi          = {10.1109/ISOCC.2018.8649930},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LimJK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LinHC18,
  author       = {Chen{-}Hsien Lin and
                  Shih{-}Hsu Huang and
                  Wei{-}Kai Cheng},
  title        = {An Effective Approach for Building Low-Power General Activity-Driven
                  Clock Trees},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {13--14},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649800},
  doi          = {10.1109/ISOCC.2018.8649800},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/LinHC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/LiuLUC18,
  author       = {Wei Liu and
                  Wei Li and
                  Sang Un Park and
                  Yong Beom Cho},
  title        = {High-throughput {HW-SW} implementation for {MV-HEVC} decoder},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {226--228},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649965},
  doi          = {10.1109/ISOCC.2018.8649965},
  timestamp    = {Wed, 18 Aug 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/LiuLUC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MaBM0S18,
  author       = {Jieming Ma and
                  Ziqiang Bi and
                  Ka Lok Man and
                  Yong Yue and
                  Jeremy S. Smith},
  title        = {Automatic Shading Detection System for Photovoltaic Strings},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {176--177},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649960},
  doi          = {10.1109/ISOCC.2018.8649960},
  timestamp    = {Thu, 05 Jan 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MaBM0S18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MaddisettiR18,
  author       = {Lavanya Maddisetti and
                  J. V. R. Ravindra},
  title        = {Performance Metrics of Inexact Multipliers Based on Approximate 5:
                  2 Compressors},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {84--85},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649944},
  doi          = {10.1109/ISOCC.2018.8649944},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/MaddisettiR18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ManochaKKS18,
  author       = {Prateek Manocha and
                  Ayush Kumar and
                  Jameel Ahmed Khan and
                  Hyunchul Shin},
  title        = {Korean Traffic Sign Detection Using Deep Learning},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {247--248},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649887},
  doi          = {10.1109/ISOCC.2018.8649887},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ManochaKKS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MayedaLL18,
  author       = {Jill C. Mayeda and
                  Donald Y. C. Lie and
                  Jerry Lopez},
  title        = {A 24-28GHz Reconfigurable {CMOS} Power Amplifier in 22nm {FD-SOI}
                  for Intelligent SoC Applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {111--112},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649915},
  doi          = {10.1109/ISOCC.2018.8649915},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MayedaLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MetkuKKC18,
  author       = {Prashanthi Metku and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim and
                  Minsu Choi},
  title        = {Low-Power Null Convention Logic Multiplier Design Based On Gate Diffusion
                  Input Technique},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {233--234},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649885},
  doi          = {10.1109/ISOCC.2018.8649885},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MetkuKKC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/MoradianJC18,
  author       = {Hossein Moradian and
                  Sujeong Jo and
                  Kiyoung Choi},
  title        = {Reconfigurable Multi-Input Adder Design for Deep Neural Network Accelerators},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {212--213},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649943},
  doi          = {10.1109/ISOCC.2018.8649943},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/MoradianJC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NabaviSS18,
  author       = {Morteza Nabavi and
                  Maitham Shams and
                  Mohamad Sawan},
  title        = {Temperature Independent Subthreshold Circuits Design},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {92--93},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649931},
  doi          = {10.1109/ISOCC.2018.8649931},
  timestamp    = {Thu, 19 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/NabaviSS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/NguyenL18,
  author       = {Tram Thi Bao Nguyen and
                  Hanho Lee},
  title        = {Efficient Four-way Row-splitting Layered {QC-LDPC} Decoder Architecture},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {210--211},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649976},
  doi          = {10.1109/ISOCC.2018.8649976},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/NguyenL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhKLK18,
  author       = {Hyunggoy Oh and
                  Heetae Kim and
                  Sangjun Lee and
                  Sungho Kang},
  title        = {Dynamic voltage Drop induced Path Delay Analysis for {STV} and {NTV}
                  Circuits during At-speed Scan Test},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {7--8},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649911},
  doi          = {10.1109/ISOCC.2018.8649911},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/OhKLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhLLSKWS18,
  author       = {Myungwoo Oh and
                  Chaeeun Lee and
                  Sanghun Lee and
                  Youngho Seo and
                  Sunwoo Kim and
                  Jooho Wang and
                  Chester Sungchung Park},
  title        = {Convolutional Neural Network Accelerator with Reconfigurable Dataflow},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {42--43},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649988},
  doi          = {10.1109/ISOCC.2018.8649988},
  timestamp    = {Sun, 02 Oct 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OhLLSKWS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhPYHP18,
  author       = {Hyunyoung Oh and
                  Junmo Park and
                  Myonghoon Yang and
                  Dongil Hwang and
                  Yunheung Paek},
  title        = {Design of a Generic Security Interface for {RISC-V} Processors and
                  its Applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {40--41},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649968},
  doi          = {10.1109/ISOCC.2018.8649968},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OhPYHP18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OhsatoYWS18,
  author       = {Tatsuki Ohsato and
                  Yuta Yamada and
                  Xiuqin Wei and
                  Hiroo Sekiya},
  title        = {Analysis and Design of Phase-Controlled Class-D {ZVS} Inverter},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {160--161},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649973},
  doi          = {10.1109/ISOCC.2018.8649973},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OhsatoYWS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/OuraFUN18,
  author       = {Akari Oura and
                  Kyohei Fujii and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Analysis of Chaotic Circuit Networks with One-Way Coupling},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {168--169},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649980},
  doi          = {10.1109/ISOCC.2018.8649980},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/OuraFUN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PamidimukkalaKK18,
  author       = {Keerthana Pamidimukkala and
                  Kyung Ki Kim and
                  Yong{-}Bin Kim and
                  Minsu Choi},
  title        = {Generalized Adaptive Variable Bit Truncation Method for Approximate
                  Stochastic Computing},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {218--219},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649979},
  doi          = {10.1109/ISOCC.2018.8649979},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PamidimukkalaKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKC18,
  author       = {Jae Hyeon Park and
                  Jeong Hyeon Kim and
                  Sung In Cho},
  title        = {The Analysis of {CNN} Structure for Image Denoising},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {220--221},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649916},
  doi          = {10.1109/ISOCC.2018.8649916},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkKLC18,
  author       = {Sang Un Park and
                  Tae Pyeong Kim and
                  Mee Zee Lee and
                  Yong Beom Cho},
  title        = {Method of {RTL} Debugging When Using {HLS} for {HW} Design : Different
                  Simulation Result of Verilog {\&} {VHDL}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {273--274},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649954},
  doi          = {10.1109/ISOCC.2018.8649954},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkKLC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ParkM18,
  author       = {Joonho Park and
                  Yong Moon},
  title        = {A design of rectifier for 13.56MHz wireless power transfer receiver
                  with all digital delay-locked loop},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {194--195},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649958},
  doi          = {10.1109/ISOCC.2018.8649958},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ParkM18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PatelKC18,
  author       = {Shreyash Patel and
                  YoungBae Kim and
                  Ken Choi},
  title        = {Novel Low Power FinFET {SRAM} Cell Design With Better Read and Writabilty
                  For Cache Memory},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {44--45},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649900},
  doi          = {10.1109/ISOCC.2018.8649900},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/PatelKC18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PiccinniACT18,
  author       = {Giovanni Piccinni and
                  Gianfranco Avitabile and
                  Giuseppe Coviello and
                  Claudio Talarico},
  title        = {A novel high precision SDR-based positioning system},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {34--35},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649967},
  doi          = {10.1109/ISOCC.2018.8649967},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/PiccinniACT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/PunekarGYCV18,
  author       = {Gauri Punekar and
                  Venkateswarlu Gonuguntla and
                  Palagani Yellappa and
                  Jun Rim Choi and
                  Ramesh Vaddi},
  title        = {A Low-power Low-noise Open-loop Configured Signal Folding Neural Recording
                  Amplifier},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {99--100},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649936},
  doi          = {10.1109/ISOCC.2018.8649936},
  timestamp    = {Sat, 09 Apr 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/PunekarGYCV18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/RikanARHL18,
  author       = {Behnam Samadpoor Rikan and
                  Hamed Abbasizadeh and
                  Reza E. Rad and
                  Arash Hejazi and
                  Kang{-}Yoon Lee},
  title        = {1.6-ppm/{\textdegree}C Reference Voltage Generator with {PSRR} of
                  -93dB based on Threshold Voltage Difference of {LVT} and {SVT} Devices},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {60--61},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649947},
  doi          = {10.1109/ISOCC.2018.8649947},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/RikanARHL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SainiSK18,
  author       = {Jitendra Kumar Saini and
                  Avireni Srinivasulu and
                  Renu Kumawat},
  title        = {Low Power - High Speed Magnitude Comparator Circuit Using 12 CNFETs},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {145--146},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649969},
  doi          = {10.1109/ISOCC.2018.8649969},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SainiSK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SatoU18,
  author       = {Toshinori Sato and
                  Tomoaki Ukezono},
  title        = {Exploiting Configurability for Correct Sign Calculation in an Approximate
                  Adder},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {86--87},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649985},
  doi          = {10.1109/ISOCC.2018.8649985},
  timestamp    = {Fri, 27 Dec 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SatoU18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SeolLL18,
  author       = {Taeryoung Seol and
                  Sehwan Lee and
                  Junghyup Lee},
  title        = {A Wearable Electrocardiogram Monitoring System Robust to Motion Artifacts},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {241--242},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649897},
  doi          = {10.1109/ISOCC.2018.8649897},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SeolLL18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SetiawanA18,
  author       = {Erwin Setiawan and
                  Trio Adiono},
  title        = {Implementation of Systolic Co-processor for Deep Neural Network Inference
                  based on SoC},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {36--37},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649920},
  doi          = {10.1109/ISOCC.2018.8649920},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SetiawanA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SetiawanAF18,
  author       = {Erwin Setiawan and
                  Trio Adiono and
                  Syifaul Fuada},
  title        = {{PHY} Layer Design of {OFDM-VLC} System based on SoC using Reuse Methodology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {115--116},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649904},
  doi          = {10.1109/ISOCC.2018.8649904},
  timestamp    = {Mon, 05 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SetiawanAF18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ShinUJHLK18,
  author       = {Saebyeok Shin and
                  Dzuhri Radityo Utomo and
                  Hyunki Jung and
                  Seok{-}Kyun Han and
                  Sang{-}Gug Lee and
                  Jusung Kim},
  title        = {A 22.8-to-32.4 GHz Injection-locked Frequency Tripler with Source
                  Degeneration},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {107--108},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649927},
  doi          = {10.1109/ISOCC.2018.8649927},
  timestamp    = {Wed, 02 Nov 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ShinUJHLK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SiddiquiSLYCK18,
  author       = {M. Sultan M. Siddiqui and
                  Ruchi Sharma and
                  Van Loi Le and
                  Taegeun Yoo and
                  Ik{-}Joon Chang and
                  Tony Tae{-}Hyoung Kim},
  title        = {A Radiation Hardened {SRAM} with Self-refresh and Compact Error Correction},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {235--236},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649889},
  doi          = {10.1109/ISOCC.2018.8649889},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SiddiquiSLYCK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SmagulovaIJ18,
  author       = {Kamilya Smagulova and
                  Aidana Irmanova and
                  Alex Pappachen James},
  title        = {Low Power Near-sensor Coarse to Fine {XOR} based Memristive Edge Detection},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {253--254},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649972},
  doi          = {10.1109/ISOCC.2018.8649972},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SmagulovaIJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SumimotoMYUN18,
  author       = {Shu Sumimoto and
                  Yuichi Miyata and
                  Ryuta Yoshimura and
                  Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Design of Convolutional Neural Network for Classifying Depth Prediction
                  Images from Overhead},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {166--167},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649975},
  doi          = {10.1109/ISOCC.2018.8649975},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/SumimotoMYUN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/SuzukiYHISNHK18,
  author       = {Junichi Suzuki and
                  Junichi Yamashita and
                  Masami Hanyu and
                  Masamichi Ido and
                  Tatsuya Saito and
                  Yasuhiro Nakashima and
                  Masanori Hayashikoshi and
                  Yukiyoshi Kiyota},
  title        = {A Cost-Effective High Accuracy Auto-Trimming System without Tester
                  Constraint for Low-End Embedded Flash Memory},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {48--49},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649907},
  doi          = {10.1109/ISOCC.2018.8649907},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/SuzukiYHISNHK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TamuraKKOA18,
  author       = {Kojiro Tamura and
                  Yuki Kametaka and
                  Takuji Kousaka and
                  Hirokazu Ohtagaki and
                  Hiroyuki Asahara},
  title        = {A Simple Circuit Model for PWM-1-Controlled {DC-DC} Converter and
                  Its Analysis},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {158--159},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649898},
  doi          = {10.1109/ISOCC.2018.8649898},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TamuraKKOA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TanakaNNIK18,
  author       = {Tomotaka Tanaka and
                  Fumiya Naito and
                  Makoto Nakamura and
                  Daisuke Ito and
                  Keiji Kishine},
  title        = {A Wideband Differential {VCO} Based on Multiple-path Loop Architecture},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {135--136},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649959},
  doi          = {10.1109/ISOCC.2018.8649959},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TanakaNNIK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TeohH18,
  author       = {Melody Teoh and
                  Sotoudeh Hamedi{-}Hagh},
  title        = {Current Feedback-Based High Load Current Low Drop-Out Voltage Regulator
                  in 65nm {CMOS} Technology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {3--4},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649955},
  doi          = {10.1109/ISOCC.2018.8649955},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/TeohH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TsaiHT18,
  author       = {Tsung{-}Han Tsai and
                  Yuan{-}Chen Ho and
                  Yih{-}Ru Tsai},
  title        = {Implementation of 3D Hand Gesture Recognition System using {FPGA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {131--132},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649939},
  doi          = {10.1109/ISOCC.2018.8649939},
  timestamp    = {Wed, 12 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/TsaiHT18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/TsaiY18,
  author       = {Tsung{-}Han Tsai and
                  Chia{-}Hsiang Yao},
  title        = {A Real-time Tracking Algorithm for Human Following Mobile Robot},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {78--79},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649982},
  doi          = {10.1109/ISOCC.2018.8649982},
  timestamp    = {Wed, 12 Jun 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/TsaiY18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/UwateN18,
  author       = {Yoko Uwate and
                  Yoshifumi Nishio},
  title        = {Oscillation Quenching in Coupled van der Pol Oscillators with Different
                  Frequencies},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {180--181},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649971},
  doi          = {10.1109/ISOCC.2018.8649971},
  timestamp    = {Fri, 09 Apr 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/UwateN18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/WeiH18,
  author       = {Yu{-}Chi Wei and
                  Shi{-}Yu Huang},
  title        = {A Folded Locking Scheme for the Long-Range Delay Block in a Wide-Range
                  {DLL}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {90--91},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649933},
  doi          = {10.1109/ISOCC.2018.8649933},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/WeiH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/Xiang0S18,
  author       = {Yingfei Xiang and
                  Yu Wang and
                  C.{-}J. Richard Shi},
  title        = {A 13.56 MHz Active Rectifier With Self-Switching Comparator for Wireless
                  Power Transfer Systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {54--55},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649910},
  doi          = {10.1109/ISOCC.2018.8649910},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/Xiang0S18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/XueSFGCH18,
  author       = {Pan Xue and
                  Haijun Shao and
                  Dan Fang and
                  Gan Guo and
                  Wei Che and
                  Zhiliang Hong},
  title        = {A Digital {\(\Sigma\)}{\(\Delta\)} Modulated Class-S Transmitter with
                  Two-Step Up-conversion and Filter-less Front End},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {27--28},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649949},
  doi          = {10.1109/ISOCC.2018.8649949},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/XueSFGCH18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YogatamaLHA18,
  author       = {Bobbi Winema Yogatama and
                  Jhonson Lee and
                  Suksmandhira Harimurti and
                  Trio Adiono},
  title        = {FPGA-based Optical Character Recognition for Handwritten Mathematical
                  Expressions},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {42--43},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649966},
  doi          = {10.1109/ISOCC.2018.8649966},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/YogatamaLHA18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YounJ18,
  author       = {Eunji Youn and
                  Young{-}Chan Jang},
  title        = {12-bit 20M-S/s {SAR} {ADC} using {C-R} {DAC} and Capacitor Calibration},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649894},
  doi          = {10.1109/ISOCC.2018.8649894},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YounJ18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YounKK18,
  author       = {Yelim Youn and
                  Kwangmin Kim and
                  Byungsub Kim},
  title        = {A Rule-of-thumb Condition to Avoid Large {HRS} Current in ReRAM Crossbar
                  Array Design},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {17--18},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649923},
  doi          = {10.1109/ISOCC.2018.8649923},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YounKK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/YuK18,
  author       = {Hoyoung Yu and
                  Youngmin Kim},
  title        = {True Random Number Generator Using Bio-related Signals in Wearable
                  Devices},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {231--232},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649804},
  doi          = {10.1109/ISOCC.2018.8649804},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/YuK18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhaoSZZYML18,
  author       = {Chun Zhao and
                  Zong Jie Shen and
                  Guang You Zhou and
                  Ce Zhou Zhao and
                  Li Yang and
                  Ka Lok Man and
                  Eng Gee Lim},
  title        = {Neuromorphic Properties of Memristor towards Artificial Intelligence},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {172--173},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649926},
  doi          = {10.1109/ISOCC.2018.8649926},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhaoSZZYML18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhaoZZYML18,
  author       = {Chun Zhao and
                  Guang You Zhou and
                  Ce Zhou Zhao and
                  Li Yang and
                  Ka Lok Man and
                  Eng Gee Lim},
  title        = {Memristor-based Neuromorphic Implementations for Artificial Neural
                  Networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {174--175},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649932},
  doi          = {10.1109/ISOCC.2018.8649932},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhaoZZYML18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhongE18,
  author       = {Zhaoqian Zhong and
                  Masato Edahiro},
  title        = {Model-Based Parallelizer for Embedded Control Systems on Single-ISA
                  Heterogeneous Multicore Processors},
  booktitle    = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  pages        = {117--118},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://doi.org/10.1109/ISOCC.2018.8649919},
  doi          = {10.1109/ISOCC.2018.8649919},
  timestamp    = {Tue, 05 Mar 2019 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhongE18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@proceedings{DBLP:conf/isocc/2018,
  title        = {International SoC Design Conference, {ISOCC} 2018, Daegu, South Korea,
                  November 12-15, 2018},
  publisher    = {{IEEE}},
  year         = {2018},
  url          = {https://ieeexplore.ieee.org/xpl/conhome/8648165/proceeding},
  isbn         = {978-1-5386-7960-9},
  timestamp    = {Wed, 16 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/2018.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AbbasizadehRTKK17,
  author       = {Hamed Abbasizadeh and
                  Behnam Samadpoor Rikan and
                  Thi Kim Nga Truong and
                  Kwan{-}Tae Kim and
                  Sung{-}Jin Kim and
                  Dong{-}Soo Lee and
                  Kang{-}Yoon Lee},
  title        = {A design of ultra-low noise {LDO} using noise reduction network techniques},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {198--199},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368850},
  doi          = {10.1109/ISOCC.2017.8368850},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AbbasizadehRTKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AdionoP17,
  author       = {Trio Adiono and
                  Angga Pratama Putra},
  title        = {Hardware/software model of {DCO-OFDM} based visible light communication
                  SoC using {DMA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {92--93},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368785},
  doi          = {10.1109/ISOCC.2017.8368785},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AdionoP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AdionoPHAS17,
  author       = {Trio Adiono and
                  Prasetiyo and
                  Suksmandhira Harimurti and
                  Khilda Afifah and
                  Amy Hamidah Salman},
  title        = {Design of highly stable bandgap reference circuit for {RF} power harvester
                  module of a 13.56 MHz smart card tag {IC}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {190--191},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368919},
  doi          = {10.1109/ISOCC.2017.8368919},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AdionoPHAS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AliCKRL17,
  author       = {Imran Ali and
                  SungHun Cho and
                  Dong Gyu Kim and
                  Muhammad Riaz ur Rehman and
                  Kang{-}Yoon Lee},
  title        = {A design of ultra low power {I2C} synchronous slave controller with
                  interface voltage level independency in 180 nm {CMOS} technology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {262--263},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368885},
  doi          = {10.1109/ISOCC.2017.8368885},
  timestamp    = {Sat, 16 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AliCKRL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AlzahmiMLKB17,
  author       = {Ahmed Alzahmi and
                  Nahid Mirzaie and
                  Chung{-}Ching Lin and
                  Insoo Kim and
                  Gyung{-}Su Byun},
  title        = {High-performance RF-interconnect for 3D stacked memory},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {109--110},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368793},
  doi          = {10.1109/ISOCC.2017.8368793},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AlzahmiMLKB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AmanoKNUKMN17,
  author       = {Hideharu Amano and
                  Tadahiro Kuroda and
                  Hiroshi Nakamura and
                  Kimiyoshi Usami and
                  Masaaki Kondo and
                  Hiroki Matsutani and
                  Mitaro Namiki},
  title        = {Building block multi-chip systems using inductive coupling through
                  chip interface},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {152--154},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368842},
  doi          = {10.1109/ISOCC.2017.8368842},
  timestamp    = {Thu, 21 Mar 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/AmanoKNUKMN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ArauchiMITK17,
  author       = {Koki Arauchi and
                  Shohei Maki and
                  Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine},
  title        = {Compact implementation {IIR} filter in {FPGA} for noise reduction
                  of sensor signal},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {258--259},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368883},
  doi          = {10.1109/ISOCC.2017.8368883},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ArauchiMITK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ArimotoYIYSKS17,
  author       = {Kazutami Arimoto and
                  Daichi Yamashita and
                  Nao Igawa and
                  Tomoyuki Yokogawa and
                  Yoichiro Sato and
                  Isao Kayano and
                  Akio Shiratori},
  title        = {A smart low power {R-R-I} heartbeat monitor system with contactless
                  {UWB} sensor},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {63--64},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368828},
  doi          = {10.1109/ISOCC.2017.8368828},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ArimotoYIYSKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/AsliW17,
  author       = {Astrie Nurasyeila Fifie Asli and
                  Yan Chiew Wong},
  title        = {-23.5dBm senstivity, 900MHz differential-drive rectifier},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {79--80},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368779},
  doi          = {10.1109/ISOCC.2017.8368779},
  timestamp    = {Sat, 19 Oct 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/AsliW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BahryEH17,
  author       = {Mohamed Khairy Bahry and
                  Mohamed El{-}Nozahi and
                  Emad Hegazi},
  title        = {A battery-connected all-digital capacitive {DC-DC} converter with
                  load tracking controller},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {51--52},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368822},
  doi          = {10.1109/ISOCC.2017.8368822},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/BahryEH17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/BangKK17,
  author       = {Byeong{-}Jun Bang and
                  Hyun{-}jeong Kwon and
                  Young Hwan Kim},
  title        = {Effective sampling method for statistical leakage analysis: Hybrid
                  sampling method},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {302--303},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368907},
  doi          = {10.1109/ISOCC.2017.8368907},
  timestamp    = {Sun, 14 Jul 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/BangKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangKKR17,
  author       = {Kwanghee Chang and
                  Minsik Kim and
                  Kyungah Kim and
                  Won Woo Ro},
  title        = {Characterizing convolutional neural network workloads on a detailed
                  {GPU} simulator},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {84--85},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368781},
  doi          = {10.1109/ISOCC.2017.8368781},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangKKR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChangLS17,
  author       = {Shinil Chang and
                  Yongho Lee and
                  Hyunchol Shin},
  title        = {Design of a 2.4-GHz 2.2-mW {CMOS} {RF} receiver front-end for {BLE}
                  applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {33--34},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368815},
  doi          = {10.1109/ISOCC.2017.8368815},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChangLS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChenHZCHC17,
  author       = {Yaya Chen and
                  Yan Han and
                  Shifeng Zhang and
                  Tianlin Cao and
                  Xiaoxia Han and
                  Ray C. C. Cheung},
  title        = {High {DC} gain and wide output swing class-C inverter},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {53--54},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368823},
  doi          = {10.1109/ISOCC.2017.8368823},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChenHZCHC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/CheonKLL17,
  author       = {Ji Hyeon Cheon and
                  Sung Jin Kim and
                  Dong{-}Soo Lee and
                  Kang{-}Yoon Lee},
  title        = {Low-dropout regulator with low output peak voltage with soft-start
                  added to bandgap reference output},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {210--211},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368856},
  doi          = {10.1109/ISOCC.2017.8368856},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/CheonKLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoLLYY17,
  author       = {Kyungseon Cho and
                  Seungjin Lee and
                  Choong Keun Lee and
                  Taegun Yim and
                  Hongil Yoon},
  title        = {Low power multi-context look-up table {(LUT)} using spin-torque transfer
                  magnetic {RAM} for non-volatile {FPGA}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {107--108},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368792},
  doi          = {10.1109/ISOCC.2017.8368792},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoLLYY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoLSK17,
  author       = {Keewon Cho and
                  Young{-}Woo Lee and
                  Sungyoul Seo and
                  Sungho Kang},
  title        = {An efficient built-in self-repair scheme for area reduction},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {105--106},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368791},
  doi          = {10.1109/ISOCC.2017.8368791},
  timestamp    = {Mon, 17 Sep 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoLSK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoYK17,
  author       = {Sang Won Cho and
                  Sangho Yoon and
                  Young Hwan Kim},
  title        = {Motion vector-based film mode detection for frame rate up-conversion},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {272--273},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368890},
  doi          = {10.1109/ISOCC.2017.8368890},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoYK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiP17,
  author       = {Wonseok Choi and
                  Jongsun Park},
  title        = {An efficient convolutional neural networks design with heterogeneous
                  {SRAM} cell sizing},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {103--104},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368790},
  doi          = {10.1109/ISOCC.2017.8368790},
  timestamp    = {Tue, 09 May 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChoiYY17,
  author       = {Yong{-}Chang Choi and
                  Sang{-}Sun Yoo and
                  Hyung{-}Joun Yoo},
  title        = {A fully-digital phase modulator with phase calibration loop for high
                  data-rate systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {226--227},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368865},
  doi          = {10.1109/ISOCC.2017.8368865},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChoiYY17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChouS17,
  author       = {Hong{-}Fu Chou and
                  Chiu{-}Wing Sham},
  title        = {Unequal protection approach for RLL-constrained {LDPC} coded recording
                  system using deliberate flipping},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {25--26},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368811},
  doi          = {10.1109/ISOCC.2017.8368811},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChouS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChungHYR17,
  author       = {Yung{-}Hui Chung and
                  Ya{-}Mien Hsu and
                  Chia{-}Wei Yen and
                  Wei{-}Shu Rih},
  title        = {A 12-bit 160-MS/s ping-pong subranged-SAR {ADC} in 65nm {CMOS}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {5--6},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368774},
  doi          = {10.1109/ISOCC.2017.8368774},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChungHYR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChungKCKA17,
  author       = {Bum{-}Sik Chung and
                  Hyeong{-}Kyu Kim and
                  Kang{-}Il Cho and
                  Ho{-}Jin Kim and
                  Gil{-}Cho Ahn},
  title        = {Analog front-end for {EMG} acquisition system},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {57--58},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368825},
  doi          = {10.1109/ISOCC.2017.8368825},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChungKCKA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ChungR17,
  author       = {Yung{-}Hui Chung and
                  Wei{-}Shu Rih},
  title        = {A 6-bit 1.6-GS/s domino-SAR {ADC} in 55nm {CMOS}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {216--217},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368860},
  doi          = {10.1109/ISOCC.2017.8368860},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ChungR17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DaiMJW17,
  author       = {Jindun Dai and
                  Wenda Ma and
                  Xin Jiang and
                  Takahiro Watanabe},
  title        = {Hybrid path-diversity-dominant output selection method for Network-on-Chip
                  systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {125--126},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368801},
  doi          = {10.1109/ISOCC.2017.8368801},
  timestamp    = {Tue, 26 Jul 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DaiMJW17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DalakotiMB17,
  author       = {Aditya Dalakoti and
                  Merritt Miller and
                  Forrest Brewer},
  title        = {High performance pulse ring voltage controlled oscillator for Internet
                  of Things},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {322--323},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368918},
  doi          = {10.1109/ISOCC.2017.8368918},
  timestamp    = {Mon, 03 Jan 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/DalakotiMB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/DhabuC17,
  author       = {Sumedh Dhabu and
                  Chip{-}Hong Chang},
  title        = {A novel scheme for information hiding at physical layer of wireless
                  communications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {256--257},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368882},
  doi          = {10.1109/ISOCC.2017.8368882},
  timestamp    = {Mon, 15 Jun 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/DhabuC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ElamienM17,
  author       = {Mohamed B. Elamien and
                  Soliman A. Mahmoud},
  title        = {A 1 MHz-10.2 MHz {BW/0} dB-70 dB gain DPOTA-based baseband chain receiver},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {119--120},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368798},
  doi          = {10.1109/ISOCC.2017.8368798},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ElamienM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ElamienM17a,
  author       = {Mohamed B. Elamien and
                  Soliman A. Mahmoud},
  title        = {A highly linear DPOTA-based configurable analog front-end for {EXG}
                  (EEG, ECG, and {EMG)}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {314--315},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368914},
  doi          = {10.1109/ISOCC.2017.8368914},
  timestamp    = {Sat, 30 Sep 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ElamienM17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/EoLKK17,
  author       = {Sung{-}Wook Eo and
                  Joon Goo Lee and
                  Min{-}Seok Kim and
                  Young{-}Chai Ko},
  title        = {High performance and low power timing controller design for LCoS microdisplay
                  system},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {71--72},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368831},
  doi          = {10.1109/ISOCC.2017.8368831},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/EoLKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/GuXLZM17,
  author       = {Minming Gu and
                  ZhenPing Xia and
                  Yan Lei and
                  Lin Zhang and
                  Jieming Ma},
  title        = {Wireless low power toxic gas detector based on ADuCM360},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {137--138},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368836},
  doi          = {10.1109/ISOCC.2017.8368836},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/GuXLZM17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HamadaKNA17,
  author       = {Shinsuke Hamada and
                  Atsushi Koshiba and
                  Mitaro Namiki and
                  Hideharu Amano},
  title        = {Building block operating system for 3D stacked computer systems with
                  inductive coupling interconnect},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {157--158},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368844},
  doi          = {10.1109/ISOCC.2017.8368844},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HamadaKNA17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanK17,
  author       = {Mangi Han and
                  Youngmin Kim},
  title        = {Unpredictable 16 bits LFSR-based true random number generator},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {284--285},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368897},
  doi          = {10.1109/ISOCC.2017.8368897},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HanK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanL17,
  author       = {Seong{-}Hyeon Han and
                  Kwang{-}Yeob Lee},
  title        = {Implemetation of image classification {CNN} using multi thread {GPU}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {296--297},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368904},
  doi          = {10.1109/ISOCC.2017.8368904},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HanL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanLKK17,
  author       = {Donghyun Han and
                  Hayoung Lee and
                  Donghyun Kim and
                  Sungho Kang},
  title        = {A new repair scheme for TSV-based 3D memory using base die repair
                  cells},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {11--12},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368804},
  doi          = {10.1109/ISOCC.2017.8368804},
  timestamp    = {Tue, 27 Feb 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HanLKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanLKKPJ17,
  author       = {Jin{-}Wook Han and
                  Pil{-}Ho Lee and
                  Yeong{-}Woong Kim and
                  Sang{-}Dong Kim and
                  Jin{-}Woo Park and
                  Young{-}Chan Jang},
  title        = {A clock recovery for 2.56 GSymbol/s {MIPI} {C-PHY} receiver},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {246--247},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368876},
  doi          = {10.1109/ISOCC.2017.8368876},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HanLKKPJ17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HanLOKMC17,
  author       = {Byungki Han and
                  Jongwoo Lee and
                  Seunghyun Oh and
                  Jae{-}Kwon Kim and
                  Eswar Mamidala and
                  Thomas Byunghak Cho},
  title        = {A 14nm FinFET analog baseband {SOC} for multi-mode cellular applications
                  with tri-band carrier aggregation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {1--2},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368772},
  doi          = {10.1109/ISOCC.2017.8368772},
  timestamp    = {Mon, 14 Dec 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HanLOKMC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HeoSPCKB17,
  author       = {Sanghyun Heo and
                  Joohyeb Song and
                  Kyoungmin Park and
                  Eun{-}Ho Choi and
                  Seong{-}Mun Kim and
                  Franklin Bien},
  title        = {A low-offset, low-noise, fully differential receiver with a differential
                  signaling method for fingerprint mutual capacitive touch screen},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {166--167},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368845},
  doi          = {10.1109/ISOCC.2017.8368845},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HeoSPCKB17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HiraiKYT17,
  author       = {Yuya Hirai and
                  Kazushi Kawamura and
                  Masao Yanagisawa and
                  Nozomu Togawa},
  title        = {A selector-based {FFT} processor and its {FPGA} implementation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {88--89},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368783},
  doi          = {10.1109/ISOCC.2017.8368783},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HiraiKYT17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongKK17,
  author       = {Yun Seok Hong and
                  Yong{-}Bin Kim and
                  Kyung Ki Kim},
  title        = {Time-domain temperature sensor based on interlaced hysteresis delay
                  cells},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {282--283},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368896},
  doi          = {10.1109/ISOCC.2017.8368896},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HongKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HongP17,
  author       = {Seongmin Hong and
                  Yongjun Park},
  title        = {A FPGA-based neural accelerator for small IoT devices},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {294--295},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368903},
  doi          = {10.1109/ISOCC.2017.8368903},
  timestamp    = {Mon, 07 Feb 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/HongP17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HsiaoJL17,
  author       = {Jui{-}Cheng Hsiao and
                  Dai{-}En Jhou and
                  Tai{-}Cheng Lee},
  title        = {A 10-Gb/s equalizer with digital adaptation},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {38--39},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368817},
  doi          = {10.1109/ISOCC.2017.8368817},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HsiaoJL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HuhYC17,
  author       = {Subin Huh and
                  Joonsang Yu and
                  Kiyoung Choi},
  title        = {A new stochastic mutiplier for deep neural networks},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {46--47},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368820},
  doi          = {10.1109/ISOCC.2017.8368820},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HuhYC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/HussainSHKS17,
  author       = {Soeb Hussain and
                  Rupal Saxena and
                  Xie Han and
                  Jameel Ahmed Khan and
                  Hyunchul Shin},
  title        = {Hand gesture recognition using deep learning},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {48--49},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368821},
  doi          = {10.1109/ISOCC.2017.8368821},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/HussainSHKS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/InoueTKN17,
  author       = {Toshiyuki Inoue and
                  Akira Tsuchiya and
                  Keiji Kishine and
                  Makoto Nakamura},
  title        = {Design method for inductorless low-noise amplifiers with active shunt-feedback
                  in 65-nm {CMOS}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {77--78},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368778},
  doi          = {10.1109/ISOCC.2017.8368778},
  timestamp    = {Sun, 25 Oct 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/InoueTKN17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JangOPL17,
  author       = {ByeongGi Jang and
                  SeongJin Oh and
                  Young{-}Jun Park and
                  Kang{-}Yoon Lee},
  title        = {Internal circuit offset auto compensation current sensor for wireless
                  power systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {176--177},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368847},
  doi          = {10.1109/ISOCC.2017.8368847},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JangOPL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeonK17,
  author       = {Gyunam Jeon and
                  Yong{-}Bin Kim},
  title        = {A quarter-rate 3-tap {DFE} for 4Gbps data rate with switched-capapctiors
                  based 1\({}^{\mbox{st}}\) speculative tap},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {244--245},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368875},
  doi          = {10.1109/ISOCC.2017.8368875},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JeonK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongLK17,
  author       = {Minsu Jeong and
                  Ockgoo Lee and
                  Soo{-}Won Kim},
  title        = {{WLAN} transceiver for 802.11 a/b/g/n/ac with integrated power amplifier
                  and harmonic {LO} frequency {VCO}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {81--82},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368780},
  doi          = {10.1109/ISOCC.2017.8368780},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongLK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JeongS17,
  author       = {Seo Lin Jeong and
                  Myung Hoon Sunwoo},
  title        = {Low power {BCH} decoder using early termination scheme for {WBAN}
                  standard},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {260--261},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368884},
  doi          = {10.1109/ISOCC.2017.8368884},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JeongS17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JuanKPK17,
  author       = {Ronnie O. Serfa Juan and
                  Byoung Hwan Ko and
                  Chan Su Park and
                  Hi{-}Seok Kim},
  title        = {Development of a reduction algorithm for {CAN} frame bits},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {127--128},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368802},
  doi          = {10.1109/ISOCC.2017.8368802},
  timestamp    = {Fri, 02 Nov 2018 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/JuanKPK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/JuangL17,
  author       = {Tso{-}Bing Juang and
                  Ying{-}Ren Lee},
  title        = {Low-area implementations of concurrent error detection logarithmic
                  processors},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {131--132},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368833},
  doi          = {10.1109/ISOCC.2017.8368833},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/JuangL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KadomotoAK17,
  author       = {Junichiro Kadomoto and
                  Hideharu Amano and
                  Tadahiro Kuroda},
  title        = {An inductive-coupling link for 3-D Network-on-Chips},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {150--151},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368841},
  doi          = {10.1109/ISOCC.2017.8368841},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KadomotoAK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KangC17,
  author       = {Yongshin Kang and
                  Jaeyong Chung},
  title        = {A dynamic fixed-point representation for neuromorphic computing systems},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {44--45},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368819},
  doi          = {10.1109/ISOCC.2017.8368819},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KangC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KareemKK17,
  author       = {Pervaiz Kareem and
                  Asim Khan and
                  Chong{-}Min Kyung},
  title        = {Memory efficient self guided image filtering},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {308--309},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368910},
  doi          = {10.1109/ISOCC.2017.8368910},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KareemKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KhanAKPL17,
  author       = {Danial Khan and
                  Hamed Abbasizadeh and
                  Zaffar Hayat Nawaz Khan and
                  Young{-}Jun Park and
                  Kang{-}Yoon Lee},
  title        = {Design of a capacitor-less {LDO} with high {PSRR} for {RF} energy
                  harvesting applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {202--203},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368852},
  doi          = {10.1109/ISOCC.2017.8368852},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KhanAKPL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KhanFC17,
  author       = {Sadeque Reza Khan and
                  Arifa Ferdousi and
                  GoangSeog Choi},
  title        = {Hardware feasible offset and gain error correction for time-interleaved
                  {ADC}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {254--255},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368881},
  doi          = {10.1109/ISOCC.2017.8368881},
  timestamp    = {Wed, 07 Dec 2022 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/isocc/KhanFC17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KhanKAASPL17,
  author       = {Zaffar Hayat Nawaz Khan and
                  Danial Khan and
                  Nabeel Ahmad and
                  Hamed Abbasizadeh and
                  Syed Adil Ali Shah and
                  Young Jun Park and
                  Kang{-}Yoon Lee},
  title        = {6-Parallel {RF} energy harvesting rectifier with high power conversion
                  efficiency {(PCE)} for 5.8GHz 3W wireless power transfer},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {208--209},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368855},
  doi          = {10.1109/ISOCC.2017.8368855},
  timestamp    = {Thu, 31 Aug 2023 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KhanKAASPL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimAK17,
  author       = {Sanghyuk Kim and
                  Gwon Hwan An and
                  Suk{-}Ju Kang},
  title        = {Facial expression recognition system using machine learning},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {266--267},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368887},
  doi          = {10.1109/ISOCC.2017.8368887},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimAK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimJOL17,
  author       = {Ki{-}Deok Kim and
                  ByeongGi Jang and
                  Sung Jin Oh and
                  Kang{-}Yoon Lee},
  title        = {Design of high efficiency of 98 percent active rectifier with automatic
                  zero voltage and current switching circuit for wireless charging system},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {75--76},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368777},
  doi          = {10.1109/ISOCC.2017.8368777},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimJOL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK17,
  author       = {Dong{-}Kyu Kim and
                  Hyun{-}Sik Kim},
  title        = {Low-noise high-speed {CMOS} {CID} readout {IC}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {178--179},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368858},
  doi          = {10.1109/ISOCC.2017.8368858},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimK17a,
  author       = {Sunghyun Kim and
                  Youngmin Kim},
  title        = {High-performance and energy-efficient approximate multiplier for error-tolerant
                  applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {278--279},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368894},
  doi          = {10.1109/ISOCC.2017.8368894},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimK17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKK17,
  author       = {Taejong Kim and
                  Sinyoung Kim and
                  Kuduck Kwon},
  title        = {A 0.5-V 320 {\(\mu\)}W {CMOS} MedRadio receiver {RF} front-end with
                  a current-reuse gw-boosting common gate low noise amplifier},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {238--239},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368872},
  doi          = {10.1109/ISOCC.2017.8368872},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKK17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKK17a,
  author       = {Sinyoung Kim and
                  Taejong Kim and
                  Kuduck Kwon},
  title        = {An ultra-low-power 2.4 GHz receiver {RF} front-end employing a {RF}
                  quadrature Gm-stage for Bluetooth low energy applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {240--241},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368873},
  doi          = {10.1109/ISOCC.2017.8368873},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKK17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKK17b,
  author       = {Sinyoung Kim and
                  Taejong Kim and
                  Kuduck Kwon},
  title        = {A low power 2.4 GHz quadrature local oscillator buffer for Bluetooth
                  low energy applications},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {242--243},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368874},
  doi          = {10.1109/ISOCC.2017.8368874},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKK17b.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKLL17,
  author       = {Kwan{-}Tae Kim and
                  Sung{-}Jin Kim and
                  Dong{-}Soo Lee and
                  Kang{-}Yoon Lee},
  title        = {A design of 10MHz/20MHz bandwidth baseband circuit with high performance
                  of {ACRR}},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {188--189},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368913},
  doi          = {10.1109/ISOCC.2017.8368913},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKLL17.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/KimKLL17a,
  author       = {Donggyu Kim and
                  Chan Ho Kim and
                  Dong{-}Soo Lee and
                  Kang{-}Yoon Lee},
  title        = {Design of filter tuning circuit to compensate band width change of
                  band pass filter by process and temperature},
  booktitle    = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea,
                  November 5-8, 2017},
  pages        = {192--193},
  publisher    = {{IEEE}},
  year         = {2017},
  url          = {https://doi.org/10.1109/ISOCC.2017.8368920},
  doi          = {10.1109/ISOCC.2017.8368920},
  timestamp    = {Fri, 15 Jun 2018 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/KimKLL17a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics