default search action
Search dblp for Publications
export results for "stream:conf/fpt:"
more than 1000 matches, exporting first 1000 hits only!
@inproceedings{DBLP:conf/fpt/AlbartusEMFPT23, author = {Nils Albartus and Maik Ender and Jan{-}Niklas M{\"{o}}ller and Marc Fyrbiak and Christof Paar and Russell Tessier}, title = {On the Malicious Potential of Xilinx' Internal Configuration Access Port {(ICAP)}}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {1}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00059}, doi = {10.1109/ICFPT59805.2023.00059}, timestamp = {Sat, 24 Feb 2024 20:42:47 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AlbartusEMFPT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AndronicC23, author = {Marta Andronic and George A. Constantinides}, title = {PolyLUT: Learning Piecewise Polynomials for Ultra-Low Latency {FPGA} LUT-based Inference}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {60--68}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00012}, doi = {10.1109/ICFPT59805.2023.00012}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AndronicC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BaoHXJ23, author = {Tianyou Bao and Pengzhou He and Jiafeng Xie and H. S. Jacinto}, title = {{AEKA:} {FPGA} Implementation of Area-Efficient Karatsuba Accelerator for Ring-Binary-LWE-based Lightweight {PQC}}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00067}, doi = {10.1109/ICFPT59805.2023.00067}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BaoHXJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BoutrosMMMB23, author = {Andrew Boutros and Fatemehsadat Mahmoudi and Amin Mohaghegh and Stephen More and Vaughn Betz}, title = {Into the Third Dimension: Architecture Exploration Tools for 3D Reconfigurable Acceleration Devices}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {198--208}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00027}, doi = {10.1109/ICFPT59805.2023.00027}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BoutrosMMMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CaoQGZYW23, author = {Yuhang Cao and Yunhui Qiu and Xuchen Gao and Qilong Zhu and Wenbo Yin and Lingli Wang}, title = {E\({}^{\mbox{2}}\)-ACE: An Energy-Efficient Reconfigurable Crypto-Accelerator with Agile End-to-End Toolchain}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {296--297}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00053}, doi = {10.1109/ICFPT59805.2023.00053}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CaoQGZYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenDA23, author = {Yuzong Chen and Jordan Dotzel and Mohamed S. Abdelfattah}, title = {{M4BRAM:} Mixed-Precision Matrix-Matrix Multiplication in {FPGA} Block RAMs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {69--78}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00013}, doi = {10.1109/ICFPT59805.2023.00013}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenDA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenLZS23, author = {Liangji Chen and Tingyuan Liang and Wei Zhang and Sharad Sinha}, title = {DiffLo: {A} Graph-based Method for Functional Discrepancy Localization in High-level Synthesis}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {300--301}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00055}, doi = {10.1109/ICFPT59805.2023.00055}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenLZS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FilguerasVJAM23, author = {Antonio Filgueras and Miquel Vidal and Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and Carlos {\'{A}}lvarez and Xavier Martorell}, title = {{FPGA} Framework Improvements for {HPC} Applications}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {286--287}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00048}, doi = {10.1109/ICFPT59805.2023.00048}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FilguerasVJAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GrindleyGWVADESF23, author = {Edward Grindley and Thurstan Gray and James Wilkinson and Chris Vaux and Adam Ardron and Jack Deeley and Alexander Elliott and Nidhin Thandassery Sumithran and Suhaib A. Fahmy}, title = {Introducing the {NAIL} Accelerator Interface Layer for Low Latency {FPGA} Offload}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {290--291}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00050}, doi = {10.1109/ICFPT59805.2023.00050}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GrindleyGWVADESF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GuoLLL23, author = {Zibo Guo and Kai Liu and Wei Liu and Shangrong Li}, title = {Efficient FPGA-based Accelerator for Post-Processing in Object Detection}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {125--131}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00019}, doi = {10.1109/ICFPT59805.2023.00019}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GuoLLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HaarmanAHZA23, author = {Timo Haarman and Antonio Sousa de Almeida and Amber Heskes and Floris Zwanenburg and Nikolaos Alachiotis}, title = {FPGA-accelerated Quantum Transport Measurements}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {44--52}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00010}, doi = {10.1109/ICFPT59805.2023.00010}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HaarmanAHZA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/IbrahimZHB23, author = {Mohamed Ibrahim and Zhipeng Zhao and Mathew Hall and Vaughn Betz}, title = {Extending Data Flow Architectures for Convolutional Neural Networks to Multiple FPGAs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {132--141}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00020}, doi = {10.1109/ICFPT59805.2023.00020}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/IbrahimZHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KuangCLW23, author = {Huizhen Kuang and Xianfeng Cao and Jingyuan Li and Lingli Wang}, title = {{HGBO-DSE:} Hierarchical {GNN} and Bayesian Optimization based {HLS} Design Space Exploration}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {106--114}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00017}, doi = {10.1109/ICFPT59805.2023.00017}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KuangCLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KubutaSOH23, author = {Taiga Kubuta and Duckgyu Shin and Naoya Onizawa and Takahiro Hanyu}, title = {Stochastic Implementation of Simulated Quantum Annealing on {PYNQ}}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {274--275}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00042}, doi = {10.1109/ICFPT59805.2023.00042}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KubutaSOH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiCZYYW23, author = {Jiangnan Li and Chang Cai and Yaya Zhao and Yazhou Yan and Wenbo Yin and Lingli Wang}, title = {{GRAFT:} GNN-based Adaptive Framework for Efficient {CGRA} Mapping}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {26--34}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00008}, doi = {10.1109/ICFPT59805.2023.00008}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiCZYYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiHDKW23, author = {Jingyuan Li and Yihan Hu and Yuan Dai and Huizhen Kuang and Lingli Wang}, title = {{AUGER:} {A} Multi-Objective Design Space Exploration Framework for CGRAs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {88--95}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00015}, doi = {10.1109/ICFPT59805.2023.00015}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiHDKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiZWZ23, author = {Zhen Li and Hao Zhou and Lingli Wang and Xuegong Zhou}, title = {{AMG:} Automated Efficient Approximate Multiplier Generator for FPGAs via Bayesian Optimization}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {294--295}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00052}, doi = {10.1109/ICFPT59805.2023.00052}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuSSLZW23, author = {Qi Liu and Mo Sun and Jie Sun and Liqiang Lu and Jieru Zhao and Zeke Wang}, title = {SSiMD: Supporting Six Signed Multiplications in a {DSP} Block for Low-Precision {CNN} on FPGAs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {161--169}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00023}, doi = {10.1109/ICFPT59805.2023.00023}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuSSLZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MaL23, author = {Zhengzheng Ma and Guojie Luo}, title = {An Efficient Dataflow for Convolutional Generative Models}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {53--59}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00011}, doi = {10.1109/ICFPT59805.2023.00011}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MaL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MahmoudiESMB23, author = {Fatemehsadat Mahmoudi and Mohamed A. Elgammal and Soheil Gholami Shahrouz and Kevin E. Murray and Vaughn Betz}, title = {Respect the Difference: Reinforcement Learning for Heterogeneous {FPGA} Placement}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {152--160}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00022}, doi = {10.1109/ICFPT59805.2023.00022}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MahmoudiESMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MaoL23, author = {Yingchang Mao and Qiang Liu}, title = {An FPGA-based Mix-grained Sparse Training Accelerator}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {276--277}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00043}, doi = {10.1109/ICFPT59805.2023.00043}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MaoL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MartinLBGA23, author = {Timothy Martin and Qi Li and Charlotte Barnes and Gary Gr{\'{e}}wal and Shawki Areibi}, title = {A Deep-Learning Data-Driven Approach for Reducing {FPGA} Routing Runtimes}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {7--15}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00006}, doi = {10.1109/ICFPT59805.2023.00006}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MartinLBGA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/McKendrickFG23, author = {Reilly McKendrick and Keenan Faulkner and Jeffrey Goeders}, title = {Assuring Netlist-to-Bitstream Equivalence using Physical Netlist Generation and Structural Comparison}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {142--151}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00021}, doi = {10.1109/ICFPT59805.2023.00021}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/McKendrickFG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MiyagiYST23, author = {Ryota Miyagi and Ryota Yasudo and Kentaro Sano and Hideki Takase}, title = {Performance Modeling and Scalability Analysis of Stream Computing in {ESSPER} {FPGA} Clusters}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {262--265}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00037}, doi = {10.1109/ICFPT59805.2023.00037}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MiyagiYST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MontgomerieCorcoranTYB23, author = {Alexander Montgomerie{-}Corcoran and Petros Toupas and Zhewen Yu and Christos{-}Savvas Bouganis}, title = {{SATAY:} {A} Streaming Architecture Toolflow for Accelerating {YOLO} Models on {FPGA} Devices}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {179--187}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00025}, doi = {10.1109/ICFPT59805.2023.00025}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MontgomerieCorcoranTYB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MoriONSOS23, author = {Hayato Mori and Eisuke Okazaki and Gai Nagahashi and Mikiko Sato and Takeshi Ohkawa and Midori Sugaya}, title = {Offloading Image Recognition Processing for Care Robots to {FPGA} on Multi-access Edge Computing}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {270--271}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00040}, doi = {10.1109/ICFPT59805.2023.00040}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MoriONSOS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NiwaseHYWAM23, author = {Ryohei Niwase and Hikaru Harasawa and Yoshiki Yamaguchi and Kaijie Wei and Hideharu Amano and Takefumi Miyoshi}, title = {Enormous-Scale Quantum State Vector Calculation with FPGA-accelerated {SATA} storages}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {288--289}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00049}, doi = {10.1109/ICFPT59805.2023.00049}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/NiwaseHYWAM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PanoffAWWB23, author = {Maximillian Panoff and Muhammed Kawser Ahmed and Hanqiu Wang and Shuo Wang and Christophe Bobda}, title = {A Tenant Side Compilation Solution for Cloud {FPGA} Deployment}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {16--25}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00007}, doi = {10.1109/ICFPT59805.2023.00007}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/PanoffAWWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PapaphilippouQL23, author = {Philippos Papaphilippou and Zhiqiang Que and Wayne Luk}, title = {Efficiently Removing Sparsity for High-Throughput Stream Processing}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {244--249}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00033}, doi = {10.1109/ICFPT59805.2023.00033}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PapaphilippouQL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ParkYXD23, author = {Dongjoon Park and Zhijing Yao and Yuanlong Xiao and Andr{\'{e}} DeHon}, title = {Asymmetry in Butterfly Fat Tree {FPGA} NoC}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {227--231}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00030}, doi = {10.1109/ICFPT59805.2023.00030}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ParkYXD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/QiaoXCX23, author = {Tingting Qiao and Yu Xie and He Chen and Yizhuang Xie}, title = {An {FPGA-GPU} Heterogeneous System and Implementation for On-Board Remote Sensing Data Processing}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {254--257}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00035}, doi = {10.1109/ICFPT59805.2023.00035}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/QiaoXCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RamhorstLC23, author = {Benjamin Ramhorst and Vladimir Loncar and George A. Constantinides}, title = {{FPGA} Resource-aware Structured Pruning for Real-Time Neural Networks}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {282--283}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00046}, doi = {10.1109/ICFPT59805.2023.00046}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/RamhorstLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RidderCA23, author = {Frank Ridder and Kuan{-}Hsun Chen and Nikolaos Alachiotis}, title = {Accelerated Real-Time Classification of Evolving Data Streams using Adaptive Random Forests}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {232--237}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00031}, doi = {10.1109/ICFPT59805.2023.00031}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/RidderCA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SahaBAB23, author = {Sujan Kumar Saha and Abigail N. Butka and Muhammed Kawser Ahmed and Christophe Bobda}, title = {OpenTitan based Multi-Level Security in {FPGA} System-on-Chips}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {302--303}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00056}, doi = {10.1109/ICFPT59805.2023.00056}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SahaBAB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShiZL23, author = {Bizhao Shi and Jieran Zhang and Guojie Luo}, title = {{F-TFM:} Accelerating Total Focusing Method for Ultrasonic Array Imaging on {FPGA}}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {280--281}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00045}, doi = {10.1109/ICFPT59805.2023.00045}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ShiZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShiZW23, author = {Kaichuang Shi and Hao Zhou and Lingli Wang}, title = {{VIB:} {A} Versatile Interconnection Block for {FPGA} Routing Architecture}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {79--87}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00014}, doi = {10.1109/ICFPT59805.2023.00014}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShiZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShiZW23a, author = {Kaichuang Shi and Hao Zhou and Lingli Wang}, title = {Explore the Feedback Interconnects in Intra-Cluster Routing for FPGAs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {250--253}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00034}, doi = {10.1109/ICFPT59805.2023.00034}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShiZW23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SongWDS23, author = {Mo Song and Jiajun Wu and Yuhao Ding and Hayden Kwok{-}Hay So}, title = {SqueezeBlock: {A} Transparent Weight Compression Scheme for Deep Neural Networks}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {238--243}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00032}, doi = {10.1109/ICFPT59805.2023.00032}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SongWDS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SozzoCS23, author = {Emanuele Del Sozzo and Davide Conficconi and Kentaro Sano}, title = {Journal Track Paper {ICFPT} 2023 : Across Time and Space: Senju's Approach for Scaling Iterative Stencil Loop Accelerators on Single and Multiple FPGAs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00066}, doi = {10.1109/ICFPT59805.2023.00066}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SozzoCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SzafarczykNV23, author = {Robert Szafarczyk and Syed Waqar Nabi and Wim Vanderbauwhede}, title = {A High-Frequency Load-Store Queue with Speculative Allocations for High-Level Synthesis}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {115--124}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00018}, doi = {10.1109/ICFPT59805.2023.00018}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SzafarczykNV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TakaAWM23, author = {Endri Taka and Aman Arora and Kai{-}Chiang Wu and Diana Marculescu}, title = {MaxEVA: Maximizing the Efficiency of Matrix Multiplication on Versal {AI} Engine}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {96--105}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00016}, doi = {10.1109/ICFPT59805.2023.00016}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TakaAWM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TomoriO23, author = {Akinobu Tomori and Yasunori Osana}, title = {Kyokko: a Virtual channel capable Aurora 64B/66B compatible Serial Communication Controller}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {268--269}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00039}, doi = {10.1109/ICFPT59805.2023.00039}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TomoriO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TrochatosES23, author = {Theodoros Trochatos and Anthony Etim and Jakub Szefer}, title = {Covert-channels in FPGA-enabled SmartSSDs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00064}, doi = {10.1109/ICFPT59805.2023.00064}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TrochatosES23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WeiNAYM23, author = {Kaijie Wei and Ryohei Niwase and Hideharu Amano and Yoshiki Yamaguchi and Takefumi Miyoshi}, title = {A state vector quantum simulator working on FPGAs with extensible {SATA} storage}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {272--273}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00041}, doi = {10.1109/ICFPT59805.2023.00041}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WeiNAYM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WuSS23, author = {Zhenyu Wu and Mo Song and Hayden Kwok{-}Hay So}, title = {Towards Asynchronously Triggered Spiking Neural Network on {FPGA} for Event-based Vision}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {292--293}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00051}, doi = {10.1109/ICFPT59805.2023.00051}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WuSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XuZZJY23, author = {Weihai Xu and Zheng Zhou and Jin Zhang and Yiming Jiang and Peng Yi}, title = {{OD-REM:} On-Demand Regular Expression Matching on FPGAs for Efficient Deep Packet Inspection}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {217--226}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00029}, doi = {10.1109/ICFPT59805.2023.00029}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/XuZZJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YanLXQGCZ23, author = {Xiaobei Yan and Xiaoxuan Lou and Guowen Xu and Han Qiu and Shangwei Guo and Chip{-}Hong Chang and Tianwei Zhang}, title = {{MERCURY:} An Automated Remote Side-channel Attack to Nvidia Deep Learning Accelerator}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {188--197}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00026}, doi = {10.1109/ICFPT59805.2023.00026}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YanLXQGCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangLFLZX23, author = {Geng Yang and Jie Lei and Zhenman Fang and Yunsong Li and Jiaqing Zhang and Weiying Xie}, title = {Journal Track Paper {ICFPT} 2023 : HyBNN: Quantifying and Optimizing Hardware Efficiency of Binary Neural Networks}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {3--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00065}, doi = {10.1109/ICFPT59805.2023.00065}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YangLFLZX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangZWZ23, author = {Moucheng Yang and Kaixiang Zhu and Lingli Wang and Xuegong Zhou}, title = {{DSLUT:} An Asymmetric {LUT} and its Automatic Design Flow Based on Practical Functions}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {278--279}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00044}, doi = {10.1109/ICFPT59805.2023.00044}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YangZWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YenYC23, author = {Chia{-}Chen Yen and Mi{-}Yen Yeh and Ming{-}Syan Chen}, title = {Integrated Multi-Ported Memory Distribution for Temporal-Multiplexing Workloads on FPGAs}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {209--216}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00028}, doi = {10.1109/ICFPT59805.2023.00028}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YenYC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YoshiokaTT23, author = {Kanta Yoshioka and Yuichiro Tanaka and Hakaru Tamukoh}, title = {LUTNet-RC: Look-Up Tables Networks for Reservoir Computing on an {FPGA}}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {170--178}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00024}, doi = {10.1109/ICFPT59805.2023.00024}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YoshiokaTT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YoshiokaTT23a, author = {Kanta Yoshioka and Yuichiro Tanaka and Hakaru Tamukoh}, title = {Traffic Flow Optimization using a Chaotic Boltzmann Machine Annealer on an {FPGA}}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {266--267}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00038}, doi = {10.1109/ICFPT59805.2023.00038}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YoshiokaTT23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangNQLC23, author = {Ning Zhang and Shuo Ni and Tingting Qiao and Wenchao Liu and He Chen}, title = {An Extremely Pipelined FPGA-based accelerator of All Adder Neural Networks for On-board Remote Sensing Scene Classification}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {258--261}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00036}, doi = {10.1109/ICFPT59805.2023.00036}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ZhangNQLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangYXW23, author = {Suquan Zhang and Jincheng Yu and Yuanfan Xu and Yu Wang}, title = {{UAV} Swarm Planning accelerator on {FPGA} with low latency and fixed-point {L-BFGS} Quasi-Newton solver}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {284--285}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00047}, doi = {10.1109/ICFPT59805.2023.00047}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ZhangYXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhaoHLH23, author = {Baoze Zhao and Wenjin Huang and Tianrui Li and Yihua Huang}, title = {{BSTMSM:} {A} High-Performance FPGA-based Multi-Scalar Multiplication Hardware Accelerator}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {35--43}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00009}, doi = {10.1109/ICFPT59805.2023.00009}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ZhaoHLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhuCQGYW23, author = {Qilong Zhu and Yuhang Cao and Yunhui Qiu and Xuchen Gao and Wenbo Yin and Lingli Wang}, title = {A Dynamic Partial Reconfigurable {CGRA} Framework for Multi-Kernel Applications}, booktitle = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, pages = {298--299}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023.00054}, doi = {10.1109/ICFPT59805.2023.00054}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhuCQGYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2023, title = {International Conference on Field Programmable Technology, {ICFPT} 2023, Yokohama, Japan, December 12-14, 2023}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICFPT59805.2023}, doi = {10.1109/ICFPT59805.2023}, isbn = {979-8-3503-5911-4}, timestamp = {Sat, 24 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/0001GJL22, author = {Saeid Gorgin and MohammadHosein Gholamrezaei and Danial Javaheri and Jeong{-}A Lee}, title = {An Energy-Efficient K-means Clustering {FPGA} Accelerator via Most-Significant Digit First Arithmetic}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974222}, doi = {10.1109/ICFPT56656.2022.9974222}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/0001GJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/0111KKP22, author = {Yang Yang and Sanmukh R. Kuppannagari and Rajgopal Kannan and Viktor K. Prasanna}, title = {Bandwidth Efficient Homomorphic Encrypted Matrix Vector Multiplication Accelerator on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974369}, doi = {10.1109/ICFPT56656.2022.9974369}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/0111KKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AdhiCUTKPS22, author = {Boma A. Adhi and Carlos Cortes and Tomohiro Ueno and Yiyu Tan and Takuya Kojima and Artur Podobas and Kentaro Sano}, title = {Exploring Inter-tile Connectivity for HPC-oriented {CGRA} with Lower Resource Usage}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974525}, doi = {10.1109/ICFPT56656.2022.9974525}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AdhiCUTKPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AliG22, author = {Muhammad Ali and Diana G{\"{o}}hringer}, title = {Application Specific Instruction-Set Processors for Machine Learning Applications}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974187}, doi = {10.1109/ICFPT56656.2022.9974187}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AliG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AliaghaG22, author = {Ensieh Aliagha and Diana G{\"{o}}hringer}, title = {Energy Efficient Design of Coarse-Grained Reconfigurable Architectures: Insights, Trends and Challenges}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--11}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974339}, doi = {10.1109/ICFPT56656.2022.9974339}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AliaghaG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AlqahtaniZSM022, author = {Sultan Alqahtani and Yiqun Zhu and Qizhi Shi and Xiaolin Meng and Xinhua Wang}, title = {A Highly Customizable and Efficient Hardware Implementation for Parallel Matrix Inversion}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974569}, doi = {10.1109/ICFPT56656.2022.9974569}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AlqahtaniZSM022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BarrowWLGP022, author = {Michael Barrow and Zhuanhao Wu and Scott Lloyd and Maya B. Gokhale and Hiren D. Patel and Peter Lindstrom}, title = {{ZHW:} {A} Numerical {CODEC} for Big Data Scientific Computation}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974258}, doi = {10.1109/ICFPT56656.2022.9974258}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BarrowWLGP022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Chen0L022, author = {Chao Chen and Bruno da Silva and Jianqing Li and Chengyu Liu}, title = {Acceleration of Fast Sample Entropy Towards Biomedical Applications on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974323}, doi = {10.1109/ICFPT56656.2022.9974323}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Chen0L022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CookTTHG22, author = {Hayden Cook and Jonathan Thompson and Zephram Tripp and Brad L. Hutchings and Jeffrey Goeders}, title = {Cloning the Unclonable: Physically Cloning an {FPGA} Ring-Oscillator {PUF}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974597}, doi = {10.1109/ICFPT56656.2022.9974597}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/CookTTHG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DesrentesD22, author = {Or{\'{e}}gane Desrentes and Florent de Dinechin}, title = {Using integer linear programming for correctly rounded multipartite architectures}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974486}, doi = {10.1109/ICFPT56656.2022.9974486}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/DesrentesD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ElgammalB22, author = {Mohamed A. Elgammal and Vaughn Betz}, title = {Quality {\&} Generality: {A} Flexible {FPGA} Re-Clustering Technique to Improve Packing and Placement}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974325}, doi = {10.1109/ICFPT56656.2022.9974325}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ElgammalB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FangJCDC22, author = {Rui Fang and Siyang Jiang and Hsi{-}Wen Chen and Wei Ding and Ming{-}Syan Chen}, title = {Dual-Triangular {QR} Decomposition with Global Acceleration and Partially Q-Rotation Skipping}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974402}, doi = {10.1109/ICFPT56656.2022.9974402}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FangJCDC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GoelKSB22, author = {Shikha Goel and Rajesh Kedia and Rijurekha Sen and M. Balakrishnan}, title = {{EXPRESS:} {CNN} EXecution Time PREdiction for {DPU} DeSign Space Exploration}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974299}, doi = {10.1109/ICFPT56656.2022.9974299}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/GoelKSB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GoriusRD22, author = {Jean{-}Michel Gorius and Simon Rokicki and Steven Derrien}, title = {Design Exploration of {RISC-V} Soft-Cores through Speculative High-Level Synthesis}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974478}, doi = {10.1109/ICFPT56656.2022.9974478}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/GoriusRD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HashimotoT22, author = {Nobuho Hashimoto and Shinya Takamaeda{-}Yamazaki}, title = {{FADEC:} FPGA-based Acceleration of Video Depth Estimation by {HW/SW} Co-design}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974565}, doi = {10.1109/ICFPT56656.2022.9974565}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HashimotoT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HeCC22, author = {Xuefei He and Jianyi Cheng and George A. Constantinides}, title = {Area-Efficient Memory Scheduling for Dynamically Scheduled High-Level Synthesis}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974262}, doi = {10.1109/ICFPT56656.2022.9974262}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HeCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HisafuruNKSYFT22, author = {Kota Hisafuru and Ryotaro Negishi and Soma Kawakami and Dai Sato and Kazuki Yamashita and Keisuke Fukada and Nozomu Togawa}, title = {Autonomous driving system with feature extraction using a binarized autoencoder}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974267}, doi = {10.1109/ICFPT56656.2022.9974267}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HisafuruNKSYFT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/InumaH22, author = {Yusuke Inuma and Yuko Hara{-}Azumi}, title = {Hardware {SAT} Solver-based Area-efficient Accelerator for Autonomous Driving}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974200}, doi = {10.1109/ICFPT56656.2022.9974200}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/InumaH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JayaramanZP22, author = {Soundarya Jayaraman and Bingyi Zhang and Viktor K. Prasanna}, title = {Hypersort: High-performance Parallel Sorting on HBM-enabled {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--11}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974209}, doi = {10.1109/ICFPT56656.2022.9974209}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/JayaramanZP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KamaleldinG22, author = {Ahmed Kamaleldin and Diana G{\"{o}}hringer}, title = {An Agile Tile-based Platform for Adaptive Heterogeneous Many-Core Systems}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974358}, doi = {10.1109/ICFPT56656.2022.9974358}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KamaleldinG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KamimaeMAMMYIKY22, author = {Katsuaki Kamimae and Shintaro Matsui and Yasutoshi Araki and Takehiro Miura and Keigo Motoyoshi and Keizo Yamashita and Haruto Ikehara and Takuho Kawazu and Huang Yuwei and Masahiro Nishimura and Shuto Abe and Kenyu Okino and Yuta Hashiguchi and Koki Fukuda and Kengo Yanagihara and Taito Manabe and Yuichiro Shibata}, title = {A Lane Detection Hardware Algorithm Based on Helmholtz Principle and Its Application to Unmanned Mobile Vehicles}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974208}, doi = {10.1109/ICFPT56656.2022.9974208}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KamimaeMAMMYIKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KamuchekaN0H22, author = {Tendayi Kamucheka and Alexander Nelson and David Andrews and Miaoqing Huang}, title = {A Masked Pure-Hardware Implementation of Kyber Cryptographic Algorithm}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974404}, doi = {10.1109/ICFPT56656.2022.9974404}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KamuchekaN0H22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KhaleghiZMAACEE22, author = {Behnam Khaleghi and Tianqi Zhang and Cameron Martino and George Armstrong and Ameen Akel and Ken Curewitz and Justin Eno and Sean Eilert and Rob Knight and Niema Moshiri and Tajana Rosing}, title = {{SALIENT:} Ultra-Fast FPGA-based Short Read Alignment}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974548}, doi = {10.1109/ICFPT56656.2022.9974548}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KhaleghiZMAACEE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KhandelwalS22, author = {Shashwat Khandelwal and Shanker Shreejith}, title = {A Lightweight FPGA-based {IDS-ECU} Architecture for Automotive {CAN}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974508}, doi = {10.1109/ICFPT56656.2022.9974508}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KhandelwalS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Kojima22, author = {Akira Kojima}, title = {Implementation and Improvement of Autonomous Robot Car using SoC {FPGA} with {DPU}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974526}, doi = {10.1109/ICFPT56656.2022.9974526}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/Kojima22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiangNZMC22, author = {Yun Liang and Hiroki Nakahara and Wei Zhang and Fubing Mao and Ray C. C. Cheung}, title = {Preface}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {i}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974431}, doi = {10.1109/ICFPT56656.2022.9974431}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiangNZMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuLWY22, author = {Zhongpei Liu and Gaofeng Lv and Jichang Wang and Xiangrui Yang}, title = {Memory-efficient {RMT} Matching Optimization Based on MBitTree}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974307}, doi = {10.1109/ICFPT56656.2022.9974307}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiuLWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuRJ22, author = {Jiantao Liu and Carmine Rizzi and Lana Josipovic}, title = {Load-Store Queue Sizing for Efficient Dataflow Circuits}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974425}, doi = {10.1109/ICFPT56656.2022.9974425}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuRU022, author = {Yuhao Liu and Shubham Rai and Salim Ullah and Akash Kumar}, title = {NetPU: Prototyping a Generic Reconfigurable Neural Network Accelerator Architecture}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974206}, doi = {10.1109/ICFPT56656.2022.9974206}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuRU022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LuoZDX22, author = {Yukui Luo and Yuheng Zhang and Shijin Duan and Xiaolin Xu}, title = {A Cautionary Note on Building Multi-tenant Cloud-FPGA as a Secure Infrastructure}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974230}, doi = {10.1109/ICFPT56656.2022.9974230}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LuoZDX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ManevPMK22, author = {Kristiyan Manev and Joseph Powell and Kaspar Matas and Dirk Koch}, title = {byteman: {A} Bitstream Manipulation Framework}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974549}, doi = {10.1109/ICFPT56656.2022.9974549}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ManevPMK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MatasMPK22, author = {Kaspar M{\"{a}}tas and Kristiyan Manev and Joseph Powell and Dirk Koch}, title = {Automated Generation and Orchestration of Stream Processing Pipelines on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974596}, doi = {10.1109/ICFPT56656.2022.9974596}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MatasMPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/McKendrickSNG22, author = {Reilly McKendrick and Corey Simpson and Brent Nelson and Jeffrey Goeders}, title = {Leveraging {FPGA} Primitives to Improve Word Reconstruction during Netlist Reverse Engineering}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974401}, doi = {10.1109/ICFPT56656.2022.9974401}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/McKendrickSNG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MiyagiYST22, author = {Ryota Miyagi and Ryota Yasudo and Kentaro Sano and Hideki Takase}, title = {Elastic Sample Filter: An FPGA-based Accelerator for Bayesian Network Structure Learning}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974196}, doi = {10.1109/ICFPT56656.2022.9974196}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MiyagiYST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MoriAMOKSOYTOS22, author = {Hayato Mori and Hayato Amano and Akinobu Mizutani and Eisuke Okazaki and Yuki Konno and Kohei Sada and Tomohiro Ono and Yuma Yoshimoto and Hakaru Tamukoh and Takeshi Ohkawa and Midori Sugaya}, title = {Desgin and Implementation of ROS2-based Autonomous Tiny Robot Car with Integration of Multiple {ROS2} {FPGA} Nodes}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974433}, doi = {10.1109/ICFPT56656.2022.9974433}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MoriAMOKSOYTOS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MughrabiB22, author = {Abdullah T. Mughrabi and Gregory T. Byrd}, title = {CAPI-Precis: Towards a Compute-Centric Interface for Coherent Shared Memory Accelerators}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974504}, doi = {10.1109/ICFPT56656.2022.9974504}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MughrabiB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NafzigerCNW22, author = {Zakary Nafziger and Martin Chua and Daniel Holanda Noronha and Steven J. E. Wilton}, title = {Boosting Domain-Specific Debug Through Inter-frame Compression}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974385}, doi = {10.1109/ICFPT56656.2022.9974385}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/NafzigerCNW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NishimuraIMS22, author = {Masahiro Nishimura and Yuta Imamura and Taito Manabe and Yuichiro Shibata}, title = {{FPGA} implementation of {HDR} synthesis processing with image compression techniques}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974347}, doi = {10.1109/ICFPT56656.2022.9974347}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/NishimuraIMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ParkXD22, author = {Dongjoon Park and Yuanlong Xiao and Andr{\'{e}} DeHon}, title = {Fast and Flexible {FPGA} Development using Hierarchical Partial Reconfiguration}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974201}, doi = {10.1109/ICFPT56656.2022.9974201}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ParkXD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PengZLLWZ22, author = {Bo Peng and Yuzhu Zhou and Qiang Li and Maosong Lin and Jiankui Weng and Qiang Zeng}, title = {{FPGA} Implementation of Low-Latency Recursive Median Filter}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--7}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974273}, doi = {10.1109/ICFPT56656.2022.9974273}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PengZLLWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PodlubneG22, author = {Ariel Podlubne and Diana G{\"{o}}hringer}, title = {Modeling FPGA-based Architectures for Robotics}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974412}, doi = {10.1109/ICFPT56656.2022.9974412}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PodlubneG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/QianZZW22, author = {Yu Qian and Xuegong Zhou and Hao Zhou and Lingli Wang}, title = {Efficient Reinforcement Learning Framework for Automated Logic Synthesis Exploration}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974330}, doi = {10.1109/ICFPT56656.2022.9974330}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/QianZZW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RajkumarO22, author = {Trishna Rajkumar and Johnny {\"{O}}berg}, title = {A Markovian Approach for Detecting Failures in the Xilinx {SEM} core}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974240}, doi = {10.1109/ICFPT56656.2022.9974240}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/RajkumarO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SanoKMU22, author = {Kentaro Sano and Atsushi Koshiba and Takaaki Miyajima and Tomohiro Ueno}, title = {{ESSPER:} Elastic and Scalable System for High-Performance Reconfigurable Computing with Software-bridged APIs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974312}, doi = {10.1109/ICFPT56656.2022.9974312}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SanoKMU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShenVC22, author = {Qianfeng Clark Shen and Juan Camilo Vega and Paul Chow}, title = {Parallel {CRC} On An {FPGA} At Terabit Speeds}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974233}, doi = {10.1109/ICFPT56656.2022.9974233}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShenVC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShuL22, author = {Mingyu Shu and Qiang Liu}, title = {{LCAM:} Low-Cost Approximate Multiplier Design on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974375}, doi = {10.1109/ICFPT56656.2022.9974375}, timestamp = {Mon, 06 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ShuL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SouvatzoglouAAV22, author = {Ioanna Souvatzoglou and Dimitris Agiakatsikas and George Antonopoulos and Vasileios Vlagkoulis and Aitzan Sari and Athanasios Papadimitriou and Mihalis Psarakis}, title = {The Impact of Hardware Folding on Dependability in Spaceborne FPGA-based Neural Networks}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974551}, doi = {10.1109/ICFPT56656.2022.9974551}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SouvatzoglouAAV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/StanHIB22, author = {Marius Stan and Mathew Hall and Mohamed Ibrahim and Vaughn Betz}, title = {{HPIPE} {NX:} Boosting {CNN} Inference Acceleration Performance with AI-Optimized FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974441}, doi = {10.1109/ICFPT56656.2022.9974441}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/StanHIB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SugiuraM22, author = {Keisuke Sugiura and Hiroki Matsutani}, title = {P3Net: PointNet-based Path Planning on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974251}, doi = {10.1109/ICFPT56656.2022.9974251}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SugiuraM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Tang0GKX22, author = {Dongdong Tang and Xuan Sun and Nan Guan and Tei{-}Wei Kuo and Chun Jason Xue}, title = {{\textdollar}p{\textdollar}LPAQ: Accelerating {LPAQ} Compression on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974593}, doi = {10.1109/ICFPT56656.2022.9974593}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Tang0GKX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TatsumiFWSL22, author = {Mariko Tatsumi and Silviu{-}Ioan Filip and Caroline White and Olivier Sentieys and Guy Lemieux}, title = {Mixing Low-Precision Formats in Multiply-Accumulate Units for {DNN} Training}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974324}, doi = {10.1109/ICFPT56656.2022.9974324}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TatsumiFWSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VemulapatiC22, author = {Vibhakar Vemulapati and Deming Chen}, title = {{FSLAM:} an Efficient and Accurate {SLAM} Accelerator on SoC FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974562}, doi = {10.1109/ICFPT56656.2022.9974562}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/VemulapatiC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WojcickiQTL22, author = {Filip Wojcicki and Zhiqiang Que and Alexander D. Tapper and Wayne Luk}, title = {Accelerating Transformer Neural Networks on FPGAs for High Energy Physics Experiments}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974463}, doi = {10.1109/ICFPT56656.2022.9974463}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WojcickiQTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangK022, author = {Je Yang and Jaeuk Kim and Joo{-}Young Kim}, title = {LearningGroup: {A} Real-Time Sparse Training on {FPGA} via Learnable Weight Grouping for Multi-Agent Reinforcement Learning}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--9}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974543}, doi = {10.1109/ICFPT56656.2022.9974543}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YangK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YaoZ22, author = {Shangshang Yao and Liang Zhang}, title = {Hardware-Efficient FPGA-Based Approximate Multipliers for Error-Tolerant Computing}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974399}, doi = {10.1109/ICFPT56656.2022.9974399}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YaoZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangCLL0022, author = {Xu Zhang and Yisong Chang and Tianyue Lu and Ke Liu and Ke Zhang and Mingyu Chen}, title = {GraFF: {A} Multi-FPGA System with Memory Semantic Fabric for Scalable Graph Processing}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974189}, doi = {10.1109/ICFPT56656.2022.9974189}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhangCLL0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangCLN22, author = {Wei Zhang and Ray C. C. Cheung and Yun Liang and Hiroki Nakahara}, title = {Message from the General Chair and Program Co-Chairs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, pages = {1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022.9974448}, doi = {10.1109/ICFPT56656.2022.9974448}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhangCLN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2022, title = {International Conference on Field-Programmable Technology, {(IC)FPT} 2022, Hong Kong, December 5-9, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICFPT56656.2022}, doi = {10.1109/ICFPT56656.2022}, isbn = {978-1-6654-5336-3}, timestamp = {Wed, 21 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AbraDAVWG21, author = {Ruth Abra and Dmitry Denisenko and Richard Allen and Tim Vanderhoek and Sarah Wolstencroft and Peter M. Gibson}, title = {Low Precision Networks for Efficient Inference on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609837}, doi = {10.1109/ICFPT52863.2021.9609837}, timestamp = {Fri, 03 Dec 2021 17:36:20 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AbraDAVWG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AmanoMMOYOT21, author = {Hayato Amano and Hayato Mori and Akinobu Mizutani and Tomohiro Ono and Yuma Yoshimoto and Takeshi Ohkawa and Hakaru Tamukoh}, title = {A dataset generation for object recognition and a tool for generating {ROS2} {FPGA} node}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609880}, doi = {10.1109/ICFPT52863.2021.9609880}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AmanoMMOYOT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AmiriPMSLH21, author = {Puya Amiri and Ars{\`{e}}ne P{\'{e}}rard{-}Gayot and Richard Membarth and Philipp Slusallek and Roland Lei{\ss}a and Sebastian Hack}, title = {{FLOWER:} {A} comprehensive dataflow compiler for high-level synthesis}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609930}, doi = {10.1109/ICFPT52863.2021.9609930}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AmiriPMSLH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AttiaB21, author = {Sameh Attia and Vaughn Betz}, title = {StateLink: {FPGA} System Debugging via Flexible Simulation/Hardware Integration}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609846}, doi = {10.1109/ICFPT52863.2021.9609846}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AttiaB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BeckwithNG21, author = {Luke Beckwith and Duc Tri Nguyen and Kris Gaj}, title = {High-Performance Hardware Implementation of CRYSTALS-Dilithium}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609917}, doi = {10.1109/ICFPT52863.2021.9609917}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BeckwithNG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BorhanifarJGHLL21, author = {Hossein Borhanifar and Hamed Jani and Mohammad Mahdi Gohari and Amir Hossein Heydarian and Mostafa Lashkari and Mohammad Reza Lashkari}, title = {Fast controling autonomous vehicle based on real time image processing}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609946}, doi = {10.1109/ICFPT52863.2021.9609946}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BorhanifarJGHLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BurgielHGTS21, author = {Julia Burgiel and Daniel E. Holcomb and Ilias Giechaskiel and Shanquan Tian and Jakub Szefer}, title = {Characterization of IOBUF-based Ring Oscillators}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609950}, doi = {10.1109/ICFPT52863.2021.9609950}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BurgielHGTS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CharafTRKG21, author = {Najdet Charaf and Christoph Tietz and Michael Raitza and Akash Kumar and Diana G{\"{o}}hringer}, title = {AMAH-Flex: {A} Modular and Highly Flexible Tool for Generating Relocatable Systems on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609948}, doi = {10.1109/ICFPT52863.2021.9609948}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CharafTRKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChuKKYM21, author = {Thiem Van Chu and Ryuichi Kitajima and Kazushi Kawamura and Jaehoon Yu and Masato Motomura}, title = {A High-Performance and Flexible {FPGA} Inference Accelerator for Decision Forests Based on Prior Feature Space Partitioning}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609699}, doi = {10.1109/ICFPT52863.2021.9609699}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChuKKYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DaiLLZRLW21, author = {Yuan Dai and Simin Liu and Yao Lu and Hao Zhou and Seyedramin Rasoulinezhad and Philip H. W. Leong and Lingli Wang}, title = {{APIR-DSP:} An approximate {PIR-DSP} architecture for error-tolerant applications}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609927}, doi = {10.1109/ICFPT52863.2021.9609927}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DaiLLZRLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FengLQGCW21, author = {Xuan Feng and Yue Li and Yu Qian and Jingbo Gao and Wei Cao and Lingli Wang}, title = {A High-Precision Flexible Symmetry-Aware Architecture for Element-Wise Activation Functions}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609865}, doi = {10.1109/ICFPT52863.2021.9609865}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FengLQGCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FeriancQFLR21, author = {Martin Ferianc and Zhiqiang Que and Hongxiang Fan and Wayne Luk and Miguel Rodrigues}, title = {Optimizing Bayesian Recurrent Neural Networks on an FPGA-based Accelerator}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609847}, doi = {10.1109/ICFPT52863.2021.9609847}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FeriancQFLR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FlottmannEGRTWP21, author = {Marcel Flottmann and Marc Eisoldt and Julian Gaal and Marc Rothmann and Marco Tassemeier and Thomas Wiemann and Mario Porrmann}, title = {Energy-efficient FPGA-accelerated LiDAR-based {SLAM} for embedded robotics}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609934}, doi = {10.1109/ICFPT52863.2021.9609934}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FlottmannEGRTWP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FukacMKK21, author = {Tom{\'{a}}s Fukac and Jir{\'{\i}} Matousek and Jan Korenek and Luk{\'{a}}s Kekely}, title = {Increasing Memory Efficiency of Hash-Based Pattern Matching for High-Speed Networks}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609859}, doi = {10.1109/ICFPT52863.2021.9609859}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FukacMKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FurukawaKYFYBYU21, author = {Kazuki Furukawa and Ryohei Kobayashi and Tomoya Yokono and Norihisa Fujita and Yoshiki Yamaguchi and Taisuke Boku and Kohji Yoshikawa and Masayuki Umemura}, title = {An efficient {RTL} buffering scheme for an FPGA-accelerated simulation of diffuse radiative transfer}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609944}, doi = {10.1109/ICFPT52863.2021.9609944}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FurukawaKYFYBYU21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GaoQHFLCW21, author = {Jingbo Gao and Yu Qian and Yihan Hu and Xitian Fan and Wai{-}Shing Luk and Wei Cao and Lingli Wang}, title = {{LETA:} {A} lightweight exchangeable-track accelerator for efficientnet based on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609919}, doi = {10.1109/ICFPT52863.2021.9609919}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GaoQHFLCW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GarciaQRMR21, author = {A. Manjarr{\'{e}}s Garc{\'{\i}}a and Carlos Alexander Osorio Quero and Jose de Jesus Rangel{-}Magdaleno and Jos{\'{e}} Mart{\'{\i}}nez{-}Carranza and Daniel Durini Romero}, title = {Parallel-Pipeline Fast Walsh-Hadamard Transform Implementation Using {HLS}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609874}, doi = {10.1109/ICFPT52863.2021.9609874}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GarciaQRMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GebauerKS21, author = {Richard Gebauer and Nick Karcher and Oliver Sander}, title = {A modular RFSoC-based approach to interface superconducting quantum bits}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609909}, doi = {10.1109/ICFPT52863.2021.9609909}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GebauerKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GeethakumariS21, author = {Prajith Ramakrishnan Geethakumari and Ioannis Sourdis}, title = {StreamZip: Compressed Sliding-Windows for Stream Aggregation}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609952}, doi = {10.1109/ICFPT52863.2021.9609952}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/GeethakumariS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HashimotoNW21, author = {Hyuga Hashimoto and Ryo Naka and Yasutaka Wada}, title = {An FPGA-Based Image Recognition with Remote Update Functions for Autonomous Driving on "ad-refkit"}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609852}, doi = {10.1109/ICFPT52863.2021.9609852}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HashimotoNW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HuangDLSLD21, author = {Chengcheng Huang and Xiaoxiao Dong and Zhao Li and Tengteng Song and Zhenguo Liu and Lele Dong}, title = {Efficient Stride 2 Winograd Convolution Method Using Unified Transformation Matrices on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609907}, doi = {10.1109/ICFPT52863.2021.9609907}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HuangDLSLD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ItoW21, author = {Hirotoshi Ito and Minoru Watanabe}, title = {Total-ionizing-dose tolerance evaluation of an optoelectronic field programmable gate array {VLSI} during operation}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609910}, doi = {10.1109/ICFPT52863.2021.9609910}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ItoW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JiangCC21, author = {Siyang Jiang and Hsi{-}Wen Chen and Ming{-}Syan Chen}, title = {Dataflow Systolic Array Implementations of Exploring Dual-Triangular Structure in {QR} Decomposition Using High-Level Synthesis}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609814}, doi = {10.1109/ICFPT52863.2021.9609814}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/JiangCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KalkhofK21, author = {Torben Kalkhof and Andreas Koch}, title = {Efficient Physical Page Migrations in Shared Virtual Memory Reconfigurable Computing Systems}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609831}, doi = {10.1109/ICFPT52863.2021.9609831}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KalkhofK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KawashimaKMT21, author = {Ichiro Kawashima and Yuichi Katori and Takashi Morie and Hakaru Tamukoh}, title = {An area-efficient multiply-accumulation architecture and implementations for time-domain neural processing}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609809}, doi = {10.1109/ICFPT52863.2021.9609809}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KawashimaKMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KlemdNBGZK21, author = {Alexander Klemd and Patrick Nowak and Piero Rivera Benois and Etienne Gerat and Udo Z{\"{o}}lzer and Bernd Klauer}, title = {Exponential sine sweep measurement implementation targeting {FPGA} platforms}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609901}, doi = {10.1109/ICFPT52863.2021.9609901}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KlemdNBGZK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Kojima21, author = {Akira Kojima}, title = {Autonomous Driving System implemented on Robot Car using SoC {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609855}, doi = {10.1109/ICFPT52863.2021.9609855}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/Kojima21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Li21, author = {Jingyi Li}, title = {Real-time Implementation of Cyclostationary Analysis using FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609911}, doi = {10.1109/ICFPT52863.2021.9609911}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/Li21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiolliRA21, author = {Austin Liolli and Omar Ragheb and Jason Helge Anderson}, title = {Profiling-Based Control-Flow Reduction in High-Level Synthesis}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609816}, doi = {10.1109/ICFPT52863.2021.9609816}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiolliRA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MiyagiTKOT21, author = {Ryota Miyagi and Naofumi Takagi and Sho Kinoshista and Masashi Oda and Hideki Takase}, title = {Zytlebot : {FPGA} integrated ros-based autonomous mobile robot}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609883}, doi = {10.1109/ICFPT52863.2021.9609883}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MiyagiTKOT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MotoyoshiISFFMM21, author = {Keigo Motoyoshi and Yuta Imamura and Taichi Saikai and Koki Fujita and Daiki Furukawa and Masatomo Matsuda and Tatsuma Mori and Yasutoshi Araki and Takehiro Miura and Keizo Yamashita and Haruto Ikehara and Kaito Ohira and Katsuaki Kamimae and Takuho Kawazu and Masahiro Nishimura and Shintaro Matsui and Koki Tomonaga and Taito Manabe and Yuichiro Shibata}, title = {SoC {FPGA} implementation of an unmanned mobile vehicle with an image transmission system over {VNC}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609904}, doi = {10.1109/ICFPT52863.2021.9609904}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MotoyoshiISFFMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PapaphilippouSA21, author = {Philippos Papaphilippou and Kentaro Sano and Boma A. Adhi and Wayne Luk}, title = {Efficient Queue-Balancing Switch for FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609867}, doi = {10.1109/ICFPT52863.2021.9609867}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PapaphilippouSA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PeltenburgHBMA21, author = {Johan Peltenburg and {\'{A}}kos Hadnagy and Matthijs Brobbel and Robert Morrow and Zaid Al{-}Ars}, title = {Tens of gigabytes per second JSON-to-Arrow conversion with {FPGA} accelerators}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609833}, doi = {10.1109/ICFPT52863.2021.9609833}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/PeltenburgHBMA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/QianSSZW21, author = {Jiadong Qian and Yuhang Shen and Kaichuang Shi and Hao Zhou and Lingli Wang}, title = {General routing architecture modelling and exploration for modern FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609935}, doi = {10.1109/ICFPT52863.2021.9609935}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/QianSSZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SanchezG21, author = {Hector A. Li Sanchez and Alan D. George}, title = {A streaming hardware architecture for real-time {SIFT} feature extraction}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609932}, doi = {10.1109/ICFPT52863.2021.9609932}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SanchezG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SantosPBCF21, author = {Tiago Santos and Nuno Paulino and Jo{\~{a}}o Bispo and Jo{\~{a}}o M. P. Cardoso and Jo{\~{a}}o Canas Ferreira}, title = {On the Performance Effect of Loop Trace Window Size on Scheduling for Configurable Coarse Grain Loop Accelerators}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609868}, doi = {10.1109/ICFPT52863.2021.9609868}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SantosPBCF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShiZW21, author = {Kaichuang Shi and Hao Zhou and Lingli Wang}, title = {A Hexagon-Based Honeycomb Routing Architecture for {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609805}, doi = {10.1109/ICFPT52863.2021.9609805}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShiZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SilvaBP21, author = {Pedro Filipe Silva and Jo{\~{a}}o Bispo and Nuno Paulino}, title = {FPGAs as General-Purpose Accelerators for Non-Experts via {HLS:} The Graph Analysis Example}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609832}, doi = {10.1109/ICFPT52863.2021.9609832}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SilvaBP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SugiuraM21, author = {Keisuke Sugiura and Hiroki Matsutani}, title = {A unified accelerator design for LiDAR {SLAM} algorithms for low-end FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609886}, doi = {10.1109/ICFPT52863.2021.9609886}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SugiuraM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SuhMNVKCS21, author = {Han{-}Sok Suh and Jian Meng and Ty Nguyen and Shreyas K. Venkataramanaiah and Vijay Kumar and Yu Cao and Jae{-}sun Seo}, title = {Algorithm-Hardware Co-Optimization for Energy-Efficient Drone Detection on Resource-Constrained {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609840}, doi = {10.1109/ICFPT52863.2021.9609840}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SuhMNVKCS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TakasakiHNYFWT21, author = {Kazunari Takasaki and Kota Hisafuru and Ryotaro Negishi and Kazuki Yamashita and Keisuke Fukada and Tomoya Wakaizumi and Nozomu Togawa}, title = {An autonomous driving system utilizing image processing accelerated by {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609937}, doi = {10.1109/ICFPT52863.2021.9609937}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TakasakiHNYFWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TanLMQ21, author = {Jing Tan and Gaofeng Lv and Yanni Ma and Guanjie Qiao}, title = {High-performance pipeline architecture for packet classification accelerator in {DPU}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609841}, doi = {10.1109/ICFPT52863.2021.9609841}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TanLMQ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TosaHSI21, author = {Andrei Tosa and Anca Hangan and Gheorghe Sebestyen and Zsolt Istv{\'{a}}n}, title = {In-Storage Computation of Histograms with differential privacy}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609899}, doi = {10.1109/ICFPT52863.2021.9609899}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TosaHSI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangLZTDR21, author = {Cheng Wang and Yingkun Liu and Kedai Zuo and Jianming Tong and Yan Ding and Pengju Ren}, title = {ac\({}^{\mbox{2}}\)SLAM: {FPGA} Accelerated High-Accuracy {SLAM} with Heapsort and Parallel Keypoint Extractor}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609808}, doi = {10.1109/ICFPT52863.2021.9609808}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangLZTDR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangYLW21, author = {Xiaoxi Wang and Moucheng Yang and Zhen Li and Lingli Wang}, title = {Parallelized Technology Mapping to General PLBs by Adaptive Circuit Partitioning}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609877}, doi = {10.1109/ICFPT52863.2021.9609877}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangYLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WirthHTBK21, author = {Johannes Wirth and Jaco A. Hofmann and Lasse Thostrup and Carsten Binnig and Andreas Koch}, title = {Scalable and Flexible High-Performance In-Network Processing of Hash Joins in Distributed Databases}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609804}, doi = {10.1109/ICFPT52863.2021.9609804}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WirthHTBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YamamotoIANTLM21, author = {Ryohei Yamamoto and Yuki Izumi and Ryo Aono and Takumi Nagahara and Tomonari Tanaka and Wang Liao and Yukio Mitsuyama}, title = {Development of Autonomous Driving System based on Image Recognition using Programmable SoCs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609811}, doi = {10.1109/ICFPT52863.2021.9609811}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YamamotoIANTLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YanAAOFSK21, author = {Ying Jie Yan and Hideharu Amano and Masashi Aono and Kaori Ohkoda and Shingo Fukuda and Kenta Saito and Seiya Kasai}, title = {Resource-saving {FPGA} Implementation of the Satisfiability Problem Solver: AmoebaSATslim}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609882}, doi = {10.1109/ICFPT52863.2021.9609882}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YanAAOFSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YuB21, author = {Zhewen Yu and Christos{-}Savvas Bouganis}, title = {StreamSVD: Low-rank Approximation and Streaming Accelerator Co-design}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609813}, doi = {10.1109/ICFPT52863.2021.9609813}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YuB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangFO21, author = {Nathan Zhang and Matthew Feldman and Kunle Olukotun}, title = {High performance lattice regression on FPGAs via a high level hardware description language}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609893}, doi = {10.1109/ICFPT52863.2021.9609893}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ZhangFO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhengZTYWZ21, author = {Su Zheng and Kaisen Zhang and Yaoguang Tian and Wenbo Yin and Lingli Wang and Xuegong Zhou}, title = {FastCGRA: {A} Modeling, Evaluation, and Exploration Platform for Large-Scale Coarse-Grained Reconfigurable Arrays}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--10}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609928}, doi = {10.1109/ICFPT52863.2021.9609928}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ZhengZTYWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2021, title = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021}, doi = {10.1109/ICFPT52863.2021}, isbn = {978-1-6654-2010-5}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/AlqahtaniZSMW20, author = {Sultan Alqahtani and Yiqun Zhu and Qizhi Shi and Xiaolin Meng and Xinhua Wang}, title = {Hardware Implementations with High Throughput, Low-Latency and Low-Area for Matrix Inversion}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {250--255}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00043}, doi = {10.1109/ICFPT51103.2020.00043}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/AlqahtaniZSMW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/AttiaB20, author = {Sameh Attia and Vaughn Betz}, title = {StateReveal: Enabling Checkpointing of {FPGA} Designs with Buried State}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {206--214}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00036}, doi = {10.1109/ICFPT51103.2020.00036}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/AttiaB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/BeckwithD20, author = {Luke Beckwith and William Diehl}, title = {New Directions for NewHope: Improving Performance of Post-Quantum Cryptography through Algorithm-level Pipelining}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {120--128}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00025}, doi = {10.1109/ICFPT51103.2020.00025}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/BeckwithD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/BoutrosHPB20, author = {Andrew Boutros and Mathew Hall and Nicolas Papernot and Vaughn Betz}, title = {Neighbors From Hell: Voltage Attacks Against Deep Learning Accelerators on Multi-Tenant FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {103--111}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00023}, doi = {10.1109/ICFPT51103.2020.00023}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/BoutrosHPB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/BoutrosNMGZHBL20, author = {Andrew Boutros and Eriko Nurvitadhi and Rui Ma and Sergey Gribok and Zhipeng Zhao and James C. Hoe and Vaughn Betz and Martin Langhammer}, title = {Beyond Peak Performance: Comparing the Real Performance of AI-Optimized FPGAs and GPUs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {10--19}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00011}, doi = {10.1109/ICFPT51103.2020.00011}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/BoutrosNMGZHBL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/BucknallSF20, author = {Alex R. Bucknall and Shanker Shreejith and Suhaib A. Fahmy}, title = {Build Automation and Runtime Abstraction for Partial Reconfiguration on Xilinx Zynq UltraScale+}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {215--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00037}, doi = {10.1109/ICFPT51103.2020.00037}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/BucknallSF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/CarvalhoCRTSJNF20, author = {Westerley Carvalho and Michael Canesche and Lucas Reis and Frank Sill Torres and Lucas B. da Silva and Peter Jamieson and Jos{\'{e}} Augusto Miranda Nacif and Ricardo S. Ferreira}, title = {A Design Exploration of Scalable Mesh-based Fully Pipelined Accelerators}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {233--236}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00040}, doi = {10.1109/ICFPT51103.2020.00040}, timestamp = {Fri, 04 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/CarvalhoCRTSJNF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ChenLZ20, author = {Haowen Chen and Feiteng Li and Zhuo Zhang}, title = {A Bucket-Stream rBRIEF Extraction Architecture for {SLAM} Applications on Embedded Platforms}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {277--280}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00047}, doi = {10.1109/ICFPT51103.2020.00047}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ChenLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/DaoAHK20, author = {Nguyen Dao and Andrew Attwood and Bea Healy and Dirk Koch}, title = {FlexBex: {A} {RISC-V} with a Reconfigurable Instruction Extension}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {190--195}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00034}, doi = {10.1109/ICFPT51103.2020.00034}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/DaoAHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ElgammalMB20, author = {Mohamed A. Elgammal and Kevin E. Murray and Vaughn Betz}, title = {Learn to Place: {FPGA} Placement Using Reinforcement Learning and Directed Moves}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {85--93}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00021}, doi = {10.1109/ICFPT51103.2020.00021}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ElgammalMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/GoelKBS20, author = {Shikha Goel and Rajesh Kedia and M. Balakrishnan and Rijurekha Sen}, title = {{INFER:} INterFerence-aware Estimation of Runtime for Concurrent {CNN} Execution on DPUs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {66--71}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00018}, doi = {10.1109/ICFPT51103.2020.00018}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/GoelKBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/GuoL20, author = {Ce Guo and Wayne Luk}, title = {Quantisation-aware Dimensionality Reduction}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {237--240}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00041}, doi = {10.1109/ICFPT51103.2020.00041}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/GuoL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/HaghiGGBSSH20, author = {Pouya Haghi and Anqi Guo and Tong Geng and Justin T. Broaddus and Derek Schafer and Anthony Skjellum and Martin C. Herbordt}, title = {A Reconfigurable Compute-in-the-Network {FPGA} Assistant for High-Level Collective Support with Distributed Matrix Multiply Case Study}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {159--164}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00030}, doi = {10.1109/ICFPT51103.2020.00030}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/HaghiGGBSSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/HallB20, author = {Mathew Hall and Vaughn Betz}, title = {From TensorFlow Graphs to LUTs and Wires: Automated Sparse and Physically Aware {CNN} Hardware Generation}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {56--65}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00017}, doi = {10.1109/ICFPT51103.2020.00017}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/HallB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/KhaleghiSR20, author = {Behnam Khaleghi and Sahand Salamat and Tajana Simunic Rosing}, title = {Revisiting {FPGA} Routing under Varying Operating Conditions}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {94--102}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00022}, doi = {10.1109/ICFPT51103.2020.00022}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/KhaleghiSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/KongFD0H20, author = {Hongxin Kong and Lang Feng and Chunhua Deng and Bo Yuan and Jiang Hu}, title = {How Much Does Regularity Help {FPGA} Placement?}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {76--84}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00020}, doi = {10.1109/ICFPT51103.2020.00020}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/KongFD0H20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/KoppehelP20, author = {Martin Koppehel and Thilo Pionteck}, title = {Ultra-Low-Latency Video Encoding on Heterogenous Hardware Platforms}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {287}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00049}, doi = {10.1109/ICFPT51103.2020.00049}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/KoppehelP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/KwadjoMB20, author = {Danielle Tchuinkou Kwadjo and Joel Mandebi Mbongue and Christophe Bobda}, title = {Performance Exploration on Pre-implemented {CNN} Hardware Accelerator on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {298--299}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00055}, doi = {10.1109/ICFPT51103.2020.00055}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/KwadjoMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LealSAO20, author = {Daniel Pinheiro Leal and Midori Sugaya and Hideharu Amano and Takeshi Ohkawa}, title = {Automated Integration of High-Level Synthesis {FPGA} Modules with {ROS2} Systems}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {292--293}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00052}, doi = {10.1109/ICFPT51103.2020.00052}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/LealSAO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Li0ZW20, author = {Yue Li and Wei Cao and Xuegong Zhou and Lingli Wang}, title = {A Low-Cost Reconfigurable Nonlinear Core for Embedded {DNN} Applications}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {35--38}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00014}, doi = {10.1109/ICFPT51103.2020.00014}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/Li0ZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LiSPTH20, author = {Xiang Li and Peter Stanwicks and George Provelengios and Russell Tessier and Daniel E. Holcomb}, title = {Jitter-based Adaptive True Random Number Generation for FPGAs in the Cloud}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {112--119}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00024}, doi = {10.1109/ICFPT51103.2020.00024}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/LiSPTH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LienenPR20, author = {Christian Lienen and Marco Platzner and Bernhard Rinner}, title = {ReconROS: Flexible Hardware Acceleration for {ROS2} Applications}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {268--276}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00046}, doi = {10.1109/ICFPT51103.2020.00046}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/LienenPR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LiuL20, author = {Shuanglong Liu and Wayne Luk}, title = {Optimizing Fully Spectral Convolutional Neural Networks on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {39--47}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00015}, doi = {10.1109/ICFPT51103.2020.00015}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/LiuL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LiuPAN20, author = {Haoyan Liu and Atiyehsadat Panahi and David Andrews and Alexander Nelson}, title = {An FPGA-Based Upper-Limb Rehabilitation Device for Gesture Recognition and Motion Evaluation Using Multi-Task Recurrent Neural Networks}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {296--297}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00054}, doi = {10.1109/ICFPT51103.2020.00054}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/LiuPAN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LuFFS20, author = {Alec Lu and Zhenman Fang and Nazanin Farahpour and Lesley Shannon}, title = {{CHIP-KNN:} {A} Configurable and High-Performance K-Nearest Neighbors Accelerator on Cloud FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {139--147}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00027}, doi = {10.1109/ICFPT51103.2020.00027}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/LuFFS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LvRQYW20, author = {Hankun Lv and Yuchen Ren and Yunhui Qiu and Wenbo Yin and Lingli Wang}, title = {High Throughput and Low Latency Multi-Version Management Key-Value Storage Accelerator}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {290--291}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00051}, doi = {10.1109/ICFPT51103.2020.00051}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/LvRQYW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/MirzargarRGS20, author = {Seyedeh Sharareh Mirzargar and Gai{\"{e}}tan Renault and Andrea Guerrieri and Mirjana Stojilovic}, title = {Nonintrusive and Adaptive Monitoring for Locating Voltage Attacks in Virtualized FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {288--289}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00050}, doi = {10.1109/ICFPT51103.2020.00050}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/MirzargarRGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/NgLCCYL20, author = {Ho{-}Cheung Ng and Shuanglong Liu and Izaak Coleman and Ringo S. W. Chu and Man{-}Chung Yue and Wayne Luk}, title = {Acceleration of Short Read Alignment with Runtime Reconfiguration}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {256--262}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00044}, doi = {10.1109/ICFPT51103.2020.00044}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/NgLCCYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/NittaT20, author = {Yasuhiro Nitta and Hideki Takase}, title = {An {FPGA} Accelerator for Bayesian Network Structure Learning with Iterative Use of Processing Elements}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {29--34}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00013}, doi = {10.1109/ICFPT51103.2020.00013}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/NittaT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/PeltenburgLH0AH20, author = {Johan Peltenburg and Lars T. J. van Leeuwen and Joost Hoozemans and Jian Fang and Zaid Al{-}Ars and H. Peter Hofstee}, title = {Battling the {CPU} Bottleneck in Apache Parquet to Arrow Conversion Using {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {281--286}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00048}, doi = {10.1109/ICFPT51103.2020.00048}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/PeltenburgLH0AH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/PetricaAKFCB20, author = {Lucian Petrica and Tobias Alonso and Mairin Kroes and Nicholas J. Fraser and Sorin Cotofana and Michaela Blott}, title = {Memory-Efficient Dataflow Inference for Deep CNNs on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {48--55}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00016}, doi = {10.1109/ICFPT51103.2020.00016}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/PetricaAKFCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/PfauRH020, author = {Johannes Pfau and Maximilian Reuter and Klaus Hofmann and J{\"{u}}rgen Becker}, title = {Designing Universal Logic Module {FPGA} Architectures for Use With Ambipolar Transistor Technology}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {165--173}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00031}, doi = {10.1109/ICFPT51103.2020.00031}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/PfauRH020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/PhamKVGMIM20, author = {Khoa Dang Pham and Dirk Koch and Anuj Vaishnav and Konstantinos Georgopoulos and Pavlos Malakonakis and Aggelos Ioannou and Iakovos Mavroidis}, title = {Moving Compute towards Data in Heterogeneous multi-FPGA Clusters using Partial Reconfiguration and {I/O} Virtualisation}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {221--226}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00038}, doi = {10.1109/ICFPT51103.2020.00038}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/PhamKVGMIM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/QueNFMTNNL20, author = {Zhiqiang Que and Hiroki Nakahara and Hongxiang Fan and Jiuxi Meng and Kuen Hung Tsoi and Xinyu Niu and Eriko Nurvitadhi and Wayne Luk}, title = {A Reconfigurable Multithreaded Accelerator for Recurrent Neural Networks}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {20--28}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00012}, doi = {10.1109/ICFPT51103.2020.00012}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/QueNFMTNNL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/QueNZNWL20, author = {Zhiqiang Que and Daniel Holanda Noronha and Ruizhe Zhao and Xinyu Niu and Steven J. E. Wilton and Wayne Luk}, title = {Towards Overlay-based Rapid In-Circuit Tuning of Deep Learning Designs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {301}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00057}, doi = {10.1109/ICFPT51103.2020.00057}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/QueNZNWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/RibesTSB20, author = {Stefano Ribes and Pedro Trancoso and Ioannis Sourdis and Christos{-}Savvas Bouganis}, title = {Mapping Multiple {LSTM} models on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {1--9}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00010}, doi = {10.1109/ICFPT51103.2020.00010}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/RibesTSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SantosC20, author = {Tiago Santos and Jo{\~{a}}o M. P. Cardoso}, title = {Automatic Selection and Insertion of {HLS} Directives Via a Source-to-Source Compiler}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {227--232}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00039}, doi = {10.1109/ICFPT51103.2020.00039}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/SantosC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SateesanSG20, author = {Arish Sateesan and Sharad Sinha and Smitha K. G.}, title = {{DASH:} Design Automation for Synthesis and Hardware Generation for {CNN}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {72--75}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00019}, doi = {10.1109/ICFPT51103.2020.00019}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/SateesanSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ScheltenSSS20, author = {Niklas Schelten and Fritjof Steinert and Anton Schulte and Benno Stabernack}, title = {A High-Throughput, Resource-Efficient Implementation of the RoCEv2 Remote {DMA} Protocol for Network-Attached Hardware Accelerators}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {241--249}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00042}, doi = {10.1109/ICFPT51103.2020.00042}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ScheltenSSS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ShiZZW20, author = {Kaichuang Shi and Hao Zhou and Xuegong Zhou and Lingli Wang}, title = {{GIB:} {A} Novel Unidirectional Interconnection Architecture for {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {174--181}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00032}, doi = {10.1109/ICFPT51103.2020.00032}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ShiZZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SogaN20, author = {Naoto Soga and Hiroki Nakahara}, title = {Design Method for an {LUT} Network-Based {CNN} with a Sparse Local Convolution}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {294--295}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00053}, doi = {10.1109/ICFPT51103.2020.00053}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/SogaN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SunJ20, author = {Gongjin Sun and Sang{-}Woo Jun}, title = {Bandwidth Efficient Near-Storage Accelerator for High-Dimensional Similarity Search}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {129--138}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00026}, doi = {10.1109/ICFPT51103.2020.00026}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/SunJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TianKGS20, author = {Shanquan Tian and Andrew Krzywosz and Ilias Giechaskiel and Jakub Szefer}, title = {Cloud {FPGA} Security with RO-Based Primitives}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {154--158}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00029}, doi = {10.1109/ICFPT51103.2020.00029}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/TianKGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TodmanTL20, author = {Tim Todman and David B. Thomas and Wayne Luk}, title = {Exploring performance enhancement of event-driven processor networks}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {300}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00056}, doi = {10.1109/ICFPT51103.2020.00056}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/TodmanTL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/VosKZ20, author = {Pepijn de Vos and Michael Kirchhoff and Daniel Ziener}, title = {A Complete Open Source Design Flow for Gowin FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {182--189}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00033}, doi = {10.1109/ICFPT51103.2020.00033}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/VosKZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/XiaoAD20, author = {Yuanlong Xiao and Syed Tousif Ahmed and Andr{\'{e}} DeHon}, title = {Fast Linking of Separately-Compiled {FPGA} Blocks without a NoC}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {196--205}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00035}, doi = {10.1109/ICFPT51103.2020.00035}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/XiaoAD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/YangKP20, author = {Yang Yang and Sanmukh R. Kuppannagari and Viktor K. Prasanna}, title = {A High Throughput Parallel Hash Table Accelerator on HBM-enabled FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {148--153}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00028}, doi = {10.1109/ICFPT51103.2020.00028}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/YangKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ZhangT20, author = {Xuzhi Zhang and Russell Tessier}, title = {Service Chaining for Heterogeneous Middleboxes}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, pages = {263--267}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020.00045}, doi = {10.1109/ICFPT51103.2020.00045}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ZhangT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icfpt/2020, title = {International Conference on Field-Programmable Technology, {(IC)FPT} 2020, Maui, HI, USA, December 9-11, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICFPT51103.2020}, doi = {10.1109/ICFPT51103.2020}, isbn = {978-1-6654-2302-1}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/AbdelhadiBC19, author = {Ameer M. S. Abdelhadi and Christos{-}Savvas Bouganis and George A. Constantinides}, title = {Accelerated Approximate Nearest Neighbors Search Through Hierarchical Product Quantization}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {90--98}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00019}, doi = {10.1109/ICFPT47387.2019.00019}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/AbdelhadiBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/AbdelhadiS19, author = {Ameer M. S. Abdelhadi and Lesley Shannon}, title = {Revisiting Deep Learning Parallelism: Fine-Grained Inference Engine Utilizing Online Arithmetic}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {383--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00073}, doi = {10.1109/ICFPT47387.2019.00073}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/AbdelhadiS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/AotoMMW19, author = {Musashi Aoto and Moe Mitsugi and Takumi Momose and Yasutaka Wada}, title = {Towards the Improvement of Training Efficiency and Image Recognition Accuracy for an {FPGA} Controlled Mini-Car by Offloading Neural Network Training}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {437--440}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00087}, doi = {10.1109/ICFPT47387.2019.00087}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/AotoMMW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/AsgharLKZ19, author = {Ali Asghar and Rick van Loo and Timon Kruiper and Daniel Ziener}, title = {Optimizing FPGA-Based Streaming Applications for Throughput Using Pipelining}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {351--354}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00065}, doi = {10.1109/ICFPT47387.2019.00065}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/AsgharLKZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/AshcraftG19, author = {Matthew B. Ashcraft and Jeffrey Goeders}, title = {Synchronizing On-Chip Software and Hardware Traces for HLS-Accelerated Programs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {54--62}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00015}, doi = {10.1109/ICFPT47387.2019.00015}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/AshcraftG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/BenhaniMB19, author = {El Mehdi Benhani and Cuauhtemoc Mancillas L{\'{o}}pez and Lilian Bossuet}, title = {Secure Internal Communication of a Trustzone-Enabled Heterogeneous Soc Lightweight Encryption}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {239--242}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00037}, doi = {10.1109/ICFPT47387.2019.00037}, timestamp = {Wed, 25 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/BenhaniMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/BrennsteinerAT19, author = {Stefan Brennsteiner and Tughrul Arslan and John S. Thompson}, title = {Evaluation of Partially Constant, Fine-Grained, Dynamic Partial Reconfigurable Functions in FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {347--350}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00064}, doi = {10.1109/ICFPT47387.2019.00064}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/BrennsteinerAT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/BucknallSF19, author = {Alex R. Bucknall and Shanker Shreejith and Suhaib A. Fahmy}, title = {Network Enabled Partial Reconfiguration for Distributed {FPGA} Edge Acceleration}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {259--262}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00042}, doi = {10.1109/ICFPT47387.2019.00042}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/BucknallSF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ChanMK19, author = {Long Chung Chan and Gurshaant Malik and Nachiket Kapre}, title = {Partitioning FPGA-Optimized Systolic Arrays for Fun and Profit}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {144--152}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00025}, doi = {10.1109/ICFPT47387.2019.00025}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/ChanMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ChenKLHA19, author = {Yu Ting Chen and Jin Hee Kim and Kexin Li and Graham Hoyes and Jason Helge Anderson}, title = {High-Level Synthesis Techniques to Generate Deeply Pipelined Circuits for FPGAs with Registered Routing}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {375--378}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00071}, doi = {10.1109/ICFPT47387.2019.00071}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ChenKLHA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/CsordasAI19, author = {Gabor Csordas and Mikhail Asiatici and Paolo Ienne}, title = {In Search of Lost Bandwidth: Extensive Reordering of {DRAM} Accesses on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {188--196}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00030}, doi = {10.1109/ICFPT47387.2019.00030}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/CsordasAI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/DangFAG19, author = {Viet Ba Dang and Farnoud Farahmand and Michal Andrzejczak and Kris Gaj}, title = {Implementing and Benchmarking Three Lattice-Based Post-Quantum Cryptography Algorithms Using Software/Hardware Codesign}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {206--214}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00032}, doi = {10.1109/ICFPT47387.2019.00032}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/DangFAG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/DiYHMJZ19, author = {Xinkai Di and Haigang Yang and Zhihong Huang and Ning Mao and Yiping Jia and Yong Zheng}, title = {Exploring Resource-Efficient Acceleration Algorithm for Transposed Convolution of GANs on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {19--27}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00011}, doi = {10.1109/ICFPT47387.2019.00011}, timestamp = {Thu, 15 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/DiYHMJZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/FanWFNL19, author = {Hongxiang Fan and Gang Wang and Martin Ferianc and Xinyu Niu and Wayne Luk}, title = {Static Block Floating-Point Quantization for Convolutional Neural Networks on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {28--35}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00012}, doi = {10.1109/ICFPT47387.2019.00012}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/FanWFNL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/FoxFBVL19, author = {Sean Fox and Julian Faraone and David Boland and Kees A. Vissers and Philip H. W. Leong}, title = {Training Deep Neural Networks in Low-Precision with High Accuracy Using FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {1--9}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00009}, doi = {10.1109/ICFPT47387.2019.00009}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/FoxFBVL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/GeethakumariGTS19, author = {Prajith Ramakrishnan Geethakumari and Vincenzo Gulisano and Pedro Trancoso and Ioannis Sourdis}, title = {Time-SWAD: {A} Dataflow Engine for Time-Based Single Window Stream Aggregation}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {72--80}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00017}, doi = {10.1109/ICFPT47387.2019.00017}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/GeethakumariGTS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/GiamblancoA19, author = {Nicholas V. Giamblanco and Jason Helge Anderson}, title = {{ASAP:} Automatic Sizing and Partitioning for Dynamic Memory Heaps in High-Level Synthesis}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {275--278}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00046}, doi = {10.1109/ICFPT47387.2019.00046}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/GiamblancoA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Gong0GS19, author = {Yu Gong and Bo Liu and Wei Ge and Longxing Shi}, title = {{RNA:} Reconfigurable {LSTM} Accelerator with Near Data Approximate Processing}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {311--314}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00055}, doi = {10.1109/ICFPT47387.2019.00055}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/Gong0GS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/GorlaniKP19, author = {Paolo Gorlani and Tobias Kenter and Christian Plessl}, title = {OpenCL Implementation of Cannon's Matrix Multiplication Algorithm on Intel Stratix 10 FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {99--107}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00020}, doi = {10.1109/ICFPT47387.2019.00020}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/GorlaniKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/HaradaKY19, author = {Kenichi Harada and Kenji Kanazawa and Moritoshi Yasunaga}, title = {FPGA-Based Object Detection for Autonomous Driving System}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {465--468}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00094}, doi = {10.1109/ICFPT47387.2019.00094}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/HaradaKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/HasegawaTNIKT19, author = {Kento Hasegawa and Kazunari Takasaki and Makoto Nishizawa and Ryota Ishikawa and Kazushi Kawamura and Nozomu Togawa}, title = {Implementation of a ROS-Based Autonomous Vehicle on an {FPGA} Board}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {457--460}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00092}, doi = {10.1109/ICFPT47387.2019.00092}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/HasegawaTNIKT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/HuSMSS19, author = {Bo Hu and Mustafa M. Shihab and Yiorgos Makris and Benjamin Carri{\'{o}}n Sch{\"{a}}fer and Carl Sechen}, title = {Extending the Lifetime of Coarse-Grained Runtime Reconfigurable FPGAs by Balancing Processing Element Usage}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {291--294}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00050}, doi = {10.1109/ICFPT47387.2019.00050}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/HuSMSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/HuWCW19, author = {Jingwei Hu and Wen Wang and Ray C. C. Cheung and Huaxiong Wang}, title = {Optimized Polynomial Multiplier Over Commutative Rings on FPGAs: {A} Case Study on {BIKE}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {231--234}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00035}, doi = {10.1109/ICFPT47387.2019.00035}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/HuWCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/IkezoeKA19, author = {Takeharu Ikezoe and Takuya Kojima and Hideharu Amano}, title = {A Coarse-Grained Reconfigurable Architecture with a Fault Tolerant Non-Volatile Configurable Memory}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {81--89}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00018}, doi = {10.1109/ICFPT47387.2019.00018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/IkezoeKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/IoannouF19, author = {Lenos Ioannou and Suhaib A. Fahmy}, title = {Lightweight Programmable {DSP} Block Overlay for Streaming Neural Network Acceleration}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {355--358}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00066}, doi = {10.1109/ICFPT47387.2019.00066}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/IoannouF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/JiaoLDCW19, author = {Mingjun Jiao and Yue Li and Pengbo Dang and Wei Cao and Lingli Wang}, title = {A High Performance FPGA-Based Accelerator Design for End-to-End Speaker Recognition System}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {215--223}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00033}, doi = {10.1109/ICFPT47387.2019.00033}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/JiaoLDCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/JonesPLLZB19, author = {Euan Jones and Keegan Pepper and Aimei Li and Shiyue Li and Yuteng Zhang and Donald Bailey}, title = {Autonomous Driving Developed with an {FPGA} Design}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {431--434}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00085}, doi = {10.1109/ICFPT47387.2019.00085}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/JonesPLLZB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/JosipovicBGI19, author = {Lana Josipovic and Atri Bhattacharyya and Andrea Guerrieri and Paolo Ienne}, title = {Shrink It or Shed It! Minimize the Use of LSQs in Dataflow Designs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {197--205}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00031}, doi = {10.1109/ICFPT47387.2019.00031}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/JosipovicBGI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Kang19, author = {Hyeong{-}Ju Kang}, title = {Real-Time Object Detection on 640x480 Image With {VGG16+SSD}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {419--422}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00082}, doi = {10.1109/ICFPT47387.2019.00082}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/Kang19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/KojimaO19, author = {Akira Kojima and Yuya Osawa}, title = {Design and Implementation of Autonomous Driving Robot Car Using SoC {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {441--444}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00088}, doi = {10.1109/ICFPT47387.2019.00088}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/KojimaO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/KudakaSYOMO19, author = {Shimon Kudaka and Ai Suzuki and Natsumi Yamada and Noriki Oshiro and Taichi Miyagi and Yasunori Osana}, title = {Self-Driving Car Application of a Stream-Oriented Accelerator Framework}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {435--436}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00086}, doi = {10.1109/ICFPT47387.2019.00086}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/KudakaSYOMO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/KudoTII19, author = {Yuya Kudo and Atsushi Takada and Yuta Ishida and Tomonori Izumi}, title = {An SoC-FPGA-Based Micro {UGV} with Localization and Motion Planning}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {469--472}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00095}, doi = {10.1109/ICFPT47387.2019.00095}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/KudoTII19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LiuCHLWZ19, author = {Cheng Liu and Xinyu Chen and Bingsheng He and Xiaofei Liao and Ying Wang and Lei Zhang}, title = {{OBFS:} OpenCL Based {BFS} Optimizations on Software Programmable FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {315--318}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00056}, doi = {10.1109/ICFPT47387.2019.00056}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/LiuCHLWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/LuoX19, author = {Yukui Luo and Xiaolin Xu}, title = {{HILL:} {A} Hardware Isolation Framework Against Information Leakage on Multi-Tenant {FPGA} Long-Wires}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {331--334}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00060}, doi = {10.1109/ICFPT47387.2019.00060}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/LuoX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/MaS19, author = {Longyu Ma and Chiu{-}Wing Sham}, title = {SoC-FPGA-Based Implementation of Iris Recognition Enhanced by {QC-LDPC} Codes}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {391--394}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00075}, doi = {10.1109/ICFPT47387.2019.00075}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/MaS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/MaideeNKL19, author = {Pongstorn Maidee and Chris Neely and Alireza Kaviani and Chris Lavin}, title = {An Open-Source Lightweight Timing Model for RapidWright}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {171--178}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00028}, doi = {10.1109/ICFPT47387.2019.00028}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/MaideeNKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ManabeYISFMMMSE19, author = {Taito Manabe and Naofumi Yoshinaga and Yuta Imamura and Taichi Saikai and Koki Fujita and Masatomo Matsuda and Kotoko Miyata and Tatsuma Mori and Yuichiro Shibata and Hiroki Egawa and Yuichi Kawamata and Tomohiro Kida and Ryouhei Tsugami and Ryohei Kakizaki and Taichi Katayama and Koki Tomonaga and Shota Fukui}, title = {Autonomous Vehicle Driving Using the Stream-Based Real-Time Hardware Line Detector}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {461--464}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00093}, doi = {10.1109/ICFPT47387.2019.00093}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/ManabeYISFMMMSE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ManevVK19, author = {Kristiyan Manev and Anuj Vaishnav and Dirk Koch}, title = {Unexpected Diversity: Quantitative Memory Analysis for Zynq UltraScale+ Systems}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {179--187}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00029}, doi = {10.1109/ICFPT47387.2019.00029}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/ManevVK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/MashimoISFMAFKK19, author = {Susumu Mashimo and Koji Inoue and Ryota Shioya and Akifumi Fujita and Reoma Matsuo and Seiya Akaki and Akifumi Fukuda and Toru Koizumi and Junichiro Kadomoto and Hidetsugu Irie and Masahiro Goshima}, title = {An Open Source FPGA-Optimized Out-of-Order {RISC-V} Soft Processor}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {63--71}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00016}, doi = {10.1109/ICFPT47387.2019.00016}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/MashimoISFMAFKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/MbongueKB19, author = {Joel Mandebi Mbongue and Danielle Tchuinkou Kwadjo and Christophe Bobda}, title = {Automatic Generation of Application-Specific {FPGA} Overlays with RapidWright}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {303--306}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00053}, doi = {10.1109/ICFPT47387.2019.00053}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/MbongueKB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/MinhasWK19, author = {Umar Ibrahim Minhas and Roger F. Woods and Georgios Karakonstantis}, title = {Optimisation of System Throughput Exploiting Tasks Heterogeneity on Space Shared FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {359--362}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00067}, doi = {10.1109/ICFPT47387.2019.00067}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/MinhasWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Montgomerie-Corcoran19, author = {Alexander Montgomerie{-}Corcoran and Stylianos I. Venieris and Christos{-}Savvas Bouganis}, title = {Power-Aware {FPGA} Mapping of Convolutional Neural Networks}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {327--330}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00059}, doi = {10.1109/ICFPT47387.2019.00059}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/Montgomerie-Corcoran19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/NguyenAH19, author = {Anh Hoang Ngoc Nguyen and Masashi Aono and Yuko Hara{-}Azumi}, title = {Amoeba-Inspired Hardware {SAT} Solver with Effective Feedback Control}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {243--246}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00038}, doi = {10.1109/ICFPT47387.2019.00038}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/NguyenAH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/NguyenDG19, author = {Duc Tri Nguyen and Viet Ba Dang and Kris Gaj}, title = {A High-Level Synthesis Approach to the Software/Hardware Codesign of NTT-Based Post-Quantum Cryptography Algorithms}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {371--374}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00070}, doi = {10.1109/ICFPT47387.2019.00070}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/NguyenDG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/NittaTYT19, author = {Yasuhiro Nitta and Sou Tamura and Hidetoshi Yugen and Hideki Takase}, title = {ZytleBot: {FPGA} Integrated Development Platform for {ROS} Based Autonomous Mobile Robot}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {445--448}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00089}, doi = {10.1109/ICFPT47387.2019.00089}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/NittaTYT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/NoronhaZQGLE19, author = {Daniel Holanda Noronha and Ruizhe Zhao and Zhiqiang Que and Jeffrey Goeders and Wayne Luk and Steven J. E. Wilton}, title = {An Overlay for Rapid {FPGA} Debug of Machine Learning Applications}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {135--143}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00024}, doi = {10.1109/ICFPT47387.2019.00024}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/NoronhaZQGLE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/NurvitadhiNBBJK19, author = {Eriko Nurvitadhi and Mishali Naik and Andrew Boutros and Prerna Budhkar and Ali Jafari and Dongup Kwon and David Sheffield and Abirami Prabhakaran and Karthik Gururaj and Pranavi Appana}, title = {Scalable Low-Latency Persistent Neural Machine Translation on {CPU} Server with Multiple FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {307--310}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00054}, doi = {10.1109/ICFPT47387.2019.00054}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/NurvitadhiNBBJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/OhkawaTMLAHSW19, author = {Takeshi Ohkawa and Shotaro Tayama and Hayato Mori and Dohyung Lee and Hayato Amano and Itsuki Hirakawa and Mikiko Sato and Harumi Watanabe}, title = {Design and Development of Networked Multiple {FPGA} Components for Autonomous Tiny Robot Car}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {473--475}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00096}, doi = {10.1109/ICFPT47387.2019.00096}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/OhkawaTMLAHSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/OppermannSWR0S19, author = {Julian Oppermann and Lukas Sommer and Lukas Weber and Melanie Reuter{-}Oppermann and Andreas Koch and Oliver Sinnen}, title = {SkyCastle: {A} Resource-Aware Multi-Loop Scheduler for High-Level Synthesis}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {36--44}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00013}, doi = {10.1109/ICFPT47387.2019.00013}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/OppermannSWR0S19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/PassarettiJP19, author = {Daniele Passaretti and Jan Moritz Joseph and Thilo Pionteck}, title = {Survey on FPGAs in Medical Radiology Applications: Challenges, Architectures and Programming Models}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {279--282}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00047}, doi = {10.1109/ICFPT47387.2019.00047}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/PassarettiJP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Perina0B19, author = {Andr{\'{e}} Bannwart Perina and J{\"{u}}rgen Becker and Vanderlei Bonato}, title = {Lina: Timing-Constrained High-Level Synthesis Performance Estimator for Fast {DSE}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {343--346}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00063}, doi = {10.1109/ICFPT47387.2019.00063}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/Perina0B19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/QueLGN0L19, author = {Zhiqiang Que and Yanyang Liu and Ce Guo and Xinyu Niu and Yongxin Zhu and Wayne Luk}, title = {Real-Time Anomaly Detection for Flight Testing Using AutoEncoder and {LSTM}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {379--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00072}, doi = {10.1109/ICFPT47387.2019.00072}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/QueLGN0L19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/RasnayakeS19, author = {Lahiru Rasnayake and Magnus Sj{\"{a}}lander}, title = {Improving Memory Access Locality for Vectorized Bit-Serial Matrix Multiplication in Reconfigurable Computing}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {415--418}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00081}, doi = {10.1109/ICFPT47387.2019.00081}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/RasnayakeS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/RasoulinezhadFZ19, author = {Seyedramin Rasoulinezhad and Sean Fox and Hao Zhou and Lingli Wang and David Boland and Philip H. W. Leong}, title = {MajorityNets: BNNs Utilising Approximate Popcount for Improved Efficiency}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {339--342}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00062}, doi = {10.1109/ICFPT47387.2019.00062}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/RasoulinezhadFZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/RohdeH19, author = {Johanna Rohde and Christian Hochberger}, title = {AutoBoxing: Improving {GCC} Passes to Optimize {HW/SW} Multi-Versioning of Kernels for {HLS}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {319--322}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00057}, doi = {10.1109/ICFPT47387.2019.00057}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/RohdeH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SadaSJN19, author = {Youki Sada and Masayuki Shimoda and Akira Jinguji and Hiroki Nakahara}, title = {A Dataflow Pipelining Architecture for Tile Segmentation with a Sparse MobileNet on an {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {267--270}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00044}, doi = {10.1109/ICFPT47387.2019.00044}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/SadaSJN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SateeshMWD19, author = {Vipula Sateesh and Connor Mckeon and Jared Winograd and Andr{\'{e}} DeHon}, title = {Pipelined Parallel Finite Automata Evaluation}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {108--116}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00021}, doi = {10.1109/ICFPT47387.2019.00021}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/SateeshMWD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SeifooriAS19, author = {Zeinab Seifoori and Hossein Asadi and Mirjana Stojilovic}, title = {A Machine Learning Approach for Power Gating the {FPGA} Routing Network}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {10--18}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00010}, doi = {10.1109/ICFPT47387.2019.00010}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/SeifooriAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ShiTL019, author = {Bizhao Shi and Zhucheng Tang and Guojie Luo and Ming Jiang}, title = {Winograd-Based Real-Time Super-Resolution System on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {423--426}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00083}, doi = {10.1109/ICFPT47387.2019.00083}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ShiTL019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SimmondsCLMB19, author = {Hamish Simmonds and Nicholas Carlisle and Xue Li and Fanglin Mu and Donald Bailey}, title = {Autonomous Vehicle Development Using {FPGA} for Image Processing}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {449--452}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00090}, doi = {10.1109/ICFPT47387.2019.00090}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/SimmondsCLMB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SunJ19, author = {Gongjin Sun and Sang{-}Woo Jun}, title = {{ZFP-V:} Hardware-Optimized Lossy Floating Point Compression}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {117--125}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00022}, doi = {10.1109/ICFPT47387.2019.00022}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/SunJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/SunLX19, author = {Yunfei Sun and Brian Liu and Xianchao Xu}, title = {An OpenCL-Based Hybrid {CNN-RNN} Inference Accelerator On {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {283--286}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00048}, doi = {10.1109/ICFPT47387.2019.00048}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/SunLX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TakanoOOUK19, author = {Keisuke Takano and Tetsuya Oda and Ryo Ozaki and Akira Uejima and Masaki Kohata}, title = {Implementation of Distributed Processing Using a {PC-FPGA} Hybrid System}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {387--390}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00074}, doi = {10.1109/ICFPT47387.2019.00074}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/TakanoOOUK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TanakaITKLM19, author = {Tomonari Tanaka and Itsuki Ikeno and Riku Tsuruoka and Takumi Kuchiba and Wang Liao and Yukio Mitsuyama}, title = {Development of Autonomous Driving System Using Programmable SoCs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {453--456}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00091}, doi = {10.1109/ICFPT47387.2019.00091}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/TanakaITKLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TangCYZC19, author = {Linhuai Tang and Gang Cai and Tao Yin and Yong Zheng and Jiamin Chen}, title = {A Resource Consumption and Performance Overhead Optimized Reduction Circuit on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {287--290}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00049}, doi = {10.1109/ICFPT47387.2019.00049}, timestamp = {Thu, 15 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/TangCYZC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TangGAG19, author = {Xifan Tang and Edouard Giacomin and Aur{\'{e}}lien Alacchi and Pierre{-}Emmanuel Gaillardon}, title = {A Study on Switch Block Patterns for Tileable {FPGA} Routing Architectures}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {247--250}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00039}, doi = {10.1109/ICFPT47387.2019.00039}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/TangGAG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Tian0S19, author = {Shanquan Tian and Wen Wang and Jakub Szefer}, title = {Merge-Exchange Sort Based Discrete Gaussian Sampler with Fixed Memory Access Pattern}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {126--134}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00023}, doi = {10.1109/ICFPT47387.2019.00023}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/Tian0S19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TianPN19, author = {Ye Tian and Jean{-}Christophe Pr{\'{e}}votet and Fabienne Nouvel}, title = {Efficient {OS} Hardware Accelerators Preemption Management in {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {367--370}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00069}, doi = {10.1109/ICFPT47387.2019.00069}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/TianPN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TridgellBL019, author = {Stephen Tridgell and David Boland and Philip H. W. Leong and Siddhartha}, title = {Real-Time Automatic Modulation Classification}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {299--302}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00052}, doi = {10.1109/ICFPT47387.2019.00052}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/TridgellBL019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/TuricuCV19, author = {Dan Cristian Turicu and Octavian Cret and Lucia Vacariu}, title = {Storage Mirroring for Bare-Metal Malware Analysis on {FPGA} Devices}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {335--338}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00061}, doi = {10.1109/ICFPT47387.2019.00061}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/TuricuCV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/UenoMMS19, author = {Tomohiro Ueno and Takaaki Miyajima and Antoniette Mondigo and Kentaro Sano}, title = {Hybrid Network Utilization for Efficient Communication in a Tightly Coupled {FPGA} Cluster}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {363--366}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00068}, doi = {10.1109/ICFPT47387.2019.00068}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/UenoMMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ValenciaSS19, author = {Ra{\'{u}}l Valencia and Chiu{-}Wing Sham and Oliver Sinnen}, title = {Evolved Binary Neural Networks Through Harnessing {FPGA} Capabilities}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {395--398}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00076}, doi = {10.1109/ICFPT47387.2019.00076}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/ValenciaSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/VandebonCLNN19, author = {Jessica Vandebon and Jos{\'{e}} Gabriel F. Coutinho and Wayne Luk and Eriko Nurvitadhi and Mishali Naik}, title = {Enhanced Heterogeneous Cloud: Transparent Acceleration and Elasticity}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {162--170}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00027}, doi = {10.1109/ICFPT47387.2019.00027}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/VandebonCLNN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Vipin19, author = {Kizheppatt Vipin}, title = {ZyNet: Automating Deep Neural Network Implementation on Low-Cost Reconfigurable Edge Computing Platforms}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {323--326}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00058}, doi = {10.1109/ICFPT47387.2019.00058}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/Vipin19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/WeberSO0K019, author = {Lukas Weber and Lukas Sommer and Julian Oppermann and Alejandro Molina and Kristian Kersting and Andreas Koch}, title = {Resource-Efficient Logarithmic Number Scale Arithmetic for {SPN} Inference on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {251--254}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00040}, doi = {10.1109/ICFPT47387.2019.00040}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/WeberSO0K019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/WijesunderaDPSA19, author = {Deshya Wijesundera and Nadeeshan Dissanayake and Alok Prakash and Thambipillai Srikanthan and Damith Anhettigama}, title = {Dependency-Aware Clustering for Variable-Grained Hardware-Software Partitioning}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {411--414}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00080}, doi = {10.1109/ICFPT47387.2019.00080}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/WijesunderaDPSA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/WijesunderaLPSP19, author = {Deshya Wijesundera and Kisaru Liyanage and Alok Prakash and Thambipillai Srikanthan and Thilina Perera}, title = {An Iterative Technique for Runtime Efficient Hardware-Software Partitioning}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {403--406}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00078}, doi = {10.1109/ICFPT47387.2019.00078}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/WijesunderaLPSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/WuCW19, author = {Di Wu and Wei Cao and Lingli Wang}, title = {SpWMM: {A} High-Performance Sparse-Winograd Matrix-Matrix Multiplication Accelerator for CNNs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {255--258}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00041}, doi = {10.1109/ICFPT47387.2019.00041}, timestamp = {Wed, 05 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/WuCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/WuLJ19, author = {Tianze Wu and Weiyi Liu and Yongwei Jin}, title = {An End-to-End Solution to Autonomous Driving Based on Xilinx {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {427--430}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00084}, doi = {10.1109/ICFPT47387.2019.00084}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/WuLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/XiaoPBGHDMRD19, author = {Yuanlong Xiao and Dongjoon Park and Andrew Butt and Hans Giesen and Zhaoyang Han and Rui Ding and Nevo Magnezi and Raphael Rubin and Andr{\'{e}} DeHon}, title = {Reducing {FPGA} Compile Time with Separate Compilation for {FPGA} Building Blocks}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {153--161}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00026}, doi = {10.1109/ICFPT47387.2019.00026}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/XiaoPBGHDMRD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/XieQYW19, author = {Jinyu Xie and Yunhui Qiu and Wenbo Yin and Lingli Wang}, title = {High-Throughput and Low-Latency Distributed Management Proxy for Key-Value Store Over 100Gbps Ethernet on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {224--230}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00034}, doi = {10.1109/ICFPT47387.2019.00034}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/XieQYW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/YamashitaTN19, author = {Ryota Yamashita and Daichi Teruya and Hironori Nakajo}, title = {Parallelization of Recursive Function in Ruby-Based High-Level Synthesis}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {407--410}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00079}, doi = {10.1109/ICFPT47387.2019.00079}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/YamashitaTN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Yang0GZ19, author = {Yang Yang and Chao Wang and Lei Gong and Xuehai Zhou}, title = {FPNet: Customized Convolutional Neural Network for {FPGA} Platforms}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {399--402}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00077}, doi = {10.1109/ICFPT47387.2019.00077}, timestamp = {Wed, 18 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/Yang0GZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/Yang0ZZZW19, author = {Moucheng Yang and Tao Chen and Xuegong Zhou and Liang Zhao and Yunping Zhu and Lingli Wang}, title = {A Complete {CPU-FPGA} Architecture for Protein Identification with Tandem Mass Spectrometry}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {295--298}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00051}, doi = {10.1109/ICFPT47387.2019.00051}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/Yang0ZZZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/YangLSDMLW19, author = {Anrong Yang and Yuanhui Li and Hongqiao Shu and Jianlin Deng and Chuanzhao Ma and Zheng Li and Qigang Wang}, title = {An OpenCL-Based {FPGA} Accelerator for Compressed YOLOv2}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {235--238}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00036}, doi = {10.1109/ICFPT47387.2019.00036}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/YangLSDMLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/YeoHHLDB19, author = {Andrew Yeo and Damon Hill and Anzhen Huang and Xueao Liu and Guanchen Dong and Donald Bailey}, title = {Image Processing and Vehicles - Using {FPGA} to Reduce Latency of Time Critical Tasks}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {476--479}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00097}, doi = {10.1109/ICFPT47387.2019.00097}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/YeoHHLDB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ZhaoA019, author = {Shirui Zhao and Fengwei An and Hao Yu}, title = {A 307-fps 351.7-GOPs/W Deep Learning {FPGA} Accelerator for Real-Time Scene Text Recognition}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {263--266}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00043}, doi = {10.1109/ICFPT47387.2019.00043}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ZhaoA019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ZhaoGGLWMCC019, author = {Yiren Zhao and Xitong Gao and Xuan Guo and Junyi Liu and Erwei Wang and Robert D. Mullins and Peter Y. K. Cheung and George A. Constantinides and Cheng{-}Zhong Xu}, title = {Automatic Generation of Multi-Precision Multi-Arithmetic {CNN} Accelerators for FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {45--53}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00014}, doi = {10.1109/ICFPT47387.2019.00014}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/ZhaoGGLWMCC019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfpt/ZhengYHLJ19, author = {Yong Zheng and Haigang Yang and Zhihong Huang and Tianli Li and Yiping Jia}, title = {A High Energy-Efficiency FPGA-Based {LSTM} Accelerator Architecture Design by Structured Pruning and Normalized Linear Quantization}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, pages = {271--274}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICFPT47387.2019.00045}, doi = {10.1109/ICFPT47387.2019.00045}, timestamp = {Thu, 15 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icfpt/ZhengYHLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icfpt/2019, title = {International Conference on Field-Programmable Technology, {FPT} 2019, Tianjin, China, December 9-13, 2019}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/xpl/conhome/8961924/proceeding}, isbn = {978-1-7281-2943-3}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icfpt/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/0001K18, author = {Siddhartha and Nachiket Kapre}, title = {DaCO: {A} High-Performance Token Dataflow Coprocessor Overlay for FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {158--165}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00032}, doi = {10.1109/FPT.2018.00032}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/0001K18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/0003WBFBL18, author = {Siddhartha and Steven J. E. Wilton and David Boland and Barry Flower and Perry Blackmore and Philip H. W. Leong}, title = {Simultaneous Inference and Training Using On-FPGA Weight Perturbation Techniques}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {306--309}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00060}, doi = {10.1109/FPT.2018.00060}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/0003WBFBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/0007NSC18, author = {Tian Tan and Eriko Nurvitadhi and David Shih and Derek Chiou}, title = {Evaluating The Highly-Pipelined Intel Stratix 10 {FPGA} Architecture Using Open-Source Benchmarks}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {206--213}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00038}, doi = {10.1109/FPT.2018.00038}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/0007NSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Amano18, author = {Hideharu Amano}, title = {Accelerator-in-Switch: {A} Novel Cooperation Framework for FPGAs and GPUs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {22}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00010}, doi = {10.1109/FPT.2018.00010}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Amano18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AndoUOHUKIATM18, author = {Kota Ando and Kodai Ueyoshi and Yuka Oba and Kazutoshi Hirose and Ryota Uematsu and Takumi Kudo and Masayuki Ikebe and Tetsuya Asai and Shinya Takamaeda{-}Yamazaki and Masato Motomura}, title = {Dither {NN:} An Accurate Neural Network with Dithering for Low Bit-Precision Hardware}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {6--13}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00013}, doi = {10.1109/FPT.2018.00013}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AndoUOHUKIATM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AotoWN18, author = {Musashi Aoto and Yasutaka Wada and Yousuke Numata}, title = {Development of an {FPGA} Controlled "Mini-Car" Toward Autonomous Driving}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {400--402}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00084}, doi = {10.1109/FPT.2018.00084}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AotoWN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AshcraftG18, author = {Matthew B. Ashcraft and Jeffrey Goeders}, title = {Unified On-Chip Software and Hardware Debug for HLS-Accelerated Programs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {354--357}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00072}, doi = {10.1109/FPT.2018.00072}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AshcraftG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BaileyCM18, author = {Donald G. Bailey and Yuan Chang and Steven Le Moan}, title = {Lens Distortion Self-Calibration Using the Hough Transform}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {385--388}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00080}, doi = {10.1109/FPT.2018.00080}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BaileyCM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bingo18, author = {Hiroki Bingo}, title = {Development of a Control Target Recognition for Autonomous Vehicle Using {FPGA} with Python}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {419--420}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00089}, doi = {10.1109/FPT.2018.00089}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Bingo18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BlochwitzWBHGJP18, author = {Christopher Blochwitz and Julian Wolff and Mladen Berekovic and Dennis Heinrich and Sven Groppe and Jan Moritz Joseph and Thilo Pionteck}, title = {Hardware-Accelerated Index Construction for Semantic Web}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {278--281}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00053}, doi = {10.1109/FPT.2018.00053}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BlochwitzWBHGJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BoschTFVMJ0MAL18, author = {Jaume Bosch and Xubin Tan and Antonio Filgueras and Miquel Vidal and Marc Mateu and Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and Carlos {\'{A}}lvarez and Xavier Martorell and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta}, title = {Application Acceleration on FPGAs with OmpSs@FPGA}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {70--77}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00021}, doi = {10.1109/FPT.2018.00021}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BoschTFVMJ0MAL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CabalKK18, author = {Jakub Cabal and Luk{\'{a}}s Kekely and Jan Korenek}, title = {High-Speed Computation of {CRC} Codes for FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {234--237}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00042}, doi = {10.1109/FPT.2018.00042}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CabalKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenLA18, author = {Jing Chen and Xue Liu and Jason Helge Anderson}, title = {Software-Specified {FPGA} Accelerators for Elementary Functions}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {54--61}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00019}, doi = {10.1109/FPT.2018.00019}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChenLA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenSX18, author = {Qiangpu Chen and Minghua Shen and Nong Xiao}, title = {DP-Pack: Distributed Parallel Packing for FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {282--285}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00054}, doi = {10.1109/FPT.2018.00054}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenSX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DiamantopoulosH18, author = {Dionysios Diamantopoulos and Christoph Hagleitner}, title = {A System-Level Transprecision {FPGA} Accelerator for {BLSTM} Using On-chip Memory Reshaping}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {338--341}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00068}, doi = {10.1109/FPT.2018.00068}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DiamantopoulosH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DrewesJGBSP18, author = {Tobias Drewes and Jan Moritz Joseph and Bala Gurumurthy and David Broneske and Gunter Saake and Thilo Pionteck}, title = {Efficient Inter-Kernel Communication for OpenCL Database Operators on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {266--269}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00050}, doi = {10.1109/FPT.2018.00050}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DrewesJGBSP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/EchavarriaWT18, author = {Jorge Echavarria and Stefan Wildermann and J{\"{u}}rgen Teich}, title = {AConFPGA: {A} Multiple-Output Boolean Function Approximation {DSE} Technique Targeting FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {326--329}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00065}, doi = {10.1109/FPT.2018.00065}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/EchavarriaWT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FanLFNQLNL18, author = {Hongxiang Fan and Shuanglong Liu and Martin Ferianc and Ho{-}Cheung Ng and Zhiqiang Que and Shen Liu and Xinyu Niu and Wayne Luk}, title = {A Real-Time Object Detection Accelerator with Compressed SSDLite on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {14--21}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00014}, doi = {10.1109/FPT.2018.00014}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FanLFNQLNL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FangTWLXCSYSSW18, author = {Shaoxia Fang and Lu Tian and Junbin Wang and Shuang Liang and Dongliang Xie and Zhongmin Chen and Lingzhi Sui and Qian Yu and Xiaoming Sun and Yi Shan and Yu Wang}, title = {Real-Time Object Detection and Semantic Segmentation Hardware System with Deep Learning Networks}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {389--392}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00081}, doi = {10.1109/FPT.2018.00081}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FangTWLXCSYSSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FarahmandAKG18, author = {Farnoud Farahmand and Abubakr Abdulgadir and Jens{-}Peter Kaps and Kris Gaj}, title = {Face-off Between the {CAESAR} Lightweight Finalists: {ACORN} vs. Ascon}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {330--333}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00066}, doi = {10.1109/FPT.2018.00066}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FarahmandAKG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FarahmandSBG18, author = {Farnoud Farahmand and Malik Umar Sharif and Kevin Briggs and Kris Gaj}, title = {A High-Speed Constant-Time Hardware Implementation of NTRUEncrypt {SVES}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {190--197}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00036}, doi = {10.1109/FPT.2018.00036}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FarahmandSBG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FlemingT18, author = {Shane T. Fleming and David B. Thomas}, title = {Injecting {FPGA} Configuration Faults in Parallel}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {198--205}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00037}, doi = {10.1109/FPT.2018.00037}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FlemingT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FuYDCZ18, author = {Wenzhi Fu and Jianlei Yang and Pengcheng Dai and Yiran Chen and Weisheng Zhao}, title = {A Scalable Pipelined Dataflow Accelerator for Object Region Proposals on {FPGA} Platform}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {346--349}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00070}, doi = {10.1109/FPT.2018.00070}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FuYDCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GebaraMLC18, author = {Nadeen Gebara and Jiuxi Meng and Wayne Luk and Paolo Costa}, title = {Scheduling Algorithms for High Performance Network Switching on FPGAs: {A} Survey}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {166--173}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00033}, doi = {10.1109/FPT.2018.00033}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GebaraMLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GnadRKT18, author = {Dennis R. E. Gnad and Sascha Rapp and Jonas Krautter and Mehdi Baradaran Tahoori}, title = {Checking for Electrical Level Security Threats in Bitstreams for Multi-tenant FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {286--289}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00055}, doi = {10.1109/FPT.2018.00055}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GnadRKT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GradyA18, author = {Brett Grady and Jason Helge Anderson}, title = {Synthesizable Heterogeneous {FPGA} Fabrics}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {222--229}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00040}, doi = {10.1109/FPT.2018.00040}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GradyA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HaleH18, author = {Robert Hale and Brad L. Hutchings}, title = {Distributed-Memory Based {FPGA} Debug: Design Timing Impact}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {350--353}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00071}, doi = {10.1109/FPT.2018.00071}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HaleH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HategekimanaMPB18, author = {Festus Hategekimana and Joel Mandebi Mbongue and Md Jubaer Hossain Pantho and Christophe Bobda}, title = {Secure Hardware Kernels Execution in {CPU+FPGA} Heterogeneous Cloud}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {182--189}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00035}, doi = {10.1109/FPT.2018.00035}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HategekimanaMPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ItoIWNI18, author = {Toshitaka Ito and Yuri Itotani and Shin'ichi Wakabayashi and Shinobu Nagayama and Masato Inagi}, title = {A Nearest Neighbor Search Engine Using Distance-Based Hashing}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {150--157}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00031}, doi = {10.1109/FPT.2018.00031}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ItoIWNI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JingujiFSN18, author = {Akira Jinguji and Tomoya Fujii and Shimpei Sato and Hiroki Nakahara}, title = {An {FPGA} Realization of OpenPose Based on a Sparse Weight Convolutional Neural Network}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {310--313}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00061}, doi = {10.1109/FPT.2018.00061}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JingujiFSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KadomotoKFMMFSI18, author = {Junichiro Kadomoto and Toru Koizumi and Akifumi Fukuda and Reoma Matsuo and Susumu Mashimo and Akifumi Fujita and Ryota Shioya and Hidetsugu Irie and Shuichi Sakai}, title = {An Area-Efficient Out-of-Order Soft-Core Processor Without Register Renaming}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {374--377}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00077}, doi = {10.1109/FPT.2018.00077}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KadomotoKFMMFSI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KekelySFSKP18, author = {Luk{\'{a}}s Kekely and Martin Spinler and Step{\'{a}}n Friedl and Jiri Sikora and Jan Korenek and Viktor Pus}, title = {Demonstration of Full-Duplex Packet Transfers Over {PCI} Express with Sustained 200 Gbps Throughput}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {381--384}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00079}, doi = {10.1109/FPT.2018.00079}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KekelySFSKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KimLA18, author = {Jin Hee Kim and Jongeun Lee and Jason Helge Anderson}, title = {{FPGA} Architecture Enhancements for Efficient {BNN} Implementation}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {214--221}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00039}, doi = {10.1109/FPT.2018.00039}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KimLA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KojimaN18, author = {Akira Kojima and Yohei Nose}, title = {Development of an Autonomous Driving Robot Car Using {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {411--414}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00087}, doi = {10.1109/FPT.2018.00087}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KojimaN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KrohD18, author = {Alexander Kroh and Oliver Diessel}, title = {A Short-Transfer Model for Tightly-Coupled {CPU-FPGA} Platforms}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {366--369}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00075}, doi = {10.1109/FPT.2018.00075}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KrohD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KudoTTSI18, author = {Yuya Kudo and Atsushi Takada and Soji Tsuda and Takumi Sakai and Tomonori Izumi}, title = {A Platform on All-Programmable SoC for Micro Autonomous Robots}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {403--406}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00085}, doi = {10.1109/FPT.2018.00085}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KudoTTSI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KwanCTLX18, author = {Bowen P. Y. Kwan and Gary C. T. Chow and Tim Todman and Wayne Luk and Wenguang Xu}, title = {Lossy Multiport Memory}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {250--253}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00046}, doi = {10.1109/FPT.2018.00046}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KwanCTLX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiFTC18, author = {Qiang Li and Shane T. Fleming and David B. Thomas and Peter Y. K. Cheung}, title = {Accelerating Top-k ListNet Training for Ranking Using {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {242--245}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00044}, doi = {10.1109/FPT.2018.00044}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiFTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuL18, author = {Jia Liu and Qiang Liu}, title = {Speed and Resource Optimization of {BFGS} Quasi-Newton Implementation on {FPGA} Using Inexact Line Search Method for Neural Network Training}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {362--365}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00074}, doi = {10.1109/FPT.2018.00074}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuZFNMQNL18, author = {Shuanglong Liu and Chenglong Zeng and Hongxiang Fan and Ho{-}Cheung Ng and Jiuxi Meng and Zhiqiang Que and Xinyu Niu and Wayne Luk}, title = {Memory-Efficient Architecture for Accelerating Generative Networks on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {30--37}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00016}, doi = {10.1109/FPT.2018.00016}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuZFNMQNL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ManevK18, author = {Kristiyan Manev and Dirk Koch}, title = {Large Utility Sorting on FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {334--337}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00067}, doi = {10.1109/FPT.2018.00067}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ManevK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MartyYD18, author = {Thibaut Marty and Tomofumi Yuki and Steven Derrien}, title = {Enabling Overclocking Through Algorithm-Level Error Detection}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {174--181}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00034}, doi = {10.1109/FPT.2018.00034}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MartyYD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MitsuzukaTM18, author = {Koya Mitsuzuka and Yuta Tokusashi and Hiroki Matsutani}, title = {MultiMQC: {A} Multilevel Message Queuing Cache Combining In-NIC and In-Kernel Memories}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {134--141}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00029}, doi = {10.1109/FPT.2018.00029}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MitsuzukaTM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Miyata18, author = {Hiroshi Miyata}, title = {Digital Transformation of Automobile and Mobility Service}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00012}, doi = {10.1109/FPT.2018.00012}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Miyata18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MondigoST18, author = {Antoniette Mondigo and Kentaro Sano and Hiroyuki Takizawa}, title = {Enhancing Memory Bandwidth in a Single Stream Computation with Multiple FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {378--380}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00078}, doi = {10.1109/FPT.2018.00078}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MondigoST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MorcosSEK18, author = {Benjamin Morcos and Terrence C. Stewart and Chris Eliasmith and Nachiket Kapre}, title = {Implementing {NEF} Neural Networks on Embedded FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {22--29}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00015}, doi = {10.1109/FPT.2018.00015}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MorcosSEK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MoriSN18, author = {Kyosuke Mori and Yuuki Saitoh and Naohito Nakasato}, title = {Introduction of MNSTbot}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {397--399}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00083}, doi = {10.1109/FPT.2018.00083}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MoriSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MurrayB18, author = {Kevin E. Murray and Vaughn Betz}, title = {Tatum: Parallel Timing Analysis for Faster Design Cycles and Improved Optimization}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {110--117}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00026}, doi = {10.1109/FPT.2018.00026}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MurrayB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NakaharaSS18, author = {Hiroki Nakahara and Masayuki Shimoda and Shimpei Sato}, title = {A Tri-State Weight Convolutional Neural Network for an {FPGA:} Applied to YOLOv2 Object Detector}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {298--301}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00058}, doi = {10.1109/FPT.2018.00058}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NakaharaSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NakasatoDI18, author = {Naohito Nakasato and Hiroshi Daisaka and Tadashi Ishikawa}, title = {High Performance High-Precision Floating-Point Operations on FPGAs Using OpenCL}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {262--265}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00049}, doi = {10.1109/FPT.2018.00049}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NakasatoDI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NittaTT18, author = {Yasuhiro Nitta and Sou Tamura and Hideki Takase}, title = {A Study on Introducing {FPGA} to {ROS} Based Autonomous Driving System}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {421--424}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00090}, doi = {10.1109/FPT.2018.00090}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NittaTT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NiuA18, author = {Kuang Ping Niu and Jason Helge Anderson}, title = {Compact Area and Performance Modelling for {CGRA} Architecture Evaluation}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {126--133}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00028}, doi = {10.1109/FPT.2018.00028}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NiuA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NoronhaGSW18, author = {Daniel Holanda Noronha and Kahlan Gibson and Bahar Salehpour and Steven J. E. Wilton}, title = {LeFlow: Automatic Compilation of TensorFlow Machine Learning Applications to FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {393--396}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00082}, doi = {10.1109/FPT.2018.00082}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NoronhaGSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OmidianIL18, author = {Hossein Omidian and Nick Ivanov and Guy G. F. Lemieux}, title = {An Accelerated OpenVX Overlay for Pure Software Programmers}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {290--293}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00056}, doi = {10.1109/FPT.2018.00056}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/OmidianIL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PanthoMBA18, author = {Md Jubaer Hossain Pantho and Joel Mandebi Mbongue and Christophe Bobda and David Andrews}, title = {Transparent Acceleration of Image Processing Kernels on FPGA-Attached Hybrid Memory Cube Computers}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {342--345}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00069}, doi = {10.1109/FPT.2018.00069}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/PanthoMBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PapaphilippouBL18, author = {Philippos Papaphilippou and Chris Brooks and Wayne Luk}, title = {FLiMS: Fast Lightweight Merge Sorter}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {78--85}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00022}, doi = {10.1109/FPT.2018.00022}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PapaphilippouBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PerinaB18, author = {Andr{\'{e}} Bannwart Perina and Vanderlei Bonato}, title = {Mapping Estimator for OpenCL Heterogeneous Accelerators}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {294--297}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00057}, doi = {10.1109/FPT.2018.00057}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PerinaB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PissadakisASTKP18, author = {Emmanouil Pissadakis and Nikolaos Alachiotis and Panagiotis Skrimponis and Dimitris Theodoropoulos and Thanasis Korakis and Dionisios N. Pnevmatikatos}, title = {ReFiRe: Efficient Deployment of Remote Fine-Grained Reconfigurable Accelerators}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {322--325}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00064}, doi = {10.1109/FPT.2018.00064}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/PissadakisASTKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/QiuLXYW18, author = {Yunhui Qiu and Hankun Lv and Jinyu Xie and Wenbo Yin and Lingli Wang}, title = {Ultra-Low-Latency and Flexible In-memory Key-Value Store System Design on {CPU-FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {142--149}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00030}, doi = {10.1109/FPT.2018.00030}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/QiuLXYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RahnamaCGWT18, author = {Oscar Rahnama and Tommaso Cavallari and Stuart Golodetz and Simon Walker and Philip H. S. Torr}, title = {{R3SGM:} Real-Time Raster-Respecting Semi-Global Matching for Power-Constrained Systems}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {102--109}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00025}, doi = {10.1109/FPT.2018.00025}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/RahnamaCGWT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RavishankarFG18, author = {Chirag Ravishankar and Henri Fraisse and Dinesh Gaitonde}, title = {{SAT} Based Place-And-Route for High-Speed Designs on 2.5D FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {118--125}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00027}, doi = {10.1109/FPT.2018.00027}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/RavishankarFG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RosaBB18, author = {Leandro de Souza Rosa and Vanderlei Bonato and Christos{-}Savvas Bouganis}, title = {Scaling Up Loop Pipelining for High-Level Synthesis: {A} Non-iterative Approach}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {62--69}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00020}, doi = {10.1109/FPT.2018.00020}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/RosaBB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SahooNV018, author = {Siva Satyendra Sahoo and Tuan D. A. Nguyen and Bharadwaj Veeravalli and Akash Kumar}, title = {QoS-Aware Cross-Layer Reliability-Integrated FPGA-Based Dynamic Partially Reconfigurable System Partitioning}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {230--233}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00041}, doi = {10.1109/FPT.2018.00041}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SahooNV018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SaitohK18, author = {Makoto Saitoh and Kenji Kise}, title = {Very Massive Hardware Merge Sorter}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {86--93}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00023}, doi = {10.1109/FPT.2018.00023}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SaitohK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SanaullahPH18, author = {Ahmed Sanaullah and Rushi Patel and Martin C. Herbordt}, title = {An Empirically Guided Optimization Framework for {FPGA} OpenCL}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {46--53}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00018}, doi = {10.1109/FPT.2018.00018}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SanaullahPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SherwinSTWS18, author = {Krystine Dawn Sherwin and Ben Stappers and Prabu Thiagaraj and Kevin I{-}Kai Wang and Oliver Sinnen}, title = {Investigating How Hardware Architectures are Expressed in High-Level Languages for an {SKA} Algorithm}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {302--305}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00059}, doi = {10.1109/FPT.2018.00059}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SherwinSTWS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShimmyoAMSOY18, author = {Yohei Shimmyo and Maiko Arakawa and Shunsuke Mie and Hiroaki Saito and Yuichi Okuyama and Hiroki Yomogita}, title = {Implementation of an Autonomous Driving System for {FPT2018} {FPGA} Design Competition Using the Zynqberry Processing Board}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {407--410}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00086}, doi = {10.1109/FPT.2018.00086}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ShimmyoAMSOY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Strickland18, author = {Mike Strickland}, title = {{FPGA} Accelerated {HPC} and Data Analytics}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {21}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00009}, doi = {10.1109/FPT.2018.00009}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Strickland18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TajiriM18, author = {Kento Tajiri and Tsutomu Maruyama}, title = {{FPGA} Acceleration of a Supervised Learning Method for Hyperspectral Image Classification}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {270--273}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00051}, doi = {10.1109/FPT.2018.00051}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TajiriM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TranPLWJ18, author = {Phong Tran and Thinh Hung Pham and Siew Kei Lam and Meiqing Wu and Bhavan A. Jasani}, title = {Stream-Based {ORB} Feature Extractor with Dynamic Power Optimization}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {94--101}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00024}, doi = {10.1109/FPT.2018.00024}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TranPLWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VaishnavPK18, author = {Anuj Vaishnav and Khoa Dang Pham and Dirk Koch}, title = {Live Migration for OpenCL {FPGA} Accelerators}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {38--45}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00017}, doi = {10.1109/FPT.2018.00017}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/VaishnavPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Vissers18, author = {Kees A. Vissers}, title = {Novel Neural Network Applications on New Python Enabled Platforms}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {23}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00011}, doi = {10.1109/FPT.2018.00011}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Vissers18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WakatsukiKASOYO18, author = {Hiromichi Wakatsuki and Takao Kido and Kenta Arai and Yuhei Sugata and Kanemitsu Ootsu and Takashi Yokota and Takeshi Ohkawa}, title = {Development of a Robot Car by Single Line Search Method for White Line Detection with {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {415--418}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00088}, doi = {10.1109/FPT.2018.00088}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WakatsukiKASOYO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangSTS18, author = {Haomiao Wang and Ben Stappers and Prabu Thiagaraj and Oliver Sinnen}, title = {Optimisation of Convolution of Multiple Different Sized Filters in {SKA} Pulsar Search Engine}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {358--361}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00073}, doi = {10.1109/FPT.2018.00073}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangSTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WeiHA18, author = {Kaijie Wei and Koki Honda and Hideharu Amano}, title = {{FPGA} Design for Autonomous Vehicle Driving Using Binarized Neural Networks}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {425--428}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00091}, doi = {10.1109/FPT.2018.00091}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WeiHA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XieCXML18, author = {Yu Xie and He Chen and Yizhuang Xie and Chuang{-}An Mao and Bingyi Li}, title = {An Automated FPGA-Based Fault Injection Platform for Granularly-Pipelined Fault Tolerant {CORDIC}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {370--373}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00076}, doi = {10.1109/FPT.2018.00076}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/XieCXML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XieFCW18, author = {Liang Xie and Xitian Fan and Wei Cao and Lingli Wang}, title = {High Throughput {CNN} Accelerator Design Based on {FPGA}}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {274--277}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00052}, doi = {10.1109/FPT.2018.00052}, timestamp = {Tue, 11 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/XieFCW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XuL18, author = {Xianchao Xu and Brian Liu}, title = {{FCLNN:} {A} Flexible Framework for Fast {CNN} Prototyping on {FPGA} with OpenCL and Caffe}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {238--241}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00043}, doi = {10.1109/FPT.2018.00043}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/XuL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YamazakiM18, author = {Takuya Yamazaki and Tsutomu Maruyama}, title = {An {FPGA} Implementation of Robust Matting}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {254--257}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00047}, doi = {10.1109/FPT.2018.00047}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YamazakiM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YasudoCVLAB18, author = {Ryota Yasudo and Jos{\'{e}} Gabriel F. Coutinho and Ana Lucia Varbanescu and Wayne Luk and Hideharu Amano and Tobias Becker}, title = {Performance Estimation for Exascale Reconfigurable Dataflow Platforms}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {314--317}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00062}, doi = {10.1109/FPT.2018.00062}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YasudoCVLAB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YazdanshenasB18, author = {Sadegh Yazdanshenas and Vaughn Betz}, title = {Improving Confidentiality in Virtualized FPGAs}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {258--261}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00048}, doi = {10.1109/FPT.2018.00048}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YazdanshenasB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YuFSGMT18, author = {Teng Yu and Bo Feng and Mark Stillwell and Liucheng Guo and Yuchun Ma and John Thomson}, title = {Lattice-Based Scheduling for Multi-FPGA Systems}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {318--321}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00063}, doi = {10.1109/FPT.2018.00063}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YuFSGMT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZouL18, author = {Yu Zou and Mingjie Lin}, title = {GridGAS: An I/O-Efficient Heterogeneous {FPGA+CPU} Computing Platform for Very Large-Scale Graph Analytics}, booktitle = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, pages = {246--249}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/FPT.2018.00045}, doi = {10.1109/FPT.2018.00045}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZouL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2018, title = {International Conference on Field-Programmable Technology, {FPT} 2018, Naha, Okinawa, Japan, December 10-14, 2018}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8698532/proceeding}, isbn = {978-1-7281-0214-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/0001HAIKS17, author = {Qian Zhao and Hendarmawan and Motoki Amagasaki and Masahiro Iida and Morihiro Kuga and Toshinori Sueyoshi}, title = {hCODE 2.0: An open-source toolkit for building efficient FPGA-enabled clouds}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {267--270}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280157}, doi = {10.1109/FPT.2017.8280157}, timestamp = {Mon, 17 Feb 2020 13:32:07 +0100}, biburl = {https://dblp.org/rec/conf/fpt/0001HAIKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/0002BSSCV17, author = {Amit Kulkarni and Poona Bahrebar and Dirk Stroobandt and Giulio Stramondo and Catalin Bogdan Ciobanu and Ana Lucia Varbanescu}, title = {A NoC-based custom {FPGA} configuration memory architecture for ultra-fast micro-reconfiguration}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {203--206}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280141}, doi = {10.1109/FPT.2017.8280141}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/0002BSSCV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AngBD17, author = {Andrew Ang and Matt Bourne and Robin Dykstra}, title = {An open source PXIe ecosystem based on {FPGA} modules}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {219--222}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280145}, doi = {10.1109/FPT.2017.8280145}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AngBD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AssadikhomamiOA17, author = {Shadi Assadikhomami and Jennifer Ongko and Tor M. Aamodt}, title = {A state machine block for high-level synthesis}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {80--87}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280124}, doi = {10.1109/FPT.2017.8280124}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AssadikhomamiOA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bailey17, author = {Donald G. Bailey}, title = {Hough transform line reconstruction on {FPGA} using back-projection}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {283--286}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280161}, doi = {10.1109/FPT.2017.8280161}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/Bailey17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChengHW17, author = {Shaoyi Cheng and Qijing Huang and John Wawrzynek}, title = {Synthesis of program binaries into {FPGA} accelerators with runtime dependence validation}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {96--103}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280126}, doi = {10.1109/FPT.2017.8280126}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChengHW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ColombierBMH17, author = {Brice Colombier and Lilian Bossuet and Ugo Mureddu and David H{\'{e}}ly}, title = {A comprehensive hardware/software infrastructure for {IP} cores design protection}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {263--266}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280156}, doi = {10.1109/FPT.2017.8280156}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ColombierBMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CuiLZL17, author = {Ke Cui and Zongkai Liu and Rihong Zhu and Xiangyu Li}, title = {FPGA-based high-performance time-to-digital converters by utilizing multi-channels looped carry chains}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {223--226}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280146}, doi = {10.1109/FPT.2017.8280146}, timestamp = {Fri, 30 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CuiLZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DHollanderCB17, author = {Erik H. D'Hollander and Bruno Chevalier and Koen De Bosschere}, title = {Calling hardware procedures in a reconfigurable accelerator using {RPC-FPGA}}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {271--274}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280158}, doi = {10.1109/FPT.2017.8280158}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/DHollanderCB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DiCeccoSC17, author = {Roberto DiCecco and Lin Sun and Paul Chow}, title = {FPGA-based training of convolutional neural networks with a reduced precision floating-point library}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {239--242}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280150}, doi = {10.1109/FPT.2017.8280150}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/DiCeccoSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DiehlAKG17, author = {William Diehl and Abubakr Abdulgadir and Jens{-}Peter Kaps and Kris Gaj}, title = {Comparing the cost of protecting selected lightweight block ciphers against differential power analysis in low-cost FPGAs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {128--135}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280130}, doi = {10.1109/FPT.2017.8280130}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DiehlAKG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FangZYL17, author = {Weikang Fang and Yanjun Zhang and Bo Yu and Shaoshan Liu}, title = {FPGA-based {ORB} feature extraction for real-time visual {SLAM}}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {275--278}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280159}, doi = {10.1109/FPT.2017.8280159}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FangZYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GeethakumariGST17, author = {Prajith Ramakrishnan Geethakumari and Vincenzo Gulisano and Bo Joel Svensson and Pedro Trancoso and Ioannis Sourdis}, title = {Single window stream aggregation using reconfigurable hardware}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {112--119}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280128}, doi = {10.1109/FPT.2017.8280128}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GeethakumariGST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HoKP17, author = {Nam Ho and Paul Kaufmann and Marco Platzner}, title = {Evolvable caches: Optimization of reconfigurable cache mappings for a LEON3/Linux-based multi-core processor}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {215--218}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280144}, doi = {10.1109/FPT.2017.8280144}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HoKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HoS17, author = {Sam M. H. Ho and Hayden Kwok{-}Hay So}, title = {NnCore: {A} parameterized non-linear function generator for machine learning applications in FPGAs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {160--167}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280134}, doi = {10.1109/FPT.2017.8280134}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HoS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HomsirikamolG17, author = {Ekawat Homsirikamol and Kris Gaj}, title = {Toward a new HLS-based methodology for {FPGA} benchmarking of candidates in cryptographic competitions: The {CAESAR} contest case study}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {120--127}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280129}, doi = {10.1109/FPT.2017.8280129}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HomsirikamolG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HomulleC17, author = {Harald Homulle and Edoardo Charbon}, title = {Performance characterization of Altera and Xilinx 28 nm FPGAs at cryogenic temperatures}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {25--31}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280117}, doi = {10.1109/FPT.2017.8280117}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HomulleC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JarvisG17, author = {Brian Jarvis and Kris Gaj}, title = {Selection of an error-correcting code for FPGA-based physical unclonable functions}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {243--246}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280151}, doi = {10.1109/FPT.2017.8280151}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/JarvisG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JohnsonLMKTHTMH17, author = {Anju P. Johnson and Junxiu Liu and Alan G. Millard and Shvan Karim and Andy M. Tyrrell and Jim Harkin and Jon Timmis and Liam McDaid and David M. Halliday}, title = {Homeostatic fault tolerance in spiking neural networks utilizing dynamic partial reconfiguration of FPGAs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {195--198}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280139}, doi = {10.1109/FPT.2017.8280139}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JohnsonLMKTHTMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Kamp17, author = {William Kamp}, title = {{AXI} over Ethernet; a protocol for the monitoring and control of {FPGA} clusters}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {48--55}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280120}, doi = {10.1109/FPT.2017.8280120}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Kamp17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KatayamaMKSW17, author = {Kentaro Katayama and Hidetoshi Matsumura and Hiroaki Kameyama and Shinichi Sazawa and Yasuhiro Watanabe}, title = {An FPGA-accelerated high-throughput data optimization system for high-speed transfer via wide area network}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {211--214}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280143}, doi = {10.1109/FPT.2017.8280143}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KatayamaMKSW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KimMMSLC17, author = {Daewoo Kim and Mansureh S. Moghaddam and Hossein Moradian and Hyeon Uk Sim and Jongeun Lee and Kiyoung Choi}, title = {{FPGA} implementation of convolutional neural network based on stochastic computing}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {287--290}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280162}, doi = {10.1109/FPT.2017.8280162}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KimMMSLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LamBW17, author = {Siew{-}Kei Lam and Rakesh Kumar Bijarniya and Meiqing Wu}, title = {Lowering dynamic power in stream-based harris corner detection architecture}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {176--182}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280136}, doi = {10.1109/FPT.2017.8280136}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LamBW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiDWC17, author = {He Li and James J. Davis and John Wickerson and George A. Constantinides}, title = {architect: Arbitrary-precision constant-hardware iterative compute}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {73--79}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280123}, doi = {10.1109/FPT.2017.8280123}, timestamp = {Thu, 10 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiDWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiFXCC17, author = {Bingyi Li and Linlin Fang and Yizhuang Xie and He Chen and Liang Chen}, title = {A unified reconfigurable floating-point arithmetic architecture based on {CORDIC} algorithm}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {301--302}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280166}, doi = {10.1109/FPT.2017.8280166}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiFXCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiHFL17, author = {Weijia Li and Conghui He and Haohuan Fu and Wayne Luk}, title = {An FPGA-based tree crown detection approach for remote sensing images}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {231--234}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280148}, doi = {10.1109/FPT.2017.8280148}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiHFL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiZLC17, author = {Wei Li and Yangyang Zhao and Yuhang Liu and Mingyu Chen}, title = {{SMEFF:} {A} scalable memory extension fabric for {FPGA}}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {40--47}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280119}, doi = {10.1109/FPT.2017.8280119}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiZLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuDJ0C17, author = {Zhiqiang Liu and Yong Dou and Jingfei Jiang and Qiang Wang and Paul Chow}, title = {An FPGA-based processor for training convolutional neural networks}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {207--210}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280142}, doi = {10.1109/FPT.2017.8280142}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuDJ0C17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ManabeSO17, author = {Taito Manabe and Yuichiro Shibata and Kiyoshi Oguri}, title = {{FPGA} implementation of a real-time super-resolution system with a {CNN} based on a residue number system}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {299--300}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280165}, doi = {10.1109/FPT.2017.8280165}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ManabeSO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NakaharaYS17, author = {Hiroki Nakahara and Haruyoshi Yonekawa and Shimpei Sato}, title = {An object detector based on multiscale sliding window search using a fully pipelined binarized {CNN} on an {FPGA}}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {168--175}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280135}, doi = {10.1109/FPT.2017.8280135}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/NakaharaYS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OmidianL17, author = {Hossein Omidian and Guy G. F. Lemieux}, title = {Exploring automated space/time tradeoffs for OpenVX compute graphs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {152--159}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280133}, doi = {10.1109/FPT.2017.8280133}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/OmidianL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PengZH17, author = {Hai Peng and Xiaofan Zhang and Letian Huang}, title = {An energy efficient approach for {C4.5} algorithm using OpenCL design flow}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {144--151}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280132}, doi = {10.1109/FPT.2017.8280132}, timestamp = {Fri, 26 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PengZH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PodobasM17, author = {Artur Podobas and Satoshi Matsuoka}, title = {Designing and accelerating spiking neural networks using OpenCL for FPGAs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {255--258}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280154}, doi = {10.1109/FPT.2017.8280154}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/PodobasM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SalmanDK17, author = {Ahmad Salman and William Diehl and Jens{-}Peter Kaps}, title = {A light-weight hardware/software co-design for pairing-based cryptography with low power and energy consumption}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {235--238}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280149}, doi = {10.1109/FPT.2017.8280149}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SalmanDK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShimodaSN17, author = {Masayuki Shimoda and Shimpei Sato and Hiroki Nakahara}, title = {All binarized convolutional neural network and its implementation on an {FPGA}}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {291--294}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280163}, doi = {10.1109/FPT.2017.8280163}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ShimodaSN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SitKA17, author = {Mankit Sit and Ryosuke Kazami and Hideharu Amano}, title = {FPGA-based accelerator for losslessly quantized convolutional neural networks}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {295--298}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280164}, doi = {10.1109/FPT.2017.8280164}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SitKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SittelMKZPJ17, author = {Patrick Sittel and Konrad M{\"{o}}ller and Martin Kumm and Peter Zipf and Bogdan Pasca and Mark Jervis}, title = {Model-based hardware design based on compatible sets of isomorphic subgraphs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {199--202}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280140}, doi = {10.1109/FPT.2017.8280140}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SittelMKZPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SunLWAN17, author = {Rongdi Sun and Peilin Liu and Jun Wang and Cecil Accetti and Abid A. Naqvi}, title = {A 42fps full-HD {ORB} feature extraction accelerator with reduced memory overhead}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {183--190}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280137}, doi = {10.1109/FPT.2017.8280137}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SunLWAN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TharakaWPVP17, author = {P. M. K. Tharaka and D. M. D. Wijerathne and Navoda Perera and Dinushan Vishwajith and Ajith Pasqual}, title = {Runtime rule-reconfigurable high throughput {NIPS} on {FPGA}}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {251--254}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280153}, doi = {10.1109/FPT.2017.8280153}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TharakaWPVP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TsoeunyaneWI17, author = {Lekhobola J. Tsoeunyane and Simon Winberg and Michael Inggs}, title = {An {IP} core integration tool-flow for prototyping software-defined radios using static dataflow with access patterns}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {88--95}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280125}, doi = {10.1109/FPT.2017.8280125}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TsoeunyaneWI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TuricuCV17, author = {Dan Cristian Turicu and Octavian Cret and Lucia Vacariu}, title = {High performance serial {ATA} Gen3 controllers on {FPGA} devices}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {32--39}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280118}, doi = {10.1109/FPT.2017.8280118}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TuricuCV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VercruyceVS17, author = {Dries Vercruyce and Elias Vansteenkiste and Dirk Stroobandt}, title = {Liquid: High quality scalable placement for large heterogeneous FPGAs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {17--24}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280116}, doi = {10.1109/FPT.2017.8280116}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/VercruyceVS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangXJ17, author = {Dong Wang and Ke Xu and Diankun Jiang}, title = {PipeCNN: An OpenCL-based open-source {FPGA} accelerator for convolution neural networks}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {279--282}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280160}, doi = {10.1109/FPT.2017.8280160}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangXJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WaslyPK17, author = {Saud Wasly and Rodolfo Pellizzoni and Nachiket Kapre}, title = {HopliteRT: An efficient {FPGA} NoC for real-time applications}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {64--71}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280122}, doi = {10.1109/FPT.2017.8280122}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WaslyPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WatanabeKTHN17, author = {Yoshikazu Watanabe and Yuki Kobayashi and Takashi Takenaka and Takeo Hosomi and Yuichi Nakamura}, title = {Accelerating {NFV} application using {CPU-FPGA} tightly coupled architecture}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {136--143}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280131}, doi = {10.1109/FPT.2017.8280131}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WatanabeKTHN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WintersteinC17, author = {Felix Winterstein and George A. Constantinides}, title = {Pass a pointer: Exploring shared virtual memory abstractions in OpenCL tools for FPGAs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {104--111}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280127}, doi = {10.1109/FPT.2017.8280127}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WintersteinC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WongSWS17, author = {Justin S. J. Wong and Runbin Shi and Maolin Wang and Hayden Kwok{-}Hay So}, title = {Ultra-low latency continuous block-parallel stream windowing using {FPGA} on-chip memory}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {56--63}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280121}, doi = {10.1109/FPT.2017.8280121}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WongSWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangJLZWW17, author = {Moucheng Yang and Jifang Jin and Zhehao Li and Xuegong Zhou and Shaojun Wang and Lingli Wang}, title = {A scalable hybrid architecture for high performance data-parallel applications}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {191--194}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280138}, doi = {10.1109/FPT.2017.8280138}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YangJLZWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YazdanshenasB17, author = {Sadegh Yazdanshenas and Vaughn Betz}, title = {Automatic circuit design and modelling for heterogeneous FPGAs}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {9--16}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280115}, doi = {10.1109/FPT.2017.8280115}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YazdanshenasB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YingerNCLMSMS17, author = {Jack Yinger and Eriko Nurvitadhi and Davor Capalija and Andrew C. Ling and Debbie Marr and Krishnan Srivatsan and Duncan J. M. Moss and Suchit Subhaschandra}, title = {Customizable {FPGA} OpenCL matrix multiply design template for deep neural networks}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {259--262}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280155}, doi = {10.1109/FPT.2017.8280155}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YingerNCLMSMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YuHNQG0Y17, author = {Jincheng Yu and Yiming Hu and Xuefei Ning and Jiantao Qiu and Kaiyuan Guo and Yu Wang and Huazhong Yang}, title = {Instruction driven cross-layer {CNN} accelerator with winograd transformation on {FPGA}}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {227--230}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280147}, doi = {10.1109/FPT.2017.8280147}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YuHNQG0Y17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YuanWZXH17, author = {Junqi Yuan and Lingli Wang and Xuegong Zhou and Yinshui Xia and Jianping Hu}, title = {{RBSA:} Range-based simulated annealing for {FPGA} placement}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280114}, doi = {10.1109/FPT.2017.8280114}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YuanWZXH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhaoLWY17, author = {Baofu Zhao and Yubin Li and Yu Wang and Huazhong Yang}, title = {Streaming sorting network based {BWT} acceleration on {FPGA} for lossless compression}, booktitle = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, pages = {247--250}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FPT.2017.8280152}, doi = {10.1109/FPT.2017.8280152}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ZhaoLWY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2017, title = {International Conference on Field Programmable Technology, {FPT} 2017, Melbourne, Australia, December 11-13, 2017}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/conhome/8269417/proceeding}, isbn = {978-1-5386-2656-6}, timestamp = {Mon, 17 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Anderson16, author = {Jason Helge Anderson}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {High-level synthesis - the right side of history}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929177}, doi = {10.1109/FPT.2016.7929177}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/Anderson16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AndoMOA16, author = {Naoki Ando and Koichiro Masuyama and Hayate Okuhara and Hideharu Amano}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Variable pipeline structure for Coarse Grained Reconfigurable Array {CMA}}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {217--220}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929537}, doi = {10.1109/FPT.2016.7929537}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AndoMOA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AraiWNI16, author = {Yuto Arai and Shin'ichi Wakabayashi and Shinobu Nagayama and Masato Inagi}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {An efficient {FPGA} implementation of Mahalanobis distance-based outlier detection for streaming data}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {257--260}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929547}, doi = {10.1109/FPT.2016.7929547}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AraiWNI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AsgharIAAPR16, author = {Ali Asghar and Muhammad Mazher Iqbal and Waqar Ahmed and Mujahid Ali and Husain Parvez and Muhammad Rashid}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Exploring shared {SRAM} tables among {NPN} equivalent large LUTs in SRAM-based FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {229--232}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929540}, doi = {10.1109/FPT.2016.7929540}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AsgharIAAPR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bailey16, author = {Donald G. Bailey}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Identification of Trax threats using pattern matching}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {319--322}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929563}, doi = {10.1109/FPT.2016.7929563}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Bailey16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CekanPK16, author = {Ondrej Cekan and Jakub Podivinsky and Zdenek Kot{\'{a}}sek}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Random stimuli generation based on a stochastic context-free grammar}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {295--296}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929558}, doi = {10.1109/FPT.2016.7929558}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CekanPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenGWG16, author = {Xinyu Chen and Yong Gu and Chenxu Wang and Xuguang Guan}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Asymmetric multiprocessing for motion control based on Zynq SoC}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {315--318}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929570}, doi = {10.1109/FPT.2016.7929570}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenGWG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenZM16, author = {Junying Chen and Shunfeng Zhou and Huaqing Min}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Implementation of parallel medical ultrasound imaging algorithm on CAPI-enabled {FPGA}}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {311--314}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929569}, doi = {10.1109/FPT.2016.7929569}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenZM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChethanAK16, author = {Kumar H. B. Chethan and Shubham Agarwal and Nachiket Kapre}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Deflection routing for multi-level {FPGA} overlay NoCs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {149--156}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929521}, doi = {10.1109/FPT.2016.7929521}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChethanAK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DiCeccoLVCTA16, author = {Roberto DiCecco and Griffin Lacey and Jasmina Vasiljevic and Paul Chow and Graham W. Taylor and Shawki Areibi}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Caffeinated FPGAs: {FPGA} framework For Convolutional Neural Networks}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {265--268}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929549}, doi = {10.1109/FPT.2016.7929549}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DiCeccoLVCTA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DingKYW16, author = {Li Ding and Ping Kang and Wenbo Yin and Linli Wang}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Hardware {TCP} Offload Engine based on 10-Gbps Ethernet for low-latency network communication}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {269--272}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929550}, doi = {10.1109/FPT.2016.7929550}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DingKYW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/EchavarriaWBTZ16, author = {Jorge Echavarria and Stefan Wildermann and Andreas Becher and J{\"{u}}rgen Teich and Daniel Ziener}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {{FAU:} Fast and error-optimized approximate adder units on LUT-Based FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {213--216}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929536}, doi = {10.1109/FPT.2016.7929536}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/EchavarriaWBTZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/EscobedoL16, author = {Juan Escobedo and Mingjie Lin}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Tessellation-based multi-block memory mapping scheme for high-level synthesis with {FPGA}}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {125--132}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929517}, doi = {10.1109/FPT.2016.7929517}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/EscobedoL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FoxTJL16, author = {Sean Fox and Stephen Tridgell and Craig T. Jin and Philip Heng Wai Leong}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Random projections for scaling machine learning on FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {85--92}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929193}, doi = {10.1109/FPT.2016.7929193}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FoxTJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GautierAMK16, author = {Quentin Gautier and Alric Althoff and Pingfan Meng and Ryan Kastner}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Spector: An OpenCL {FPGA} benchmark suite}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {141--148}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929519}, doi = {10.1109/FPT.2016.7929519}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GautierAMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GnadOKT16, author = {Dennis R. E. Gnad and Fabian Oboril and Saman Kiamehr and Mehdi Baradaran Tahoori}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Analysis of transient voltage fluctuations in FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {12--19}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929182}, doi = {10.1109/FPT.2016.7929182}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GnadOKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GongWNAZCD16, author = {Lingkan Gong and Tong Wu and Nguyen T. H. Nguyen and Dimitris Agiakatsikas and Zhuoran Zhao and Ediz Cetin and Oliver Diessel}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {A Programmable Configuration Controller for fault-tolerant applications}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {117--124}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929515}, doi = {10.1109/FPT.2016.7929515}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GongWNAZCD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GuhaWIBS16, author = {Sumedh Guha and Wen Wang and Shafeeq Ibraheem and Mahesh Balakrishnan and Jakub Szefer}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Design and implementation of open-source {SATA} {III} core for Stratix {V} FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {237--240}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929542}, doi = {10.1109/FPT.2016.7929542}, timestamp = {Thu, 11 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GuhaWIBS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HeXZGHZRLW16, author = {Zhenxue He and Limin Xiao and Longbing Zhang and Fei Gu and Zhisheng Huo and Mingfa Zhu and Li Ruan and Rui Liu and Xiang Wang}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {EMA-FPRMs: An efficient minimization algorithm for fixed polarity Reed-Muller expressions}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {253--256}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929546}, doi = {10.1109/FPT.2016.7929546}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HeXZGHZRLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JingLZJSHM16, author = {Naifeng Jing and Taozhong Li and Zhongyuan Zhao and Wei Jin and Yanan Sun and Weifeng He and Zhigang Mao}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Enabling in-situ logic-in-memory capability using resistive-RAM crossbar memory}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {233--236}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929541}, doi = {10.1109/FPT.2016.7929541}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/JingLZJSHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JosipovicGI16, author = {Lana Josipovic and Nithin George and Paolo Ienne}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Enriching C-based High-Level Synthesis with parallel pattern templates}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {177--180}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929527}, doi = {10.1109/FPT.2016.7929527}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/JosipovicGI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KadiH16, author = {Muhammed Al Kadi and Michael H{\"{u}}bner}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Integer computations with soft {GPGPU} on FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {28--35}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929185}, doi = {10.1109/FPT.2016.7929185}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KadiH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KalmsEJ16, author = {Lester Kalms and Ahmed Elhossini and Ben H. H. Juurlink}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {{FPGA} based hardware accelerator for {KAZE} feature extraction algorithm}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {281--284}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929553}, doi = {10.1109/FPT.2016.7929553}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KalmsEJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KhalidHRO16, author = {Ayesha Khalid and James Howe and Ciara Rafferty and M{\'{a}}ire O'Neill}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Time-independent discrete Gaussian sampling for post-quantum cryptography}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {241--244}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929543}, doi = {10.1109/FPT.2016.7929543}, timestamp = {Fri, 14 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KhalidHRO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Kojima16, author = {Akira Kojima}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Trax player implementation on {FPGA} using high level synthesis tool}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {327--330}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929565}, doi = {10.1109/FPT.2016.7929565}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Kojima16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KrcmaKL16, author = {Martin Krcma and Zdenek Kot{\'{a}}sek and Jakub Lojda}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Implementation of fault tolerant techniques into FPNNs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {297--298}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929559}, doi = {10.1109/FPT.2016.7929559}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KrcmaKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiJWYL16, author = {Zhehao Li and Jifang Jin and Lingli Wang and Ji Yang and Jiahua Lu}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {A moving object extraction and classification system based on Zynq and {IBM} SuperVessel}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {307--310}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929568}, doi = {10.1109/FPT.2016.7929568}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiJWYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiY16, author = {Lin Li and Quansheng Yang}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {{SMCFA:} {A} Zynq-based stacked multi {CPU-FPGA} architecture}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {303--306}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929566}, doi = {10.1109/FPT.2016.7929566}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiZWHCLM16, author = {Mengjun Li and Yongxin Zhu and Xu Wang and Tian Huang and Weida Chen and Bin Liu and Yishu Mao}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Evaluation of variable precision computing with variable precision {FFT} implementation on {FPGA}}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {299--300}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929560}, doi = {10.1109/FPT.2016.7929560}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiZWHCLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiebigK16, author = {Bj{\"{o}}rn Liebig and Andreas Koch}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {High-level synthesis of resource-shared microarchitectures from irregular complex C-code}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {133--140}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929518}, doi = {10.1109/FPT.2016.7929518}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiebigK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LimaCFR16, author = {Ot{\'{a}}vio Alc{\^{a}}ntara de Lima Jr. and Weslley N. Costa and Virginie Fresse and Fr{\'{e}}d{\'{e}}ric Rousseau}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {A survey of NoC evaluation platforms on FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {221--224}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929538}, doi = {10.1109/FPT.2016.7929538}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LimaCFR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Lin16, author = {Yonghua Lin}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {{FPGA} as service in public Cloud: Why and how}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {3}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929179}, doi = {10.1109/FPT.2016.7929179}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Lin16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuDJX16, author = {Zhiqiang Liu and Yong Dou and Jingfei Jiang and Jinwei Xu}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Automatic code generation of convolutional neural networks in {FPGA} implementation}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {61--68}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929190}, doi = {10.1109/FPT.2016.7929190}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuDJX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuDT16, author = {Tianqi Liu and Naveen Kumar Dumpala and Russell Tessier}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Hybrid hard NoCs for efficient {FPGA} communication}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {157--164}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929522}, doi = {10.1109/FPT.2016.7929522}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuDT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LojdaPKK16, author = {Jakub Lojda and Jakub Podivinsky and Martin Krcma and Zdenek Kot{\'{a}}sek}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {HLS-based fault tolerance approach for SRAM-based FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {301--302}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929561}, doi = {10.1109/FPT.2016.7929561}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LojdaPKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LokeK16, author = {Wei Ting Loke and Chin Yang Koay}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Energy-aware scheduling for task adaptive FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {173--176}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929526}, doi = {10.1109/FPT.2016.7929526}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LokeK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ManabeSO16, author = {Taito Manabe and Yuichiro Shibata and Kiyoshi Oguri}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {{FPGA} implementation of a real-time super-resolution system using a convolutional neural network}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {249--252}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929545}, doi = {10.1109/FPT.2016.7929545}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ManabeSO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MatousekKP16, author = {Denis Matousek and Jan Korenek and Viktor Pus}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {High-speed regular expression matching with pipelined automata}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {93--100}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929431}, doi = {10.1109/FPT.2016.7929431}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MatousekKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MiglioreRLTFG16, author = {Vincent Migliore and Maria Mendez Real and Vianney Lapotre and Arnaud Tisserand and Caroline Fontaine and Guy Gogniat}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Fast polynomial arithmetic for Somewhat Homomorphic Encryption operations in hardware with Karatsuba algorithm}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {209--212}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929535}, doi = {10.1109/FPT.2016.7929535}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MiglioreRLTFG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NakaharaJFS16, author = {Hiroki Nakahara and Akira Jinguji and Tomonori Fujii and Simpei Sato}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {An acceleration of a random forest classification using Altera {SDK} for OpenCL}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {289--292}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929555}, doi = {10.1109/FPT.2016.7929555}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NakaharaJFS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NakaharaOSSTKA16, author = {Hiroshi Nakahara and Tetsui Ohkubo and Hideki Shimura and Ryotaro Sakai and Chiharu Tsuruta and Takahiro Kaneda and Hideharu Amano}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Trax solver on Zynq using incremental update algorithm}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {323--326}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929564}, doi = {10.1109/FPT.2016.7929564}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NakaharaOSSTKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NakaharaYSIM16, author = {Hiroki Nakahara and Haruyoshi Yonekawa and Tsutomu Sasao and Hisashi Iwamoto and Masato Motomura}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {A memory-based realization of a binarized deep convolutional neural network}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {277--280}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929552}, doi = {10.1109/FPT.2016.7929552}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/NakaharaYSIM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NguyenACD16, author = {Nguyen T. H. Nguyen and Dimitris Agiakatsikas and Ediz {\c{C}}etin and Oliver Diessel}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Dynamic scheduling of voter checks in FPGA-based {TMR} systems}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {169--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929525}, doi = {10.1109/FPT.2016.7929525}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/NguyenACD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NurvitadhiSSMVM16, author = {Eriko Nurvitadhi and David Sheffield and Jaewoong Sim and Asit K. Mishra and Ganesh Venkatesh and Debbie Marr}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Accelerating Binarized Neural Networks: Comparison of FPGA, CPU, GPU, and {ASIC}}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {77--84}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929192}, doi = {10.1109/FPT.2016.7929192}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NurvitadhiSSMVM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PinillaW16, author = {Jose P. Pinilla and Steven J. E. Wilton}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Enhanced source-level instrumentation for {FPGA} in-system debug of High-Level Synthesis designs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {109--116}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929514}, doi = {10.1109/FPT.2016.7929514}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PinillaW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PodivinskyCLK16, author = {Jakub Podivinsky and Ondrej Cekan and Jakub Lojda and Zdenek Kot{\'{a}}sek}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Functional verification as a tool for monitoring impact of faults in SRAM-based FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {293--294}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929557}, doi = {10.1109/FPT.2016.7929557}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PodivinskyCLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Putnam16, author = {Andrew Putnam}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {The configurable cloud - accelerating hyperscale datacenter services with FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929178}, doi = {10.1109/FPT.2016.7929178}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Putnam16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/QiAC16, author = {He Qi and Oluseyi A. Ayorinde and Benton H. Calhoun}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {An energy-efficient near/sub-threshold {FPGA} interconnect architecture using dynamic voltage scaling and power-gating}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {20--27}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929183}, doi = {10.1109/FPT.2016.7929183}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/QiAC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShaoML16, author = {Shengjia Shao and Oskar Mencer and Wayne Luk}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Dataflow design for optimal incremental {SVM} training}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {197--200}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929532}, doi = {10.1109/FPT.2016.7929532}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShaoML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SidlerE16, author = {David Sidler and Ken Eguro}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Debugging framework for FPGA-based soft processors}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {165--168}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929524}, doi = {10.1109/FPT.2016.7929524}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SidlerE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TanOM16, author = {Tze Hon Tan and Chia Yee Ooi and Muhammad N. Marsono}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {A modular architecture for dynamically reconfigurable middlebox with customized reconfiguration handler}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {225--228}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929539}, doi = {10.1109/FPT.2016.7929539}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TanOM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TatsumuraYB16, author = {Kosuke Tatsumura and Sadegh Yazdanshenas and Vaughn Betz}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {High density, low energy, magnetic tunnel junction based block RAMs for memory-rich FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {4--11}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929181}, doi = {10.1109/FPT.2016.7929181}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TatsumuraYB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TzimpragosCTJM16, author = {Georgios Tzimpragos and Da Cheng and Stephanie Tapp and Balakrishna Jayadev and Amitava Majumdar}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Application debug in FPGAs in the presence of multiple asynchronous clocks}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {189--192}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929530}, doi = {10.1109/FPT.2016.7929530}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TzimpragosCTJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VeerannaS16, author = {Nandeesha Veeranna and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Hardware Trojan avoidance and detection for dynamically re-configurable FPGAs}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {193--196}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929531}, doi = {10.1109/FPT.2016.7929531}, timestamp = {Tue, 16 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/VeerannaS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangNCVJTSS16, author = {Maolin Wang and Ho{-}Cheung Ng and Bob M. F. Chung and B. Sharat Chandra Varma and Manish Kumar Jaiswal and Kevin K. Tsia and Ho Cheung Shum and Hayden Kwok{-}Hay So}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Real-time object detection and classification for high-speed asymmetric-detection time-stretch optical microscopy on {FPGA}}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {261--264}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929548}, doi = {10.1109/FPT.2016.7929548}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangNCVJTSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangZJPW16, author = {Tianqi Wang and Linlin Zheng and Xi Jin and Bo Peng and Chuanjun Wang}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {{FPGA} acceleration of TreePM N-body simulations for Modified Newton Dynamics}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {201--204}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929533}, doi = {10.1109/FPT.2016.7929533}, timestamp = {Mon, 05 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangZJPW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangZTS16, author = {Haomiao Wang and Ming Zhang and Thiagaraj Prabu and Oliver Sinnen}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {FPGA-based acceleration of {FDAS} module using OpenCL}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {53--60}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929189}, doi = {10.1109/FPT.2016.7929189}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangZTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WeerasinghePAH16, author = {Jagath Weerasinghe and Raphael Polig and Fran{\c{c}}ois Abel and Christoph Hagleitner}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Network-attached FPGAs for data center applications}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {36--43}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929186}, doi = {10.1109/FPT.2016.7929186}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WeerasinghePAH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WijesunderaPS16, author = {Deshya Wijesundera and Alok Prakash and Thambipillai Srikanthan}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Rapid design space exploration for soft core processor customization and selection}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {185--188}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929529}, doi = {10.1109/FPT.2016.7929529}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WijesunderaPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XiaPN16, author = {Tian Xia and Jean{-}Christophe Pr{\'{e}}votet and Fabienne Nouvel}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Hypervisor mechanisms to manage {FPGA} reconfigurable accelerators}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {44--52}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929187}, doi = {10.1109/FPT.2016.7929187}, timestamp = {Fri, 17 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/XiaPN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XuLLF16, author = {Song Xu and Qiang Liu and Tao Li and Hongxiang Fan}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {{IC} security evaluation against fault injection attack based on {FPGA} emulation}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {285--288}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929554}, doi = {10.1109/FPT.2016.7929554}, timestamp = {Mon, 14 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/XuLLF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZgheibI16, author = {Grace Zgheib and Paolo Ienne}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Automatic wire modeling to explore novel {FPGA} architectures}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {181--184}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929528}, doi = {10.1109/FPT.2016.7929528}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZgheibI16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhanGJCZW16, author = {Qi Zhan and Min Gao and Li Jiao and Wei Cao and Xuegong Zhou and Lingli Wang}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {High performance Deformable Part Model accelerator based on {FPGA}}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {245--248}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929544}, doi = {10.1109/FPT.2016.7929544}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhanGJCZW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhaoANCD16, author = {Zhuoran Zhao and Dimitris Agiakatsikas and Nguyen T. H. Nguyen and Ediz {\c{C}}etin and Oliver Diessel}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Fine-grained module-based error recovery in FPGA-based {TMR} systems}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {101--108}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929433}, doi = {10.1109/FPT.2016.7929433}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ZhaoANCD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhaoNAIKS16, author = {Qian Zhao and Takuya Nakamichi and Motoki Amagasaki and Masahiro Iida and Morihiro Kuga and Toshinori Sueyoshi}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {hCODE: An open-source platform for {FPGA} accelerators}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {205--208}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929534}, doi = {10.1109/FPT.2016.7929534}, timestamp = {Wed, 18 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhaoNAIKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhaoWC16, author = {Yiren Zhao and John Wickerson and George A. Constantinides}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {An efficient implementation of online arithmetic}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {69--76}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929191}, doi = {10.1109/FPT.2016.7929191}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhaoWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhouJX16, author = {Yuzhi Zhou and Xi Jin and Tian Xiang}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {Fixed-ratio {DXT} format Frame Buffer Compressor for mobile graphics systems}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {273--276}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929551}, doi = {10.1109/FPT.2016.7929551}, timestamp = {Wed, 07 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhouJX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2016, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/conhome/7924463/proceeding}, isbn = {978-1-5090-5602-6}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ArramPKL15, author = {James Arram and Moritz Pflanzer and Thomas Kaplan and Wayne Luk}, title = {{FPGA} acceleration of reference-based compression for genomic data}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {9--16}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393126}, doi = {10.1109/FPT.2015.7393126}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ArramPKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Atasu15, author = {Kubilay Atasu}, title = {Leftmost longest regular expression matching in reconfigurable logic}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {17--23}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393124}, doi = {10.1109/FPT.2015.7393124}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Atasu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bailey15, author = {Donald G. Bailey}, title = {Smart camera for Trax playing robot}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {252--255}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393117}, doi = {10.1109/FPT.2015.7393117}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Bailey15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BaileyRL15, author = {Donald G. Bailey and Sharmil Randhawa and Jim S. Jimmy Li}, title = {Advanced Bayer demosaicing on FPGAs}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {216--220}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393154}, doi = {10.1109/FPT.2015.7393154}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BaileyRL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BecherZMT15, author = {Andreas Becher and Daniel Ziener and Klaus Meyer{-}Wegener and J{\"{u}}rgen Teich}, title = {A co-design approach for accelerated {SQL} query processing via FPGA-based data filtering}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {192--195}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393148}, doi = {10.1109/FPT.2015.7393148}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BecherZMT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BitarAB15, author = {Andrew Bitar and Mohamed S. Abdelfattah and Vaughn Betz}, title = {Bringing programmability to the data plane: Packet processing with a NoC-enhanced {FPGA}}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {24--31}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393125}, doi = {10.1109/FPT.2015.7393125}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BitarAB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenCCK15, author = {Bony H. K. Chen and Paul Y. S. Cheung and Peter Y. K. Cheung and Yu{-}Kwong Kwok}, title = {An efficient architecture for zero overhead data en-/decryption using reconfigurable cryptographic engine}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {248--251}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393116}, doi = {10.1109/FPT.2015.7393116}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenCCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChoiBA15, author = {Jongsok Choi and Stephen Dean Brown and Jason Helge Anderson}, title = {Resource and memory management techniques for the high-level synthesis of software threads into parallel {FPGA} hardware}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {152--159}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393142}, doi = {10.1109/FPT.2015.7393142}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChoiBA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/EslamiW15, author = {Fatemeh Eslami and Steven J. E. Wilton}, title = {An adaptive virtual overlay for fast trigger insertion for {FPGA} debug}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {32--39}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393127}, doi = {10.1109/FPT.2015.7393127}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/EslamiW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FujimoriAIAFSTW15, author = {Takumi Fujimori and Tomoya Akabe and Yoshizumi Ito and Kouta Akagi and Shinya Furukawa and Hiroki Shinba and Aoi Tanibata and Minoru Watanabe}, title = {{FPGA} Trax Solver based on a neural network design}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {260--263}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393119}, doi = {10.1109/FPT.2015.7393119}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FujimoriAIAFSTW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GoedersW15, author = {Jeffrey Goeders and Steven J. E. Wilton}, title = {Using Round-Robin Tracepoints to debug multithreaded {HLS} circuits on FPGAs}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {40--47}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393128}, doi = {10.1109/FPT.2015.7393128}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GoedersW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GravesPHA15, author = {Ian Graves and Adam M. Procter and William L. Harrison and Gerard Allwein}, title = {Provably Correct Development of reconfigurable hardware designs via equational reasoning}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {160--171}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393143}, doi = {10.1109/FPT.2015.7393143}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GravesPHA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GuGWWY15, author = {Mengyuan Gu and Kaiyuan Guo and Wenqiang Wang and Yu Wang and Huazhong Yang}, title = {An FPGA-based real-time simultaneous localization and mapping system}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {200--203}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393150}, doi = {10.1109/FPT.2015.7393150}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GuGWWY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Huthmann015, author = {Jens Huthmann and Andreas Koch}, title = {Optimized high-level synthesis of {SMT} multi-threaded hardware accelerators}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {176--183}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393145}, doi = {10.1109/FPT.2015.7393145}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Huthmann015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JinYZW15, author = {Jifang Jin and Jian Yan and Xuegong Zhou and Lingli Wang}, title = {An adaptive cross-layer fault recovery solution for reconfigurable SoCs}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {188--191}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393147}, doi = {10.1109/FPT.2015.7393147}, timestamp = {Wed, 27 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JinYZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Kojima15, author = {Akira Kojima}, title = {An Implementation of Trax player using programmable SoC}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {268--271}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393121}, doi = {10.1109/FPT.2015.7393121}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Kojima15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiSDWNWLY15, author = {Yubin Li and Yuliang Sun and Guohao Dai and Yuzhi Wang and Jiacai Ni and Yu Wang and Guoliang Li and Huazhong Yang}, title = {A self-aware data compression system on {FPGA} in Hadoop}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {196--199}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393149}, doi = {10.1109/FPT.2015.7393149}, timestamp = {Mon, 13 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LiSDWNWLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuMB15, author = {Shuanglong Liu and Grigorios Mingas and Christos{-}Savvas Bouganis}, title = {An exact {MCMC} accelerator under custom precision regimes}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {120--127}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393138}, doi = {10.1109/FPT.2015.7393138}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuNS15, author = {Cheng Liu and Ho{-}Cheung Ng and Hayden Kwok{-}Hay So}, title = {QuickDough: {A} rapid {FPGA} loop accelerator design framework using soft {CGRA} overlay}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {56--63}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393130}, doi = {10.1109/FPT.2015.7393130}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuNS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LuSL15, author = {Qing Lu and Chiu{-}Wing Sham and Francis C. M. Lau}, title = {An architecture-algorithm co-design of artificial intelligence for Trax player}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {264--267}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393120}, doi = {10.1109/FPT.2015.7393120}, timestamp = {Tue, 28 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LuSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MahmoodTOS15, author = {Faisal Mahmood and Mart Toots and Lars{-}Goran Ofverstedt and Ulf Skoglund}, title = {2D Discrete Fourier Transform with simultaneous edge artifact removal for real-time applications}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {236--239}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393157}, doi = {10.1109/FPT.2015.7393157}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MahmoodTOS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MeisnerP15, author = {Sebastian Meisner and Marco Platzner}, title = {Comparison of thread signatures for error detection in hybrid multi-cores}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {212--215}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393153}, doi = {10.1109/FPT.2015.7393153}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MeisnerP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MirianC15, author = {Vincent Mirian and Paul Chow}, title = {Exploring pipe implementations using an OpenCL framework for FPGAs}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {112--119}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393135}, doi = {10.1109/FPT.2015.7393135}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MirianC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MonsonH15, author = {Joshua S. Monson and Brad L. Hutchings}, title = {Using source-to-source compilation to instrument circuits for debug with High Level Synthesis}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {48--55}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393129}, doi = {10.1109/FPT.2015.7393129}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MonsonH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MothaBW15, author = {Jason Motha and Andrew Bainbridge{-}Smith and Steve Weddell}, title = {Cryptographic techniques in redundant number systems}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {232--235}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393156}, doi = {10.1109/FPT.2015.7393156}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MothaBW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Murakami15, author = {Yuki Murakami}, title = {{FPGA} implementation of a SIMD-based array processor with torus interconnect}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {244--247}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393159}, doi = {10.1109/FPT.2015.7393159}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Murakami15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MurrayB15, author = {Kevin E. Murray and Vaughn Betz}, title = {{HETRIS:} Adaptive floorplanning for heterogeneous FPGAs}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {88--95}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393136}, doi = {10.1109/FPT.2015.7393136}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MurrayB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OkudaTYYT15, author = {Ryo Okuda and Tomohiro Tanaka and Keisuke Yamamoto and Takumu Yahagi and Kazuya Tanigawa}, title = {Development of a Trax Artificial Intelligence algorithm using path and edge}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {256--259}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393118}, doi = {10.1109/FPT.2015.7393118}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/OkudaTYYT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ParkVD15, author = {Hyunseok Park and Shreel Vijayvargiya and Andr{\'{e}} DeHon}, title = {Energy minimization in the time-space continuum}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {64--71}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393131}, doi = {10.1109/FPT.2015.7393131}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ParkVD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PetkovskaZNOMI15, author = {Ana Petkovska and Grace Zgheib and David Novo and Muhsen Owaida and Alan Mishchenko and Paolo Ienne}, title = {Improved carry chain mapping for the {VTR} flow}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {80--87}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393133}, doi = {10.1109/FPT.2015.7393133}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PetkovskaZNOMI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RodionovR15, author = {Alex Rodionov and Jonathan Rose}, title = {Automatic {FPGA} system and interconnect construction with multicast and customizable topology}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {72--79}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393132}, doi = {10.1109/FPT.2015.7393132}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/RodionovR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RonakF15, author = {Bajaj Ronak and Suhaib A. Fahmy}, title = {Minimizing {DSP} block usage through multi-pumping}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {184--187}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393146}, doi = {10.1109/FPT.2015.7393146}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/RonakF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SugimotoMKTSSA15, author = {Naru Sugimoto and Takuji Mitsuishi and Takahiro Kaneda and Chiharu Tsuruta and Ryotaro Sakai and Hideki Shimura and Hideharu Amano}, title = {Trax solver on Zynq with Deep Q-Network}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {272--275}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393122}, doi = {10.1109/FPT.2015.7393122}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SugimotoMKTSSA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TargettNRLJD15, author = {James Stanley Targett and Xinyu Niu and Francis P. Russell and Wayne Luk and Stephen Jeffress and Peter D. D{\"{u}}ben}, title = {Lower precision for higher accuracy: Precision and resolution exploration for shallow water equations}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {208--211}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393152}, doi = {10.1109/FPT.2015.7393152}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TargettNRLJD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TridgellMFL15, author = {Stephen Tridgell and Duncan J. M. Moss and Nicholas J. Fraser and Philip Heng Wai Leong}, title = {Braiding: {A} scheme for resolving hazards in kernel adaptive filters}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {136--143}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393140}, doi = {10.1109/FPT.2015.7393140}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TridgellMFL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VansteenkisteKF15, author = {Elias Vansteenkiste and Alireza Kaviani and Henri Fraisse}, title = {Analyzing the divide between {FPGA} academic and commercial results}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {96--103}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393137}, doi = {10.1109/FPT.2015.7393137}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/VansteenkisteKF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VasiljevicWSFMS15, author = {Jasmina Vasiljevic and Ralph Wittig and Paul Schumacher and Jeff Fifield and Fernando Martinez{-}Vallina and Henry Styles and Paul Chow}, title = {OpenCL library of stream memory components targeting FPGAs}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {104--111}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393134}, doi = {10.1109/FPT.2015.7393134}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/VasiljevicWSFMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangS15, author = {Haomiao Wang and Oliver Sinnen}, title = {{FPGA} based acceleration of {FDAS} module for Pulsar Search}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {240--243}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393158}, doi = {10.1109/FPT.2015.7393158}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WintersteinFYWC15, author = {Felix Winterstein and Kermin Fleming and Hsin{-}Jung Yang and John Wickerson and George A. Constantinides}, title = {Custom-sized caches in application-specific memory hierarchies}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {144--151}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393141}, doi = {10.1109/FPT.2015.7393141}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WintersteinFYWC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XiaoPB15, author = {Size Xiao and Adam Postula and Neil W. Bergmann}, title = {Hardware design of a fast, parallel Random Tree path planner}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {204--207}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393151}, doi = {10.1109/FPT.2015.7393151}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/XiaoPB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XieNLTS15, author = {Junyi Xie and Xinyu Niu and Andy K. S. Lau and Kevin K. Tsia and Hayden Kwok{-}Hay So}, title = {Accelerated cell imaging and classification on FPGAs for quantitative-phase asymmetric-detection time-stretch optical microscopy}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393123}, doi = {10.1109/FPT.2015.7393123}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/XieNLTS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangGCR15, author = {Liwei Yang and Swathi T. Gurumani and Deming Chen and Kyle Rupnow}, title = {Behavioral-level {IP} integration in high-level synthesis}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {172--175}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393144}, doi = {10.1109/FPT.2015.7393144}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YangGCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangIGFCR15, author = {Liwei Yang and Magzhan Ikram and Swathi T. Gurumani and Suhaib A. Fahmy and Deming Chen and Kyle Rupnow}, title = {{JIT} trace-based verification for high-level synthesis}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {228--231}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393155}, doi = {10.1109/FPT.2015.7393155}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YangIGFCR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangCL15, author = {Jianfeng Zhang and Paul Chow and Hengzhu Liu}, title = {{FPGA} implementation of low-power and high-PSNR {DCT/IDCT} architecture based on adaptive recoding {CORDIC}}, booktitle = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, pages = {128--135}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/FPT.2015.7393139}, doi = {10.1109/FPT.2015.7393139}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhangCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2015, title = {2015 International Conference on Field Programmable Technology, {FPT} 2015, Queenstown, New Zealand, December 7-9, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7386271/proceeding}, isbn = {978-1-4673-9091-0}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AbdelhadiL14, author = {Ameer M. S. Abdelhadi and Guy G. F. Lemieux}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Deep and narrow binary content-addressable memories using FPGA-based BRAMs}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {318--321}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082808}, doi = {10.1109/FPT.2014.7082808}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AbdelhadiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BaraNL14, author = {Andrei Bara and Xinyu Niu and Wayne Luk}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A dataflow system for anomaly detection and analysis}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {276--279}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082793}, doi = {10.1109/FPT.2014.7082793}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BaraNL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BorhanifarZ14, author = {Hossein Borhanifar and Seyed Peyman Zolnouri}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Optimize MinMax algorithm to solve Blokus Duo game by {HDL}}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {362--365}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082821}, doi = {10.1109/FPT.2014.7082821}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BorhanifarZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BrosserMGL14, author = {Fredrik Brosser and Emil Milh and Vilhelm Geijer and Per Larsson{-}Edefors}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Assessing scrubbing techniques for Xilinx SRAM-based FPGAs in space applications}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {296--299}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082803}, doi = {10.1109/FPT.2014.7082803}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BrosserMGL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Butts14, author = {Mike Butts}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Logic emulation in the megaLUT era - Moore's Law beats Rent's Rule}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {1}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082742}, doi = {10.1109/FPT.2014.7082742}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Butts14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChaiZWW14, author = {Zhilei Chai and Haojie Zhou and Zhibin Wang and Dong Wu}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Using {C} to implement high-efficient computation of dense optical flow on FPGA-accelerated heterogeneous platforms}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {260--263}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082789}, doi = {10.1109/FPT.2014.7082789}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChaiZWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CheahFK14, author = {Hui Yan Cheah and Suhaib A. Fahmy and Nachiket Kapre}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Analysis and optimization of a deeply pipelined {FPGA} soft processor}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {235--238}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082783}, doi = {10.1109/FPT.2014.7082783}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CheahFK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenWWGLHZLYL14, author = {Jiahua Chen and Tao Wang and Haoyang Wu and Jian Gong and Xiaoguang Li and Yang Hu and Gaohan Zhang and Zhiwei Li and Junrui Yang and Songwu Lu}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A high-performance and high-programmability reconfigurable wireless development platform}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {350--353}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082817}, doi = {10.1109/FPT.2014.7082817}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenWWGLHZLYL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChengW14, author = {Shaoyi Cheng and John Wawrzynek}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Architectural synthesis of computational pipelines with decoupled memory access}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {83--90}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082758}, doi = {10.1109/FPT.2014.7082758}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChengW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChevallerieKK14, author = {David de la Chevallerie and Jens Korinth and Andreas Koch}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Integrating FPGA-based processing elements into a runtime for parallel heterogeneous computing}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {314--317}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082807}, doi = {10.1109/FPT.2014.7082807}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChevallerieKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Cong14, author = {Jason Cong}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Automating customized computing}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082743}, doi = {10.1109/FPT.2014.7082743}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Cong14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DaiSCWWY14, author = {Guohao Dai and Yi Shan and Fei Chen and Yu Wang and Kun Wang and Huazhong Yang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Online scheduling for {FPGA} computation in the Cloud}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {330--333}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082811}, doi = {10.1109/FPT.2014.7082811}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DaiSCWWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DingH14, author = {Hongyuan Ding and Miaoqing Huang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Improve memory access for achieving both performance and energy efficiencies on heterogeneous systems}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {91--98}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082759}, doi = {10.1109/FPT.2014.7082759}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DingH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Ehliar14, author = {Andreas Ehliar}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Area efficient floating-point adder and multiplier with {IEEE-754} compatible semantics}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {131--138}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082765}, doi = {10.1109/FPT.2014.7082765}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Ehliar14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FarisiHS14, author = {Brahim Al Farisi and Karel Heyse and Dirk Stroobandt}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Reducing the overhead of dynamic partial reconfiguration for multi-mode circuits}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {282--283}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082796}, doi = {10.1109/FPT.2014.7082796}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FarisiHS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FujitaMA14, author = {Yu Fujita and Koichiro Masuyama and Hideharu Amano}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Image processing by {A} 0.3V 2MW coarse-grained reconfigurable accelerator {CMA-SOTB} with a solar battery}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {354--357}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082818}, doi = {10.1109/FPT.2014.7082818}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FujitaMA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FukudaITKSAM14, author = {Eric Shun Fukuda and Hiroaki Inoue and Takashi Takenaka and Dahoo Kim and Tsunaki Sadahisa and Tetsuya Asai and Masato Motomura}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Achieving higher performance of memcached by caching at network interface}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {288--289}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082799}, doi = {10.1109/FPT.2014.7082799}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/FukudaITKSAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GautierSMRMK14, author = {Quentin Gautier and Alexandria Shearer and Janarbek Matai and Dustin Richmond and Pingfan Meng and Ryan Kastner}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Real-time 3D reconstruction for FPGAs: {A} case study for evaluating the performance, area, and programmability trade-offs of the Altera OpenCL {SDK}}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {326--329}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082810}, doi = {10.1109/FPT.2014.7082810}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GautierSMRMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GortA14, author = {Marcel Gort and Jason Helge Anderson}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Design re-use for compile time reduction in {FPGA} high-level synthesis flows}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {4--11}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082746}, doi = {10.1109/FPT.2014.7082746}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GortA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HeWPPMP14, author = {Yongfu He and Shaojun Wang and Yu Peng and Yeyong Pang and Ning Ma and Jingyue Pang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {High performance relevance vector machine on HMPSoC}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {334--337}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082812}, doi = {10.1109/FPT.2014.7082812}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HeWPPMP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Hsu14, author = {Feng{-}Hsiung Hsu}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Doing {FPGA} in a former software company}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082744}, doi = {10.1109/FPT.2014.7082744}, timestamp = {Tue, 15 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Hsu14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HuangLLLY14, author = {Junying Huang and Colin Yu Lin and Yang Liu and Zhihua Li and Haigang Yang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Size aware placement for island style FPGAs}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {28--35}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082749}, doi = {10.1109/FPT.2014.7082749}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HuangLLLY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HuangRYW14, author = {Jiasen Huang and Junyan Ren and Wenbo Yin and Lingli Wang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {No zero padded sparse matrix-vector multiplication on FPGAs}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {290--291}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082800}, doi = {10.1109/FPT.2014.7082800}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HuangRYW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HussainPUCAVG14, author = {Tassadaq Hussain and Oscar Palomar and Osman S. Unsal and Adri{\'{a}}n Cristal and Eduard Ayguad{\'{e}} and Mateo Valero and Shakaib A. Gursal}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {{AMMC:} Advanced Multi-Core Memory Controller}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {292--295}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082802}, doi = {10.1109/FPT.2014.7082802}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HussainPUCAVG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/InggsFTL14, author = {Gordon Inggs and Shane T. Fleming and David B. Thomas and Wayne Luk}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Is high level synthesis ready for business? {A} computational finance case study}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {12--19}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082747}, doi = {10.1109/FPT.2014.7082747}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/InggsFTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JongSBT14, author = {Mark de Jong and Vlad Mihai Sima and Koen Bertels and David Thomas}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {FPGA-accelerated Monte-Carlo integration using stratified sampling and Brownian bridges}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {68--75}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082755}, doi = {10.1109/FPT.2014.7082755}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JongSBT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JuniorFR14, author = {Ot{\'{a}}vio Alc{\^{a}}ntara de Lima J{\'{u}}nior and Virginie Fresse and Fr{\'{e}}d{\'{e}}ric Rousseau}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Evaluation of SNMP-like protocol to manage a NoC emulation platform}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {199--206}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082776}, doi = {10.1109/FPT.2014.7082776}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JuniorFR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KajiwaraZAIKS14, author = {Takuya Kajiwara and Qian Zhao and Motoki Amagasaki and Masahiro Iida and Morituro Kuga and Toshinori Sueyoshi}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A novel three-dimensional {FPGA} architecture with high-speed serial communication links}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {306--309}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082805}, doi = {10.1109/FPT.2014.7082805}, timestamp = {Wed, 18 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KajiwaraZAIKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KasapR14, author = {Server Kasap and Soydan Redif}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Novel reconfigurable hardware implementation of polynomial matrix/vector multiplications}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {243--247}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082785}, doi = {10.1109/FPT.2014.7082785}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KasapR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Kojima14, author = {Akira Kojima}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {{FPGA} implementation of Blokus Duo player using hardware/software co-design}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {378--381}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082825}, doi = {10.1109/FPT.2014.7082825}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Kojima14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KulkarniYV14, author = {Niranjan Kulkarni and Jinghua Yang and Sarma B. K. Vrudhula}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A fast, energy efficient, field programmable threshold-logic array}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {300--305}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082804}, doi = {10.1109/FPT.2014.7082804}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KulkarniYV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KwonZLPSD14, author = {Albert Kwon and Kaiyu Zhang and Perk Lun Lim and Yuchen Pan and Jonathan M. Smith and Andr{\'{e}} DeHon}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {RotoRouter: Router support for endpoint-authorized decentralized traffic filtering to prevent DoS attacks}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {183--190}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082774}, doi = {10.1109/FPT.2014.7082774}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KwonZLPSD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LaForestAS14, author = {Charles Eric LaForest and Jason Helge Anderson and J. Gregory Steffan}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Approaching overhead-free execution on {FPGA} soft-processors}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {99--106}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082760}, doi = {10.1109/FPT.2014.7082760}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LaForestAS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiL14, author = {He Li and Qiang Liu}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Hardware Trojan detection acceleration based on word-level statistical properties management}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {153--160}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082769}, doi = {10.1109/FPT.2014.7082769}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Liebig014, author = {Bj{\"{o}}rn Liebig and Andreas Koch}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Low-latency double-precision floating-point division for FPGAs}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {107--114}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082762}, doi = {10.1109/FPT.2014.7082762}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Liebig014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuMB14, author = {Shuanglong Liu and Grigorios Mingas and Christos{-}Savvas Bouganis}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Parallel resampling for particle filters on FPGAs}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {191--198}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082775}, doi = {10.1109/FPT.2014.7082775}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuMB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuS14, author = {Yidi Liu and Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {{HW} acceleration of multiple applications on a single {FPGA}}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {284--285}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082797}, doi = {10.1109/FPT.2014.7082797}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MaWPP14, author = {Ning Ma and Shaojun Wang and Yeyong Pang and Yu Peng}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Implementation of {LS-SVM} with {HLS} on Zynq}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {346--349}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082816}, doi = {10.1109/FPT.2014.7082816}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MaWPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MahaleMPNB14, author = {Gopinath Mahale and Hamsika Mahale and Rajesh Babu Parimi and S. K. Nandy and S. Bhattacharya}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Hardware architecture of bi-cubic convolution interpolation for real-time image scaling}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {264--267}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082790}, doi = {10.1109/FPT.2014.7082790}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MahaleMPNB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MaoZH14, author = {Fubing Mao and Wei Zhang and Bingsheng He}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Towards automatic partial reconfiguration in FPGAs}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {286--287}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082798}, doi = {10.1109/FPT.2014.7082798}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MaoZH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MashimoAIKS14, author = {Susumu Mashimo and Motoki Amagasaki and Masahiro Iida and Morihiro Kuga and Toshinori Sueyoshi}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Zyndroid: An Android platform for software/hardware coprocessing}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {272--275}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082792}, doi = {10.1109/FPT.2014.7082792}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MashimoAIKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MashimoFAIKS14, author = {Susumu Mashimo and Kansuke Fukuda and Motoki Amagasaki and Masahiro Iida and Morihiro Kuga and Toshinori Sueyoshi}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Blokus Duo engine on a Zynq}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {374--377}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082824}, doi = {10.1109/FPT.2014.7082824}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MashimoFAIKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MiltonKWL14, author = {Anthony Milton and David A. Kearney and Sebastien C. Wong and Simon Lemmo}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Development productivity in implementing a complex heterogeneous computing application}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {322--325}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082809}, doi = {10.1109/FPT.2014.7082809}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MiltonKWL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MoorthyG14, author = {Theepan Moorthy and Sathish Gopalakrishnan}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Gigabyte-scale alignment acceleration of biological sequences via Ethernet streaming}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {227--230}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082781}, doi = {10.1109/FPT.2014.7082781}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MoorthyG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MossZFL14, author = {Duncan J. M. Moss and Zhe Zhang and Nicholas J. Fraser and Philip Heng Wai Leong}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {An FPGA-based spectral anomaly detection system}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {175--182}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082772}, doi = {10.1109/FPT.2014.7082772}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MossZFL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OlivitoDR14, author = {Javier Olivito and Alberto Delmas and Javier Resano}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {An improved FPGA-based specific processor for Blokus Duo}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {366--369}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082822}, doi = {10.1109/FPT.2014.7082822}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/OlivitoDR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ParkPLBY14, author = {Young{-}Hwan Park and Keshava Prasad and Yeonbok Lee and Kitaek Bae and Ho Yang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Scalable radio processor architecture for modern wireless communications}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {310--313}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082806}, doi = {10.1109/FPT.2014.7082806}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ParkPLBY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/QasemiSSAMSA14, author = {Ehsan Qasemi and Amir Samadi and Mohammad H. Shadmehr and Bardia Azizian and Sajjad Mozaffari and Amir Shirian and Bijan Alizadeh}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Highly scalable, shared-memory, Monte-Carlo tree search based Blokus Duo Solver on {FPGA}}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {370--373}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082823}, doi = {10.1109/FPT.2014.7082823}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/QasemiSSAMSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/QiaoXXYHGZ14, author = {Siyi Qiao and Chen Xu and Lei Xie and Ji Yang and Chengchen Hu and Xiaohong Guan and Jianhua Zou}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Network recorder and player: FPGA-based network traffic capture and replay}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {342--345}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082815}, doi = {10.1109/FPT.2014.7082815}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/QiaoXXYHGZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RashidSB14, author = {Rafat Rashid and J. Gregory Steffan and Vaughn Betz}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Comparing performance, productivity and scalability of the {TILT} overlay processor to OpenCL {HLS}}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {20--27}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082748}, doi = {10.1109/FPT.2014.7082748}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/RashidSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SanderBLSDB14, author = {Oliver Sander and Steffen Baehr and Enno L{\"{u}}bbers and Timo Sandmann and Viet Vu Duy and J{\"{u}}rgen Becker}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A flexible interface architecture for reconfigurable coprocessors in embedded multicore systems using PCIe Single-root {I/O} virtualization}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {223--226}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082780}, doi = {10.1109/FPT.2014.7082780}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SanderBLSDB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SarmahA14, author = {Mrinal J. Sarmah and Syed Azeemuddin}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A circuit to synchronize high speed serial communication channel}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {239--242}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082784}, doi = {10.1109/FPT.2014.7082784}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SarmahA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Schafer14, author = {Benjamin Carri{\'{o}}n Sch{\"{a}}fer}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Time sharing of Runtime Coarse-Grain Reconfigurable Architectures processing elements in multi-process systems}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {76--82}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082757}, doi = {10.1109/FPT.2014.7082757}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Schafer14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShaoGLW14, author = {Shengjia Shao and Ce Guo and Wayne Luk and Stephen Weston}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Accelerating transfer entropy computation}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {60--67}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082754}, doi = {10.1109/FPT.2014.7082754}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShaoGLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShiBC14, author = {Kan Shi and David Boland and George A. Constantinides}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Efficient {FPGA} implementation of digit parallel online arithmetic operators}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {115--122}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082763}, doi = {10.1109/FPT.2014.7082763}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShiBC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShreejithF14, author = {Shanker Shreejith and Suhaib A. Fahmy}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Zero latency encryption with FPGAs for secure time-triggered automotive networks}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {256--259}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082788}, doi = {10.1109/FPT.2014.7082788}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShreejithF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SiddharthaK14, author = {Siddhartha and Nachiket Kapre}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Fanout decomposition dataflow optimizations for FPGA-based Sparse {LU} factorization}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {252--255}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082787}, doi = {10.1109/FPT.2014.7082787}, timestamp = {Thu, 19 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SiddharthaK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SugimotoA14, author = {Naru Sugimoto and Hideharu Amano}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Hardware/software co-design architecture for Blokus Duo solver}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {358--361}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082820}, doi = {10.1109/FPT.2014.7082820}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SugimotoA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TangGM14, author = {Xifan Tang and Pierre{-}Emmanuel Gaillardon and Giovanni De Micheli}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A high-performance low-power near-Vt RRAM-based {FPGA}}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {207--214}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082777}, doi = {10.1109/FPT.2014.7082777}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TangGM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TangLZ14, author = {Bin Tang and Yaping Lin and Jiliang Zhang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Improving the reliability of {RO} {PUF} using frequency offset}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {338--341}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082813}, doi = {10.1109/FPT.2014.7082813}, timestamp = {Thu, 23 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TangLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TatsumuraOY14, author = {Kosuke Tatsumura and Masato Oda and Shinichi Yasuda}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A pure-CMOS nonvolatile multi-context configuration memory for dynamically reconfigurable FPGAs}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {215--222}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082778}, doi = {10.1109/FPT.2014.7082778}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TatsumuraOY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TavakkoliT14, author = {Aryan Tavakkoli and David B. Thomas}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Low-latency option pricing using systolic binomial trees}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {44--51}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082752}, doi = {10.1109/FPT.2014.7082752}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/TavakkoliT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangGGMW14, author = {Wenqiang Wang and Kaiyuan Guo and Mengyuan Gu and Yuchun Ma and Yu Wang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A universal FPGA-based floating-point matrix processor for mobile systems}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {139--146}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082766}, doi = {10.1109/FPT.2014.7082766}, timestamp = {Tue, 16 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangGGMW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangKH14, author = {Yi Wang and Akash Kumar and Yajun Ha}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {FPGA-based high throughput {XTS-AES} encryption/decryption for storage area network}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {268--271}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082791}, doi = {10.1109/FPT.2014.7082791}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangKH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WiersemaDP14, author = {Tobias Wiersema and Stephanie Drzevitzky and Marco Platzner}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Memory security in reconfigurable computers: Combining formal verification with monitoring}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {167--174}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082771}, doi = {10.1109/FPT.2014.7082771}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WiersemaDP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WuNWN14, author = {Yun Wu and Jos{\'{e}} L. N{\'{u}}{\~{n}}ez{-}Y{\'{a}}{\~{n}}ez and Roger F. Woods and Dimitrios S. Nikolopoulos}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Power modelling and capping for heterogeneous {ARM/FPGA} SoCs}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {231--234}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082782}, doi = {10.1109/FPT.2014.7082782}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WuNWN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/XuZL14, author = {Chang Xu and Wentai Zhang and Guojie Luo}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Analyzing the impact of heterogeneous blocks on {FPGA} placement quality}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {36--43}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082750}, doi = {10.1109/FPT.2014.7082750}, timestamp = {Fri, 23 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/XuZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YanYWLW14, author = {Jian Yan and Junqi Yuan and Ying Wang and Philip H. W. Leong and Lingli Wang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Design space exploration for FPGA-based hybrid multicore architecture}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {280--281}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082795}, doi = {10.1109/FPT.2014.7082795}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YanYWLW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangC14, author = {Xian Yang and Ray C. C. Cheung}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A complementary architecture for high-speed true random number generator}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {248--251}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082786}, doi = {10.1109/FPT.2014.7082786}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YangC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangGLN14, author = {Jinzhe Yang and Ce Guo and Wayne Luk and Terence Nahar}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Collaborative processing of Least-Square Monte Carlo for American options}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {52--59}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082753}, doi = {10.1109/FPT.2014.7082753}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YangGLN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangWCZ14, author = {Jianlei Yang and Chenguang Wang and Yici Cai and Qiang Zhou}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Power supply noise aware evaluation framework for side channel attacks and countermeasures}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {161--166}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082770}, doi = {10.1109/FPT.2014.7082770}, timestamp = {Thu, 06 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YangWCZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangCL14, author = {Jianfeng Zhang and Paul Chow and Hengzhu Liu}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {An efficient {FPGA} implementation of {QR} decomposition using a novel systolic array architecture based on enhanced vectoring {CORDIC}}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {123--130}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082764}, doi = {10.1109/FPT.2014.7082764}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhangCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangQ14, author = {Jiliang Zhang and Gang Qu}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {A survey on security and trust of FPGA-based systems}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {147--152}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082768}, doi = {10.1109/FPT.2014.7082768}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ZhangQ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2014, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/7063887/proceeding}, isbn = {978-1-4799-6245-7}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AltmanABBCFR13, author = {Erik R. Altman and Joshua S. Auerbach and David F. Bacon and Ioana Baldini and Perry Cheng and Stephen J. Fink and Rodric M. Rabbah}, title = {The Liquid Metal Blokus Duo Design}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {490--493}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718425}, doi = {10.1109/FPT.2013.6718425}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AltmanABBCFR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AmmendolaBFCLPRSTV13, author = {Roberto Ammendola and Andrea Biagioni and Ottorino Frezza and Francesca Lo Cicero and Alessandro Lonardo and Pier Stanislao Paolucci and Davide Rossetti and Francesco Simula and Laura Tosoratto and Piero Vicini}, title = {Virtual-to-Physical address translation for an FPGA-based interconnect with host and {GPU} remote {DMA} capabilities}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {58--65}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718331}, doi = {10.1109/FPT.2013.6718331}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AmmendolaBFCLPRSTV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AndrycMT13, author = {Kevin Andryc and Murtaza Merchant and Russell Tessier}, title = {FlexGrip: {A} soft {GPGPU} for FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {230--237}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718358}, doi = {10.1109/FPT.2013.6718358}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AndrycMT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AngK13, author = {Zhi Ping Ang and Akash Kumar}, title = {Real-time and low power embedded {\(\mathscr{l}\)}1-optimization solver design}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {168--175}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718348}, doi = {10.1109/FPT.2013.6718348}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AngK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ArramLJ13, author = {James Arram and Wayne Luk and Peiyong Jiang}, title = {Reconfigurable filtered acceleration of short read alignment}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {438--441}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718408}, doi = {10.1109/FPT.2013.6718408}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ArramLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BlockM13, author = {Henry Block and Tsutomu Maruyama}, title = {A hardware acceleration of a phylogenetic tree reconstruction with maximum parsimony algorithm using {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {318--321}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718376}, doi = {10.1109/FPT.2013.6718376}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BlockM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BolandC13, author = {David Boland and George A. Constantinides}, title = {Revisiting the reduction circuit: {A} case study for simultaneous architecture and precision optimisation}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {410--413}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718401}, doi = {10.1109/FPT.2013.6718401}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BolandC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bossuet13, author = {Lilian Bossuet}, title = {Teaching {FPGA} security}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {306--309}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718373}, doi = {10.1109/FPT.2013.6718373}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Bossuet13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CaiLWCCFHMZCBA13, author = {Jiu Cheng Cai and Ruolong Lian and Mengyao Wang and Andrew Canis and Jongsok Choi and Blair Fort and Eric Hart and Emily Miao and Yanyan Zhang and Nazanin Calagar and Stephen Dean Brown and Jason Helge Anderson}, title = {From {C} to Blokus Duo with LegUp high-level synthesis}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {486--489}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718424}, doi = {10.1109/FPT.2013.6718424}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CaiLWCCFHMZCBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CastellanaF13, author = {Vito Giovanni Castellana and Fabrizio Ferrandi}, title = {An automated flow for the High Level Synthesis of coarse grained parallel applications}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {294--301}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718370}, doi = {10.1109/FPT.2013.6718370}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CastellanaF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChaoPWHLSH13, author = {Hung{-}Lin Chao and Chun{-}Yang Peng and Cheng{-}Chien Wu and Ken{-}Shin Huang and Chun{-}Hsien Lu and Jih{-}Sheng Shen and Pao{-}Ann Hsiung}, title = {Spatio-Temporally-Shared Reconfigurable Fast Fourier Transform architecture design}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {426--429}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718405}, doi = {10.1109/FPT.2013.6718405}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChaoPWHLSH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChauKCTLTCL13, author = {Thomas C. P. Chau and Ka{-}Wai Kwok and Gary C. T. Chow and Kuen Hung Tsoi and Kit{-}Hang Lee and Zion Tse and Peter Y. K. Cheung and Wayne Luk}, title = {Acceleration of real-time Proximity Query for dynamic active constraints}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {206--213}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718355}, doi = {10.1109/FPT.2013.6718355}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChauKCTLTCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenFWHRA13, author = {Yongzhen Chen and Miguel Rodel Felipe and Yi Wang and Yajun Ha and Shu Qin Ren and Khin Mi Mi Aung}, title = {sAES: {A} high throughput and low latency secure cloud storage with pipelined {DMA} based PCIe interface}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {374--377}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718391}, doi = {10.1109/FPT.2013.6718391}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChenFWHRA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenM13, author = {Liang Chen and Tulika Mitra}, title = {Correction to "Graph Minor Approach for Application Mapping on CGRAs"}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {510}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718431}, doi = {10.1109/FPT.2013.6718431}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChenM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenWLZ13, author = {Peng Chen and Chao Wang and Xi Li and Xuehai Zhou}, title = {Hardware acceleration for the banded Smith-Waterman algorithm with the cycled systolic array}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {480--481}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718421}, doi = {10.1109/FPT.2013.6718421}, timestamp = {Thu, 19 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenWLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenWW13, author = {Jialin Chen and Lingli Wang and Bin Wang}, title = {Quantum {FPGA} architecture design}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {354--357}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718386}, doi = {10.1109/FPT.2013.6718386}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChiassonB13, author = {Charles Chiasson and Vaughn Betz}, title = {{COFFE:} Fully-automated transistor sizing for FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {34--41}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718327}, doi = {10.1109/FPT.2013.6718327}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChiassonB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChinA13, author = {S. Alexander Chin and Jason Helge Anderson}, title = {A case for hardened multiplexers in FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {42--49}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718328}, doi = {10.1109/FPT.2013.6718328}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChinA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChoiBA13, author = {Jongsok Choi and Stephen Dean Brown and Jason Helge Anderson}, title = {From software threads to parallel hardware in high-level synthesis for FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {270--277}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718365}, doi = {10.1109/FPT.2013.6718365}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChoiBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Chow13, author = {Paul Chow}, title = {Why Put FPGAs in your {CPU} socket?}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718320}, doi = {10.1109/FPT.2013.6718320}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Chow13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChungKCR13, author = {Moo{-}Kyoung Chung and Jun{-}Kyoung Kim and Yeon{-}Gon Cho and Soojung Ryu}, title = {Adaptive compression for instruction code of Coarse Grained Reconfigurable Architectures}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {394--397}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718396}, doi = {10.1109/FPT.2013.6718396}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChungKCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CollinsonS13, author = {Sam Collinson and Oliver Sinnen}, title = {Flexible hierarchy ray tracing on FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {330--333}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718379}, doi = {10.1109/FPT.2013.6718379}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/CollinsonS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DavisC13, author = {James J. Davis and Peter Y. K. Cheung}, title = {Datapath fault tolerance for parallel accelerators}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {366--369}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718389}, doi = {10.1109/FPT.2013.6718389}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DavisC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DeHonM13, author = {Andr{\'{e}} DeHon and Nikil Mehta}, title = {Exploiting partially defective LUTs: Why you don't need perfect fabrication}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {12--19}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718323}, doi = {10.1109/FPT.2013.6718323}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DeHonM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DenholmITL13, author = {Stewart Denholm and Hiroaki Inoue and Takashi Takenaka and Wayne Luk}, title = {Application-specific customisation of market data feed arbitration}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {322--325}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718377}, doi = {10.1109/FPT.2013.6718377}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DenholmITL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DhiaRBNBCAMM13, author = {Arwa Ben Dhia and Saif{-}Ur Rehman and Adrien Blanchardon and Lirida A. B. Naviner and Mounir Benabdenbi and Roselyne Chotin{-}Avot and Emna Amouri and Habib Mehrez and Zied Marrakchi}, title = {A defect-tolerant cluster in a mesh SRAM-based {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {434--437}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718407}, doi = {10.1109/FPT.2013.6718407}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DhiaRBNBCAMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FanWCZWW13, author = {Xitian Fan and Chenlu Wu and Wei Cao and Xuegong Zhou and Shengye Wang and Lingli Wang}, title = {Implementation of high performance hardware architecture of OpenSURF algorithm on {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {152--159}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718346}, doi = {10.1109/FPT.2013.6718346}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FanWCZWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FujimoriW13, author = {Takumi Fujimori and Minoru Watanabe}, title = {Color configuration method for an optically reconfigurable gate array}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {406--409}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718400}, doi = {10.1109/FPT.2013.6718400}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FujimoriW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GaoBC13, author = {Xitong Gao and Samuel Bayliss and George A. Constantinides}, title = {{SOAP:} Structural optimization of arithmetic expressions for high-level synthesis}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {112--119}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718340}, doi = {10.1109/FPT.2013.6718340}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GaoBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GeorgeNROI13, author = {Nithin George and David Novo and Tiark Rompf and Martin Odersky and Paolo Ienne}, title = {Making domain-specific hardware synthesis tools cost-efficient}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {120--127}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718341}, doi = {10.1109/FPT.2013.6718341}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GeorgeNROI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GhazaaniGM13, author = {Elyas Abolhassani Ghazaani and Zana Ghaderi and Seyed Ghassem Miremadi}, title = {A non-intrusive portable fault injection framework to assess reliability of FPGA-based designs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {398--401}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718397}, doi = {10.1109/FPT.2013.6718397}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GhazaaniGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GormanST13, author = {Cory Gorman and Paul Siqueira and Russell Tessier}, title = {An open-source {SATA} core for Virtex-4 FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {454--457}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718413}, doi = {10.1109/FPT.2013.6718413}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GormanST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GregersonCM13, author = {Anthony E. Gregerson and Aman Chadha and Katherine Morrow}, title = {Multi-personality partitioning for heterogeneous systems}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {314--317}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718375}, doi = {10.1109/FPT.2013.6718375}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GregersonCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GuanWCCC13, author = {Zhenyu Guan and Justin S. J. Wong and Sumanta Chaudhuri and George A. Constantinides and Peter Y. K. Cheung}, title = {Exploiting stochastic delay variability on FPGAs with adaptive partial rerouting}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {254--261}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718362}, doi = {10.1109/FPT.2013.6718362}, timestamp = {Wed, 03 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GuanWCCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HouYHL13, author = {Junsong Hou and Heng Yu and Yajun Ha and Xin Liu}, title = {The architecture and placement algorithm for a uni-directional routing based 3D {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {28--33}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718325}, doi = {10.1109/FPT.2013.6718325}, timestamp = {Fri, 25 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HouYHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HuangWNM13, author = {Zheng Huang and Lingli Wang and Yakov Nasikovskiy and Alan Mishchenko}, title = {Fast Boolean matching based on {NPN} classification}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {310--313}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718374}, doi = {10.1109/FPT.2013.6718374}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HuangWNM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HungJW13, author = {Eddie Hung and Al{-}Shahna Jamal and Steven J. E. Wilton}, title = {Maximum flow algorithms for maximum observability during {FPGA} debug}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {20--27}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718324}, doi = {10.1109/FPT.2013.6718324}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HungJW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JiaWCWSY13, author = {Rui Jia and Fei Wang and Rui Chen and Xin{-}Gang Wang and Delong Shang and Hai{-}Gang Yang}, title = {High-order reconfigurable {FIR} filter design based on statistical analysis of {CSD} coefficients}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {402--405}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718399}, doi = {10.1109/FPT.2013.6718399}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JiaWCWSY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JoGMF13, author = {Satoshi Jo and Amir Masoud Gharehbaghi and Takeshi Matsumoto and Masahiro Fujita}, title = {Debugging processors with advanced features by reprogramming LUTs on {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {50--57}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718329}, doi = {10.1109/FPT.2013.6718329}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JoGMF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KlaiberBABS13, author = {Michael J. Klaiber and Donald G. Bailey and Silvia Ahmed and Yousef Baroud and Sven Simon}, title = {A high-throughput {FPGA} architecture for parallel connected components analysis based on label reuse}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {302--305}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718372}, doi = {10.1109/FPT.2013.6718372}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KlaiberBABS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KlimovicA13, author = {Ana Klimovic and Jason Helge Anderson}, title = {Bitwidth-optimized hardware accelerators with software fallback}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {136--143}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718343}, doi = {10.1109/FPT.2013.6718343}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KlimovicA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KochBWT13, author = {Dirk Koch and Christian Beckhoff and Alexander Wold and Jim T{\o}rresen}, title = {EasyPR - An easy usable open-source {PR} system}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {414--417}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718402}, doi = {10.1109/FPT.2013.6718402}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KochBWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Kojima13, author = {Akira Kojima}, title = {An implementation of Blokus Duo player on {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {506--509}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718429}, doi = {10.1109/FPT.2013.6718429}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Kojima13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KosarZK13, author = {Vlastimil Kosar and Martin Z{\'{a}}dn{\'{\i}}k and Jan Korenek}, title = {{NFA} reduction for regular expressions matching using {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {338--341}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718381}, doi = {10.1109/FPT.2013.6718381}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KosarZK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KutznerPS13, author = {Sebastian Kutzner and Axel Poschmann and Marc St{\"{o}}ttinger}, title = {{TROJANUS:} An ultra-lightweight side-channel leakage generator for FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {160--167}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718347}, doi = {10.1109/FPT.2013.6718347}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KutznerPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KuuhnSPKR13, author = {Johannes Maximilian K{\"{u}}hn and Thomas Schweizer and Dustin Peterson and Tommy Kuhn and Wolfgang Rosenstiel}, title = {Testing reliability techniques for SoCs with fault tolerant {CGRA} by using live {FPGA} fault injection}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {462--465}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718415}, doi = {10.1109/FPT.2013.6718415}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KuuhnSPKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KwonSPPWJR13, author = {Kwontaek Kwon and Sungjin Son and Jeong{-}Soo Park and Jeongae Park and Sangoak Woo and Seokyoon Jung and Soojung Ryu}, title = {Mobile {GPU} shader processor based on non-blocking Coarse Grained Reconfigurable Arrays architecture}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {198--205}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718353}, doi = {10.1109/FPT.2013.6718353}, timestamp = {Wed, 15 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KwonSPPWJR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LaForestS13, author = {Charles Eric LaForest and J. Gregory Steffan}, title = {Maximizing speed and density of tiled {FPGA} overlays via partitioning}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {238--245}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718360}, doi = {10.1109/FPT.2013.6718360}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LaForestS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LavinNH13, author = {Christopher Lavin and Brent E. Nelson and Brad L. Hutchings}, title = {Improving clock-rate of hard-macro designs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {246--253}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718361}, doi = {10.1109/FPT.2013.6718361}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LavinNH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LeeSLRK13, author = {Jaedon Lee and Youngsam Shin and Won{-}Jong Lee and Soojung Ryu and Jeongwook Kim}, title = {Real-time ray tracing on coarse-grained reconfigurable processor}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {192--197}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718352}, doi = {10.1109/FPT.2013.6718352}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LeeSLRK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiCCMF13, author = {Will X. Y. Li and Shridhar Chaudhary and Ray C. C. Cheung and Takeshi Matsumoto and Masahiro Fujita}, title = {Fast simulation of Digital Spiking Silicon Neuron model employing reconfigurable dataflow computing}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {478--479}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718420}, doi = {10.1109/FPT.2013.6718420}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiCCMF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiangWZCWW13, author = {Chen Liang and Chenlu Wu and Xuegong Zhou and Wei Cao and Shengye Wang and Lingli Wang}, title = {An FPGA-cluster-accelerated match engine for content-based image retrieval}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {422--425}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718404}, doi = {10.1109/FPT.2013.6718404}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiangWZCWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LinC13, author = {Zhongduo Lin and Paul Chow}, title = {ZCluster: {A} Zynq-based Hadoop cluster}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {450--453}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718411}, doi = {10.1109/FPT.2013.6718411}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LinC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Liu13, author = {Chester Liu}, title = {Implementation of a highly scalable blokus duo solver on {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {482--485}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718423}, doi = {10.1109/FPT.2013.6718423}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Liu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuXY13, author = {Qiang Liu and Zhenyu Xu and Ye Yuan}, title = {A 66.1 Gbps single-pipeline {AES} on {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {378--381}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718392}, doi = {10.1109/FPT.2013.6718392}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuXY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MiyajimaKHAB13, author = {Takaaki Miyajima and Takuya Kuhara and Toshihiro Hanawa and Hideharu Amano and Taisuke Boku}, title = {Task level pipelining with {PEACH2:} An {FPGA} switching fabric for high performance computing}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {466--469}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718416}, doi = {10.1109/FPT.2013.6718416}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MiyajimaKHAB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NahLKLHYL13, author = {Jeongho Nah and Jun Lee and Hongjune Kim and Jinseok Lee and Seok Joong Hwang and Donghoon Yoo and Jaejin Lee}, title = {An OpenCL optimizing compiler for reconfigurable processors}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {184--191}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718351}, doi = {10.1109/FPT.2013.6718351}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NahLKLHYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NakaharaIN13, author = {Hiroki Nakahara and Kazumasa Iwai and Hiroyuki Nakanishi}, title = {A high-speed {FFT} based on a six-step algorithm: Applied to a radio telescope for a solar radio burst}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {430--433}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718406}, doi = {10.1109/FPT.2013.6718406}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NakaharaIN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NgCS13, author = {Ho{-}Cheung Ng and Yuk{-}Ming Choi and Hayden Kwok{-}Hay So}, title = {Direct virtual memory access from {FPGA} for high-productivity heterogeneous computing}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {458--461}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718414}, doi = {10.1109/FPT.2013.6718414}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NgCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NiuCWL13, author = {Xinyu Niu and Jos{\'{e}} Gabriel F. Coutinho and Yu Wang and Wayne Luk}, title = {Dynamic Stencil: Effective exploitation of run-time resources in reconfigurable clusters}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {214--221}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718356}, doi = {10.1109/FPT.2013.6718356}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NiuCWL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OhkawaYO13, author = {Takeshi Ohkawa and Takashi Yokota and Kanemitsu Ootsu}, title = {A prototyping system for hardware distributed objects with diversity of programming languages design and preliminary evaluation}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {474--477}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718418}, doi = {10.1109/FPT.2013.6718418}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/OhkawaYO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OlivitoGR13, author = {Javier Olivito and Carlos Gonz{\'{a}}lez and Javier Resano}, title = {An FPGA-based specific processor for Blokus Duo}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {502--505}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718428}, doi = {10.1109/FPT.2013.6718428}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/OlivitoGR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PandiyarajanHV13, author = {Karthikeyan Pandiyarajan and Srijith Haridas and Kuruvilla Varghese}, title = {Transparent {FPGA} based device for {SQL} DDoS mitigation}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {82--89}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718334}, doi = {10.1109/FPT.2013.6718334}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PandiyarajanHV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PangWPFL13, author = {Yeyong Pang and Shaojun Wang and Yu Peng and Nicholas J. Fraser and Philip Heng Wai Leong}, title = {A low latency kernel recursive least squares processor using {FPGA} technology}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {144--151}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718345}, doi = {10.1109/FPT.2013.6718345}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/PangWPFL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PangraciousMM13, author = {Vinod Pangracious and Zied Marrakchi and Habib Mehrez}, title = {Design and optimization of heterogeneous tree-based {FPGA} using 3D technology}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {334--337}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718380}, doi = {10.1109/FPT.2013.6718380}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PangraciousMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ParkPM13, author = {Jason Jong Kyu Park and Yongjun Park and Scott A. Mahlke}, title = {Efficient execution of augmented reality applications on mobile programmable accelerators}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {176--183}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718350}, doi = {10.1109/FPT.2013.6718350}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ParkPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PetersonBSR13, author = {Dustin Peterson and Oliver Bringmann and Thomas Schweizer and Wolfgang Rosenstiel}, title = {StML: Bridging the gap between {FPGA} design and {HDL} circuit description}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {278--285}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718366}, doi = {10.1109/FPT.2013.6718366}, timestamp = {Fri, 29 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/PetersonBSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PiferSLSZ13, author = {Tim Pifer and David M. Schwartz and Roman Lysecky and Chungman Seo and Bernard P. Zeigler}, title = {Discrete event system specification, synthesis, and optimization of low-power FPGA-based embedded systems}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {98--105}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718337}, doi = {10.1109/FPT.2013.6718337}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/PiferSLSZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ProcterHGBA13, author = {Adam M. Procter and William L. Harrison and Ian Graves and Michela Becchi and Gerard Allwein}, title = {Semantics-directed machine architecture in ReWire}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {446--449}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718410}, doi = {10.1109/FPT.2013.6718410}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ProcterHGBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Rahman13, author = {Arif Rahman}, title = {Recent advances in die stacking and 3D {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {1}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718318}, doi = {10.1109/FPT.2013.6718318}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Rahman13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RieblerKSP13, author = {Heinrich Riebler and Tobias Kenter and Christoph Sorge and Christian Plessl}, title = {FPGA-accelerated key search for cold-boot attacks against {AES}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {386--389}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718394}, doi = {10.1109/FPT.2013.6718394}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/RieblerKSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SandovalMWLS13, author = {Nathan Sandoval and Casey Mackin and Sean Whitsitt and Roman L. Lysecky and Jonathan Sprinkle}, title = {Runtime hardware/software task transition scheduling for data-adaptable embedded systems}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {342--345}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718382}, doi = {10.1109/FPT.2013.6718382}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SandovalMWLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SeemuthM13, author = {Daniel P. Seemuth and Katherine Morrow}, title = {Automated multi-device placement, {I/O} voltage supply assignment, and pin assignment in circuit board design}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {262--269}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718363}, doi = {10.1109/FPT.2013.6718363}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SeemuthM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShreejithF13, author = {Shanker Shreejith and Suhaib A. Fahmy}, title = {Enhancing communication on automotive networks using data layer extensions}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {470--473}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718417}, doi = {10.1109/FPT.2013.6718417}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShreejithF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShreejithFL13, author = {Shanker Shreejith and Suhaib A. Fahmy and Martin Lukasiewycz}, title = {Accelerating validation of time-triggered automotive systems on FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {4--11}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718322}, doi = {10.1109/FPT.2013.6718322}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShreejithFL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Sidhu13, author = {Reetinder Sidhu}, title = {High throughput, tree automata based {XML} processing using FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {74--81}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718333}, doi = {10.1109/FPT.2013.6718333}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Sidhu13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SogabeM13, author = {Yoko Sogabe and Tsutomu Maruyama}, title = {An acceleration method of short read mapping using {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {350--353}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718385}, doi = {10.1109/FPT.2013.6718385}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SogabeM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SuWKA13, author = {Hongliang Su and Weihan Wang and Kuniaki Kitamori and Hideharu Amano}, title = {A low power reconfigurable accelerator using a back-gate bias control technique}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {390--393}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718395}, doi = {10.1109/FPT.2013.6718395}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SuWKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SugimotoMKKMA13, author = {Naru Sugimoto and Takaaki Miyajima and Takuya Kuhara and Yuki Katuta and Takushi Mitsuichi and Hideharu Amano}, title = {Artificial intelligence of Blokus Duo on {FPGA} using Cyber Work Bench}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {498--501}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718427}, doi = {10.1109/FPT.2013.6718427}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SugimotoMKKMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TalipAHOFA13, author = {Mohamad Sofian Abu Talip and Takayuki Akamine and Mao Hatto and Yasunori Osana and Naoyuki Fujita and Hideharu Amano}, title = {Partially reconfigurable flux calculation scheme in advection term computation}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {382--385}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718393}, doi = {10.1109/FPT.2013.6718393}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TalipAHOFA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ToiNFKTFA13, author = {Takao Toi and Noritsugu Nakamura and Taro Fujii and Toshiro Kitaoka and Katsumi Togawa and Koichiro Furuta and Toru Awashima}, title = {Optimizing time and space multiplexed computation in a dynamically reconfigurable processor}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {106--111}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718338}, doi = {10.1109/FPT.2013.6718338}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ToiNFKTFA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/UnnikrishnanVKGT13, author = {Deepak Unnikrishnan and Sandesh Gubbi Virupaksha and Lekshmi Krishnan and Lixin Gao and Russell Tessier}, title = {Accelerating iterative algorithms with asynchronous accumulative updates on FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {66--73}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718332}, doi = {10.1109/FPT.2013.6718332}, timestamp = {Sat, 21 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/UnnikrishnanVKGT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/UnoOITMA13, author = {Rie Uno and Nobuaki Ozaki and Mai Izawa and Akihito Tsusaka and Takaaki Miyajima and Hideharu Amano}, title = {A speculative gather system for Cool Mega-Array}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {346--349}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718383}, doi = {10.1109/FPT.2013.6718383}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/UnoOITMA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VansteenkisteBS13, author = {Elias Vansteenkiste and Karel Bruneel and Dirk Stroobandt}, title = {A connection-based router for FPGAs}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {326--329}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718378}, doi = {10.1109/FPT.2013.6718378}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/VansteenkisteBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VipinSGFK13, author = {Kizheppatt Vipin and Shanker Shreejith and Dulitha Gunasekera and Suhaib A. Fahmy and Nachiket Kapre}, title = {System-level {FPGA} device driver with high-level synthesis support}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {128--135}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718342}, doi = {10.1109/FPT.2013.6718342}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/VipinSGFK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Wakabayashi13, author = {Kazutoshi Wakabayashi}, title = {Reconfigurable chip advantage compared with {GPGPU} from the compiler perspective}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718319}, doi = {10.1109/FPT.2013.6718319}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Wakabayashi13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangLZCWFW13, author = {Shengye Wang and Chen Liang and Xuegong Zhou and Wei Cao and Chenlu Wu and Xitian Fan and Lingli Wang}, title = {A hardware implementation of Bag of Words and Simhash for image recognition}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {418--421}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718403}, doi = {10.1109/FPT.2013.6718403}, timestamp = {Mon, 06 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangLZCWFW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangYXWH13, author = {Wenqiang Wang and Jing Yan and Ning{-}Yi Xu and Yu Wang and Feng{-}Hsiung Hsu}, title = {Real-time high-quality stereo vision system in {FPGA}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {358--361}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718387}, doi = {10.1109/FPT.2013.6718387}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangYXWH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WintersteinBC13, author = {Felix Winterstein and Samuel Bayliss and George A. Constantinides}, title = {High-level synthesis of dynamic data structures: {A} case study using Vivado {HLS}}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {362--365}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718388}, doi = {10.1109/FPT.2013.6718388}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WintersteinBC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WongBR13, author = {Henry Wong and Vaughn Betz and Jonathan Rose}, title = {Efficient methods for out-of-order load/store execution for high-performance soft processors}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {442--445}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718409}, doi = {10.1109/FPT.2013.6718409}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WongBR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YozaMTKKWFISAYW13, author = {Takashi Yoza and Retsu Moriwaki and Yuki Torigai and Yuki Kamikubo and Takayuki Kubota and Takahiro Watanabe and Takumi Fujimori and Hiroyuki Ito and Masato Seo and Kouta Akagi and Yuichiro Yamaji and Minoru Watanabe}, title = {{FPGA} Blokus Duo Solver using a massively parallel architecture}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {494--497}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718426}, doi = {10.1109/FPT.2013.6718426}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YozaMTKKWFISAYW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YuBS13, author = {Ting Yu and Chris P. Bradley and Oliver Sinnen}, title = {Hardware acceleration of biomedical models with OpenCMISS and CellML}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {370--373}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718390}, doi = {10.1109/FPT.2013.6718390}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YuBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YukiMD13, author = {Tomofumi Yuki and Antoine Morvan and Steven Derrien}, title = {Derivation of efficient {FSM} from loop nests}, booktitle = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, pages = {286--293}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FPT.2013.6718367}, doi = {10.1109/FPT.2013.6718367}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YukiMD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2013, title = {2013 International Conference on Field-Programmable Technology, {FPT} 2013, Kyoto, Japan, December 9-11, 2013}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/xpl/conhome/6712172/proceeding}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AbdelfattahB12, author = {Mohamed S. Abdelfattah and Vaughn Betz}, title = {Design tradeoffs for hard and soft FPGA-based Networks-on-Chip}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {95--103}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412118}, doi = {10.1109/FPT.2012.6412118}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AbdelfattahB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AbramsonD12, author = {Jeremy Abramson and Pedro C. Diniz}, title = {Resiliency-aware scheduling: Resource allocation for hardened computation on configurable devices}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {129--134}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412124}, doi = {10.1109/FPT.2012.6412124}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AbramsonD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AkagicA12, author = {Amila Akagic and Hideharu Amano}, title = {A study of adaptable co-processors for Cyclic Redundancy Check on an {FPGA}}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {119--124}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412122}, doi = {10.1109/FPT.2012.6412122}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AkagicA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Al-DujailiDHW12, author = {Abdullah Al{-}Dujaili and Florian Deragisch and Andrei Hagiescu and Weng{-}Fai Wong}, title = {Guppy: {A} GPU-like soft-core processor}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {57--60}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412112}, doi = {10.1109/FPT.2012.6412112}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Al-DujailiDHW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AmouriKT12, author = {Abdulazim Amouri and Saman Kiamehr and Mehdi Baradaran Tahoori}, title = {Investigation of aging effects in different implementations and structures of programmable routing resources of FPGAs}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {215--219}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412136}, doi = {10.1109/FPT.2012.6412136}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AmouriKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AungLS12, author = {Yan Lin Aung and Siew Kei Lam and Thambipillai Srikanthan}, title = {Area-time estimation of C-based functions for design space exploration}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {297--300}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412151}, doi = {10.1109/FPT.2012.6412151}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AungLS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BaigL12, author = {Hasan Baig and Jeong{-}A Lee}, title = {An island-style-routing compatible fault-tolerant {FPGA} architecture with self-repairing capabilities}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {301--304}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412152}, doi = {10.1109/FPT.2012.6412152}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BaigL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bailey12, author = {Donald G. Bailey}, title = {Streamed high dynamic range imaging}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {305--308}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412153}, doi = {10.1109/FPT.2012.6412153}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Bailey12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BrantASL12, author = {Alexander Brant and Ameer Abdelhadi and Aaron Severance and Guy G. F. Lemieux}, title = {Pipeline frequency boosting: Hiding dual-ported block {RAM} latency using intentional clock skew}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {235--238}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412140}, doi = {10.1109/FPT.2012.6412140}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BrantASL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BrewsterHVG12, author = {Benjamin Y. Brewster and Ekawat Homsirikamol and Rajesh Velegalati and Kris Gaj}, title = {Option space exploration using distributed computing for efficient benchmarking of {FPGA} cryptographic modules}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {113--118}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412121}, doi = {10.1109/FPT.2012.6412121}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BrewsterHVG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BrousseauR12, author = {Braiden Brousseau and Jonathan Rose}, title = {An energy-efficient, fast {FPGA} hardware architecture for OpenCV-Compatible object detection}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {166--173}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412130}, doi = {10.1109/FPT.2012.6412130}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BrousseauR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BsoulW12, author = {Assem A. M. Bsoul and Steven J. E. Wilton}, title = {An {FPGA} with power-gated switch blocks}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {87--94}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412117}, doi = {10.1109/FPT.2012.6412117}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BsoulW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CheahFM12, author = {Hui Yan Cheah and Suhaib A. Fahmy and Douglas L. Maskell}, title = {iDEA: {A} {DSP} block based {FPGA} soft processor}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {151--158}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412128}, doi = {10.1109/FPT.2012.6412128}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CheahFM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenM12, author = {Liang Chen and Tulika Mitra}, title = {Graph minor approach for application mapping on CGRAs}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {285--292}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412149}, doi = {10.1109/FPT.2012.6412149}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChenM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenWZL12, author = {Yi{-}Chung Chen and Wenhua Wang and Wei Zhang and Hai Li}, title = {uBRAM-based run-time reconfigurable {FPGA} and corresponding reconfiguration methodology}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {80--86}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412116}, doi = {10.1109/FPT.2012.6412116}, timestamp = {Thu, 08 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChenWZL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenYKC12, author = {Donald Donglong Chen and Gavin Xiaoxu Yao and {\c{C}}etin Kaya Ko{\c{c}} and Ray C. C. Cheung}, title = {Low complexity and hardware-friendly spectral modular multiplication}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {368--375}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412162}, doi = {10.1109/FPT.2012.6412162}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChenYKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenYS12, author = {Junying Chen and Alfred C. H. Yu and Hayden Kwok{-}Hay So}, title = {Design considerations of real-time adaptive beamformer for medical ultrasound research using {FPGA} and {GPU}}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {198--205}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412134}, doi = {10.1109/FPT.2012.6412134}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Feng12, author = {Wenyi Feng}, title = {K-way partitioning based packing for {FPGA} logic blocks without input bandwidth constraint}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {8--15}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412103}, doi = {10.1109/FPT.2012.6412103}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Feng12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GharehbaghiF12, author = {Amir Masoud Gharehbaghi and Masahiro Fujita}, title = {Automatic rectification of design errors in complex processors with programmable hardware}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {141--146}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412126}, doi = {10.1109/FPT.2012.6412126}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GharehbaghiF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GoedersW12, author = {Jeffrey B. Goeders and Steven J. E. Wilton}, title = {VersaPower: Power estimation for diverse {FPGA} architectures}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {229--234}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412139}, doi = {10.1109/FPT.2012.6412139}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GoedersW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GuoFL12, author = {Ce Guo and Haohuan Fu and Wayne Luk}, title = {A fully-pipelined expectation-maximization engine for Gaussian Mixture Models}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {182--189}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412132}, doi = {10.1109/FPT.2012.6412132}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GuoFL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HuanD12, author = {Yutian Huan and Andr{\'{e}} DeHon}, title = {{FPGA} optimized packet-switched NoC using split and merge primitives}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {47--52}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412110}, doi = {10.1109/FPT.2012.6412110}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HuanD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/IchinomiyaTAKIS12, author = {Yoshihiro Ichinomiya and Kohei Takano and Motoki Amagasaki and Morihiro Kuga and Masahiro Iida and Toshinori Sueyoshi}, title = {Accelerated evaluation of {SEU} failure-in-time using frame-based partial reconfiguration}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {220--223}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412137}, doi = {10.1109/FPT.2012.6412137}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/IchinomiyaTAKIS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JinLCCR12, author = {Seunghun Jin and Sang{-}Heon Lee and Moo{-}Kyoung Chung and Yeon{-}Gon Cho and Soojung Ryu}, title = {Implementation of a volume rendering on coarse-grained reconfigurable multiprocessor}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {243--246}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412142}, doi = {10.1109/FPT.2012.6412142}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JinLCCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JunFAE12, author = {Sang Woo Jun and Kermin Fleming and Michael Adler and Joel S. Emer}, title = {{ZIP-IO:} Architecture for application-specific compression of Big Data}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {343--351}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412159}, doi = {10.1109/FPT.2012.6412159}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JunFAE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JungkSGRH12, author = {Bernhard Jungk and Marc St{\"{o}}ttinger and Jan Gampe and Steffen Reith and Sorin A. Huss}, title = {Side-channel resistant {AES} architecture utilizing randomized composite field representations}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {125--128}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412123}, doi = {10.1109/FPT.2012.6412123}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JungkSGRH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KasapR12, author = {Server Kasap and Soydan Redif}, title = {FPGA-based design and implementation of an approximate polynomial matrix {EVD} algorithm}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {135--140}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412125}, doi = {10.1109/FPT.2012.6412125}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KasapR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KimASH12, author = {Hee{-}Seok Kim and Minwook Ahn and John A. Stratton and Wen{-}mei W. Hwu}, title = {Design evaluation of OpenCL compiler framework for Coarse-Grained Reconfigurable Arrays}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {313--320}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412155}, doi = {10.1109/FPT.2012.6412155}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KimASH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KimCCKRK12, author = {Changmoo Kim and Moo{-}Kyoung Chung and Yeon{-}Gon Cho and Mario Konijnenburg and Soojung Ryu and Jeongwook Kim}, title = {{ULP-SRP:} Ultra low power Samsung Reconfigurable Processor for biomedical applications}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {329--334}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412157}, doi = {10.1109/FPT.2012.6412157}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KimCCKRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KimYPA12, author = {Wonsub Kim and Donghoon Yoo and Haewoo Park and Minwook Ahn}, title = {{SCC} based modulo scheduling for coarse-grained reconfigurable processors}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {321--328}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412156}, doi = {10.1109/FPT.2012.6412156}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KimYPA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KlaiberRWBS12, author = {Michael J. Klaiber and Lars Rockstroh and Zhe Wang and Yousef Baroud and Sven Simon}, title = {A memory-efficient parallel single pass architecture for connected component labeling of streamed images}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {159--165}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412129}, doi = {10.1109/FPT.2012.6412129}, timestamp = {Tue, 22 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KlaiberRWBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KoizumiAMMKSNUKN12, author = {Yusuke Koizumi and Hideharu Amano and Hiroki Matsutani and Noriyuki Miura and Tadahiro Kuroda and Ryuichi Sakamoto and Mitaro Namiki and Kimiyoshi Usami and Masaaki Kondo and Hiroshi Nakamura}, title = {Dynamic power control with a heterogeneous multi-core system using a 3-D wireless inductive coupling interconnect}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {293--296}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412150}, doi = {10.1109/FPT.2012.6412150}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/KoizumiAMMKSNUKN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KriegGBH12, author = {Armin Krieg and Johannes Grinschgl and Holger Bock and Josef Haid}, title = {Acceleration of fault attack emulation by consideration of fault propagation}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {239--242}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412141}, doi = {10.1109/FPT.2012.6412141}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KriegGBH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KurekL12, author = {Maciej Kurek and Wayne Luk}, title = {Parametric reconfigurable designs with Machine Learning Optimizer}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {109--112}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412120}, doi = {10.1109/FPT.2012.6412120}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KurekL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KwaA12, author = {Jimmy Kwa and Tor M. Aamodt}, title = {Small virtual channel routers on FPGAs through block {RAM} sharing}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {71--79}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412115}, doi = {10.1109/FPT.2012.6412115}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KwaA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LeeCJSWWH12, author = {Ju{-}Yueh Lee and Cheng{-}Ru Chang and Naifeng Jing and Juexiao Su and Shi{-}Jie Wen and Rick Wong and Lei He}, title = {Heterogeneous configuration memory scrubbing for soft error mitigation in FPGAs}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {23--28}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412105}, doi = {10.1109/FPT.2012.6412105}, timestamp = {Fri, 22 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LeeCJSWWH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiCJZW12, author = {Yuan Li and Paul Chow and Jiang Jiang and Minxuan Zhang and Shaojun Wei}, title = {Software/hardware framework for generating parallel Gaussian random numbers based on the Monty Python method}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {190--197}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412133}, doi = {10.1109/FPT.2012.6412133}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiCJZW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuMZ12, author = {Qiang Liu and Jianguo Ma and Qijun Zhang}, title = {Neural network based pre-placement wirelength estimation}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {16--22}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412104}, doi = {10.1109/FPT.2012.6412104}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuMZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LoC12, author = {Charles Lo and Paul Chow}, title = {A high-performance architecture for training Viola-Jones object detectors}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {174--181}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412131}, doi = {10.1109/FPT.2012.6412131}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LoC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MaiL12, author = {Toan X. Mai and Jongeun Lee}, title = {Software-managed automatic data sharing for Coarse-Grained Reconfigurable coprocessors}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {277--284}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412148}, doi = {10.1109/FPT.2012.6412148}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MaiL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MataiMWWK12, author = {Janarbek Matai and Pingfan Meng and Lingjuan Wu and Brad T. Weals and Ryan Kastner}, title = {Designing a hardware in the loop wireless digital channel emulator for software defined radio}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {206--214}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412135}, doi = {10.1109/FPT.2012.6412135}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MataiMWWK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MengJK12, author = {Pingfan Meng and Matthew Jacobsen and Ryan Kastner}, title = {{FPGA-GPU-CPU} heterogenous architecture for real-time cardiac physiological optical mapping}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {37--42}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412108}, doi = {10.1109/FPT.2012.6412108}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MengJK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MirianC12, author = {Vincent Mirian and Paul Chow}, title = {Managing mutex variables in a cache-coherent shared-memory system for FPGAs}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {43--46}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412109}, doi = {10.1109/FPT.2012.6412109}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MirianC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MohammadniaS12, author = {Mohammad Reza Mohammadnia and Lesley Shannon}, title = {Minimizing the error: {A} study of the implementation of an Integer Split-Radix {FFT} on an {FPGA} for medical imaging}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {360--367}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412161}, doi = {10.1109/FPT.2012.6412161}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MohammadniaS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NaneSB12, author = {Razvan Nane and Vlad Mihai Sima and Koen Bertels}, title = {Area constraint propagation in high level synthesis}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {247--252}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412143}, doi = {10.1109/FPT.2012.6412143}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NaneSB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ParkPM12, author = {Yongjun Park and Jason Jong Kyu Park and Scott A. Mahlke}, title = {Efficient performance scaling of future CGRAs for mobile applications}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {335--342}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412158}, doi = {10.1109/FPT.2012.6412158}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ParkPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PetersJPS12, author = {Eduardo Peters and Ricardo P. Jasinski and Volnei A. Pedroni and Jean M. Simao}, title = {A new hardware coprocessor for accelerating Notification-Oriented applications}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {257--260}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412145}, doi = {10.1109/FPT.2012.6412145}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PetersJPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Pham-QuocAB12, author = {Cuong Pham{-}Quoc and Zaid Al{-}Ars and Koen Bertels}, title = {Rule-based data communication optimization using quantitative communication profiling}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {104--108}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412119}, doi = {10.1109/FPT.2012.6412119}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Pham-QuocAB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SeveranceL12, author = {Aaron Severance and Guy Lemieux}, title = {{VENICE:} {A} compact vector processor for {FPGA} applications}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {261--268}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412146}, doi = {10.1109/FPT.2012.6412146}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SeveranceL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShanWWHWTLY12, author = {Yi Shan and Zilong Wang and Wenqiang Wang and Yuchen Hao and Yu Wang and Kuen Hung Tsoi and Wayne Luk and Huazhong Yang}, title = {{FPGA} based memory efficient high resolution stereo vision system for video tolling}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {29--32}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412106}, doi = {10.1109/FPT.2012.6412106}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShanWWHWTLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShinBS12, author = {Insup Shin and Donkyu Baek and Youngsoo Shin}, title = {Introducing irregularity to routing architecture of structured {ASIC} for better routability}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {224--228}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412138}, doi = {10.1109/FPT.2012.6412138}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShinBS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Shoufan12, author = {Abdulhadi Shoufan}, title = {A hardware security module for quadrotor communication}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {253--256}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412144}, doi = {10.1109/FPT.2012.6412144}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Shoufan12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SuhKKRK12, author = {Dongkwan Suh and Kiseok Kwon and Sukjin Kim and Soojung Ryu and Jeongwook Kim}, title = {Design space exploration and implementation of a high performance and low area Coarse Grained Reconfigurable Processor}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {67--70}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412114}, doi = {10.1109/FPT.2012.6412114}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SuhKKRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TodmanBL12, author = {Tim Todman and Peter B{\"{o}}hm and Wayne Luk}, title = {Verification of streaming hardware and software codesigns}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {147--150}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412127}, doi = {10.1109/FPT.2012.6412127}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TodmanBL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VipinF12, author = {Kizheppatt Vipin and Suhaib A. Fahmy}, title = {A high speed open source controller for {FPGA} Partial Reconfiguration}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {61--66}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412113}, doi = {10.1109/FPT.2012.6412113}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/VipinF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangLZH12, author = {Chao Wang and Xi Li and Xuehai Zhou and Yajun Ha}, title = {Parallel dataflow execution for sequential programs on reconfigurable hybrid MPSoCs}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {53--56}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412111}, doi = {10.1109/FPT.2012.6412111}, timestamp = {Thu, 19 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangLZH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangYZWLPT12, author = {Ying Wang and Jian Yan and Xuegong Zhou and Lingli Wang and Wayne Luk and Chenglian Peng and Jiarong Tong}, title = {A partially reconfigurable architecture supporting hardware threads}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {269--276}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412147}, doi = {10.1109/FPT.2012.6412147}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WangYZWLPT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WillenbergC12, author = {Ruediger Willenberg and Paul Chow}, title = {SimXMD: Integrated debugging of {C} code and hardware components}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {309--312}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412154}, doi = {10.1109/FPT.2012.6412154}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WillenbergC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WiltonQH12, author = {Steven J. E. Wilton and Bradley R. Quinton and Eddie Hung}, title = {Rapid RTL-based signal ranking for {FPGA} prototyping}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {1--7}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412102}, doi = {10.1109/FPT.2012.6412102}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WiltonQH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WuXDSWL12, author = {Guiming Wu and Xianghui Xie and Yong Dou and Junqing Sun and Dong Wu and Yuan Li}, title = {Parallelizing sparse {LU} decomposition on FPGAs}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {352--359}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412160}, doi = {10.1109/FPT.2012.6412160}, timestamp = {Sat, 04 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WuXDSWL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhangWL0FZ12, author = {Junneng Zhang and Chao Wang and Xi Li and Peng Chen and Xiaojing Feng and Xuehai Zhou}, title = {A task-level OoO framework for heterogeneous systems}, booktitle = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, pages = {33--36}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/FPT.2012.6412107}, doi = {10.1109/FPT.2012.6412107}, timestamp = {Thu, 19 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhangWL0FZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2012, title = {2012 International Conference on Field-Programmable Technology, {FPT} 2012, Seoul, Korea (South), December 10-12, 2012}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/xpl/conhome/6395855/proceeding}, isbn = {978-1-4673-2846-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/2012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Adam11, author = {Gina C. Adam}, editor = {Russell Tessier}, title = {3D implication logic: Preliminary results}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--3}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132687}, doi = {10.1109/FPT.2011.6132687}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Adam11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AnandWV11, author = {Tejasvi Anand and Yagnesh Waghela and Kuruvilla Varghese}, editor = {Russell Tessier}, title = {A scalable network port scan detection system on {FPGA}}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132712}, doi = {10.1109/FPT.2011.6132712}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AnandWV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AngJLS11, author = {Chong H. Ang and Craig T. Jin and Philip Heng Wai Leong and Andr{\'{e}} van Schaik}, editor = {Russell Tessier}, title = {Spiking neural network-based auto-associative memory using {FPGA} interconnect delays}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132701}, doi = {10.1109/FPT.2011.6132701}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AngJLS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AngermeierZGT11, author = {Josef Angermeier and Daniel Ziener and Michael Gla{\ss} and J{\"{u}}rgen Teich}, editor = {Russell Tessier}, title = {Runtime stress-aware replica placement on reconfigurable devices under safety constraints}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6133247}, doi = {10.1109/FPT.2011.6133247}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AngermeierZGT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BaoJFS11, author = {Wenqi Bao and Jiang Jiang and Yuzhuo Fu and Qing Sun}, editor = {Russell Tessier}, title = {A reconfigurable macro-pipelined systolic accelerator architecture}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132702}, doi = {10.1109/FPT.2011.6132702}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BaoJFS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BetkaouiTLP11, author = {Brahim Betkaoui and David B. Thomas and Wayne Luk and Natasa Przulj}, editor = {Russell Tessier}, title = {A framework for {FPGA} acceleration of large graph problems: Graphlet counting case study}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132667}, doi = {10.1109/FPT.2011.6132667}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BetkaouiTLP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Castells-RufasFCJ11, author = {David Castells{-}Rufas and Eduard Fernandez{-}Alonso and Jordi Carrabina and Jaume Joven}, editor = {Russell Tessier}, title = {Sharing FPUs in many-soft-cores}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132724}, doi = {10.1109/FPT.2011.6132724}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Castells-RufasFCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChaudhuriWC11, author = {Sumanta Chaudhuri and Justin S. J. Wong and Peter Y. K. Cheung}, editor = {Russell Tessier}, title = {Timing speculation in FPGAs: Probabilistic inference of data dependent failure rates}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132706}, doi = {10.1109/FPT.2011.6132706}, timestamp = {Wed, 03 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChaudhuriWC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenZYN11, author = {Wei Chen and Xiaolin Zhang and Takeshi Yoshimura and Yuichi Nakamura}, editor = {Russell Tessier}, title = {A low power technology mapping method for Adaptive Logic Module}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132674}, doi = {10.1109/FPT.2011.6132674}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ChenZYN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChengB11, author = {Chuan Cheng and Christos{-}Savvas Bouganis}, editor = {Russell Tessier}, title = {An FPGA-based object detector with dynamic workload balancing}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132723}, doi = {10.1109/FPT.2011.6132723}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChengB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CrenneCGTD11, author = {J{\'{e}}r{\'{e}}mie Crenne and Pascal Cotret and Guy Gogniat and Russell Tessier and Jean{-}Philippe Diguet}, editor = {Russell Tessier}, title = {Efficient key-dependent message authentication in reconfigurable hardware}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132722}, doi = {10.1109/FPT.2011.6132722}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CrenneCGTD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CrosthwaiteWS11, author = {Peter Crosthwaite and John Williams and Peter Sutton}, editor = {Russell Tessier}, title = {A unified emulation/simulation environment for reconfigurable system-on-chip development}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132690}, doi = {10.1109/FPT.2011.6132690}, timestamp = {Fri, 22 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/CrosthwaiteWS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DasW11, author = {Joydip Das and Steven J. E. Wilton}, editor = {Russell Tessier}, title = {Accelerated {FPGA} architecture design: Capabilities and limitations of analytical models}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132684}, doi = {10.1109/FPT.2011.6132684}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DasW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DevadossPB11, author = {Rajeswari Devadoss and Kolin Paul and M. Balakrishnan}, editor = {Russell Tessier}, title = {Architecture and tools for programmable {QCA}}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132689}, doi = {10.1109/FPT.2011.6132689}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DevadossPB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DickinS11, author = {David Dickin and Lesley Shannon}, editor = {Russell Tessier}, title = {Exploring {FPGA} technology mapping for fracturable {LUT} minimization}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132691}, doi = {10.1109/FPT.2011.6132691}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DickinS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DuanWLZZS11, author = {Bo Duan and Wendi Wang and Xingjian Li and Chunming Zhang and Peiheng Zhang and Ninghui Sun}, editor = {Russell Tessier}, title = {Floating-point mixed-radix {FFT} core generation for {FPGA} and comparison with {GPU} and {CPU}}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132672}, doi = {10.1109/FPT.2011.6132672}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DuanWLZZS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/EiroaB11, author = {Susana Eiroa and Iluminada Baturone}, editor = {Russell Tessier}, title = {An analysis of ring oscillator {PUF} behavior on FPGAs}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132673}, doi = {10.1109/FPT.2011.6132673}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/EiroaB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GarciaC11, author = {Philip Garcia and Katherine Compton}, editor = {Russell Tessier}, title = {A scalable memory interface for multicore reconfigurable computing systems}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132685}, doi = {10.1109/FPT.2011.6132685}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GarciaC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GongD11, author = {Lingkan Gong and Oliver Diessel}, editor = {Russell Tessier}, title = {ReSim: {A} reusable library for {RTL} simulation of dynamic partial reconfiguration}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132709}, doi = {10.1109/FPT.2011.6132709}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GongD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GourRBMM11, author = {Aditya Gour and A. Santhana Raj and R. P. Behera and Nagarajan Murali and S. A. V. Satya Murty}, editor = {Russell Tessier}, title = {Design {\&} development of soft-core processor based remote terminal units for nuclear reactors}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132711}, doi = {10.1109/FPT.2011.6132711}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GourRBMM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HironakaOA11, author = {Kazuei Hironaka and Nobuaki Ozaki and Hideharu Amano}, editor = {Russell Tessier}, title = {The realtime image processing demonstration with {CMA-1:} An ultra low-power reconfigurable accelerator}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132686}, doi = {10.1109/FPT.2011.6132686}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HironakaOA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HussainPNA11, author = {Tassadaq Hussain and Miquel Peric{\`{a}}s and Nacho Navarro and Eduard Ayguad{\'{e}}}, editor = {Russell Tessier}, title = {Implementation of a Reverse Time Migration kernel using the {HCE} High Level Synthesis tool}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132717}, doi = {10.1109/FPT.2011.6132717}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HussainPNA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JafriHPPT11, author = {Syed M. A. H. Jafri and Ahmed Hemani and Kolin Paul and Juha Plosila and Hannu Tenhunen}, editor = {Russell Tessier}, title = {Compact generic intermediate representation {(CGIR)} to enable late binding in coarse grained reconfigurable architectures}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132719}, doi = {10.1109/FPT.2011.6132719}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JafriHPPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Jara-BerrocalG11, author = {Abelardo Jara{-}Berrocal and Ann Gordon{-}Ross}, editor = {Russell Tessier}, title = {Hardware module reuse and runtime assembly for dynamic management of reconfigurable resources}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132721}, doi = {10.1109/FPT.2011.6132721}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Jara-BerrocalG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JinC11, author = {Zhanpeng Jin and Allen C. Cheng}, editor = {Russell Tessier}, title = {A self-healing autonomous neural network hardware for trustworthy biomedical systems}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132669}, doi = {10.1109/FPT.2011.6132669}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JinC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KapreD11, author = {Nachiket Kapre and Andr{\'{e}} DeHon}, editor = {Russell Tessier}, title = {{VLIW-SCORE:} Beyond {C} for sequential control of {SPICE} {FPGA} acceleration}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--9}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132678}, doi = {10.1109/FPT.2011.6132678}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KapreD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KapurM11, author = {Garima Kapur and C. M. Markan}, editor = {Russell Tessier}, title = {Design methodology for analog circuit designs using proposed field programmable basic analog building blocks}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132714}, doi = {10.1109/FPT.2011.6132714}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KapurM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KiamehrAT11, author = {Saman Kiamehr and Abdulazim Amouri and Mehdi Baradaran Tahoori}, editor = {Russell Tessier}, title = {Investigation of {NBTI} and {PBTI} induced aging in different {LUT} implementations}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132704}, doi = {10.1109/FPT.2011.6132704}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KiamehrAT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KimuraHA11, author = {Masayuki Kimura and Kazuei Hironaka and Hideharu Amano}, editor = {Russell Tessier}, title = {Reducing power for dynamically reconfigurable processor array by reducing number of reconfigurations}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132707}, doi = {10.1109/FPT.2011.6132707}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KimuraHA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KrishnamoorthyFVN11, author = {Ratna Krishnamoorthy and Masahiro Fujita and Keshavan Varadarajan and S. K. Nandy}, editor = {Russell Tessier}, title = {Interconnect-topology independent mapping algorithm for a Coarse Grained Reconfigurable Architecture}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132677}, doi = {10.1109/FPT.2011.6132677}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KrishnamoorthyFVN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KumarG11, author = {Rohit Kumar and Ann Gordon{-}Ross}, editor = {Russell Tessier}, title = {Formulation-level design space exploration for partially reconfigurable FPGAs}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132699}, doi = {10.1109/FPT.2011.6132699}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KumarG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KummZ11, author = {Martin Kumm and Peter Zipf}, editor = {Russell Tessier}, title = {High speed low complexity FPGA-based {FIR} filters using pipelined adder graphs}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132698}, doi = {10.1109/FPT.2011.6132698}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KummZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LibbyFOK11, author = {Joseph C. Libby and Ashley Furrow and Paddy O'Brien and Kenneth B. Kent}, editor = {Russell Tessier}, title = {A framework for verifying functional correctness in Odin {II}}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132671}, doi = {10.1109/FPT.2011.6132671}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LibbyFOK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuL11, author = {Qiang Liu and Wayne Luk}, editor = {Russell Tessier}, title = {Objective-driven workload allocation in heterogeneous computing systems}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132695}, doi = {10.1109/FPT.2011.6132695}, timestamp = {Sat, 19 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MalipatlollaFSAH11, author = {Sunil Malipatlolla and Thomas Feller and Abdulhadi Shoufan and Tolga Arul and Sorin A. Huss}, editor = {Russell Tessier}, title = {A novel architecture for a secure update of cryptographic engines on trusted platform module}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132676}, doi = {10.1109/FPT.2011.6132676}, timestamp = {Mon, 18 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MalipatlollaFSAH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MalipatlollaFSAH11a, author = {Sunil Malipatlolla and Thomas Feller and Abdulhadi Shoufan and Tolga Arul and Sorin A. Huss}, editor = {Russell Tessier}, title = {A novel architecture for a secure update of cryptographic engines on trusted platform module}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132705}, doi = {10.1109/FPT.2011.6132705}, timestamp = {Mon, 18 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MalipatlollaFSAH11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MarconiM11, author = {Thomas Marconi and Tulika Mitra}, editor = {Russell Tessier}, title = {A novel online hardware task scheduling and placement algorithm for 3D partially reconfigurable FPGAs}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132700}, doi = {10.1109/FPT.2011.6132700}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MarconiM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Martinez-RodriguezBB11, author = {Macarena C. Mart{\'{\i}}nez{-}Rodr{\'{\i}}guez and Iluminada Baturone and Piedad Brox}, editor = {Russell Tessier}, title = {Design methodology for {FPGA} implementation of lattice piecewise-affine functions}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132696}, doi = {10.1109/FPT.2011.6132696}, timestamp = {Sat, 02 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/Martinez-RodriguezBB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MasleCL11, author = {Adrien Le Masle and Gary Chun Tak Chow and Wayne Luk}, editor = {Russell Tessier}, title = {Constant power reconfigurable computing}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132682}, doi = {10.1109/FPT.2011.6132682}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MasleCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MeidanisGP11, author = {Dimitrios Meidanis and Konstantinos Georgopoulos and Ioannis Papaefstathiou}, editor = {Russell Tessier}, title = {{FPGA} power consumption measurements and estimations under different implementation parameters}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132694}, doi = {10.1109/FPT.2011.6132694}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MeidanisGP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MiteshMM11, author = {Khadgi Mitesh and Majid H. Koul and M. Manivannan}, editor = {Russell Tessier}, title = {An adaptive-method for velocity estimation using time-to-digital converter}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132713}, doi = {10.1109/FPT.2011.6132713}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/MiteshMM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MorvanDQ11, author = {Antoine Morvan and Steven Derrien and Patrice Quinton}, editor = {Russell Tessier}, title = {Efficient nested loop pipelining in high level synthesis using polyhedral bubble insertion}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--10}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132715}, doi = {10.1109/FPT.2011.6132715}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MorvanDQ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NegiDSO11, author = {Kazuhiro Negi and Keisuke Dohi and Yuichiro Shibata and Kiyoshi Oguri}, editor = {Russell Tessier}, title = {Deep pipelined one-chip {FPGA} implementation of a real-time image-based human detection algorithm}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132679}, doi = {10.1109/FPT.2011.6132679}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NegiDSO11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OzakiYSIKANUNK11, author = {Nobuaki Ozaki and Yoshihiro Yasuda and Yoshiki Saito and Daisuke Ikebuchi and Masayuki Kimura and Hideharu Amano and Hiroshi Nakamura and Kimiyoshi Usami and Mitaro Namiki and Masaaki Kondo}, editor = {Russell Tessier}, title = {Cool Mega-Array: {A} highly energy efficient reconfigurable accelerator}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132668}, doi = {10.1109/FPT.2011.6132668}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/OzakiYSIKANUNK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PonpandiT11, author = {Swamy D. Ponpandi and Akhilesh Tyagi}, editor = {Russell Tessier}, title = {Partial reconfiguration logic synthesis by temporal slicing}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132720}, doi = {10.1109/FPT.2011.6132720}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PonpandiT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RajavelA11, author = {Senthilkumar Thoravi Rajavel and Ali Akoglu}, editor = {Russell Tessier}, title = {An analytical energy model to accelerate {FPGA} logic architecture investigation}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132683}, doi = {10.1109/FPT.2011.6132683}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/RajavelA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/RupnowLLMDC11, author = {Kyle Rupnow and Yun Liang and Yinan Li and Dongbo Min and Minh N. Do and Deming Chen}, editor = {Russell Tessier}, title = {High level synthesis of stereo matching: Productivity, performance, and software constraints}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132716}, doi = {10.1109/FPT.2011.6132716}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/RupnowLLMDC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Sano11, author = {Kentaro Sano}, editor = {Russell Tessier}, title = {{SW} and {HW} co-design of Connect6 accelerator with scalable streaming cores}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132725}, doi = {10.1109/FPT.2011.6132725}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Sano11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SariP11, author = {Aitzan Sari and Mihalis Psarakis}, editor = {Russell Tessier}, title = {Scrubbing-based {SEU} mitigation approach for Systems-on-Programmable-Chips}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132703}, doi = {10.1109/FPT.2011.6132703}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SariP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SeffrinH11, author = {Andr{\'{e}} Seffrin and Sorin A. Huss}, editor = {Russell Tessier}, title = {Hardware-accelerated execution of Pi-calculus reconfiguration schedules}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132710}, doi = {10.1109/FPT.2011.6132710}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SeffrinH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShahidSRG11, author = {Rabia Shahid and Malik Umar Sharif and Marcin Rogawski and Kris Gaj}, editor = {Russell Tessier}, title = {Use of embedded {FPGA} resources in implementations of 14 round 2 {SHA-3} candidates}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--9}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132680}, doi = {10.1109/FPT.2011.6132680}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShahidSRG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShanZJATFGRCJ11, author = {Chuan Shan and Eldar Zianbetov and Mohammad Javidan and Fran{\c{c}}ois Anceau and Mehdi Terosiet and Sylvain Feruglio and Dimitri Galayko and Olivier Romain and {\'{E}}ric Colinet and J{\'{e}}r{\^{o}}me Juillard}, editor = {Russell Tessier}, title = {{FPGA} implementation of reconfigurable {ADPLL} network for distributed clock generation}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132670}, doi = {10.1109/FPT.2011.6132670}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShanZJATFGRCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SourdisNVBTG11, author = {Ioannis Sourdis and Abhijit Nandy and Venkatasubramanian Viswanathan and Anthony Brandon and Dimitris Theodoropoulos and Georgi Gaydadjiev}, editor = {Russell Tessier}, title = {Reconfigurable acceleration and dynamic partial self-reconfiguration in general purpose computing}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132666}, doi = {10.1109/FPT.2011.6132666}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SourdisNVBTG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SusantoL11, author = {Kong Woei Susanto and Wayne Luk}, editor = {Russell Tessier}, title = {Automating formal verification of customized soft-processors}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132692}, doi = {10.1109/FPT.2011.6132692}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SusantoL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SuzukiM11, author = {Masayuki Suzuki and Tsutomu Maruyama}, editor = {Russell Tessier}, title = {Variable and clause elimination in {SAT} problems using an {FPGA}}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132681}, doi = {10.1109/FPT.2011.6132681}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SuzukiM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VipinF11, author = {Kizheppatt Vipin and Suhaib A. Fahmy}, editor = {Russell Tessier}, title = {Enabling high level design of adaptive systems with partial reconfiguration}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132688}, doi = {10.1109/FPT.2011.6132688}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/VipinF11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VipinF11a, author = {Kizheppatt Vipin and Suhaib A. Fahmy}, editor = {Russell Tessier}, title = {A threat-based Connect6 implementation on {FPGA}}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132745}, doi = {10.1109/FPT.2011.6132745}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/VipinF11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VipinF11b, author = {Kizheppatt Vipin and Suhaib A. Fahmy}, editor = {Russell Tessier}, title = {Efficient region allocation for adaptive partial reconfiguration}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6133248}, doi = {10.1109/FPT.2011.6133248}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/VipinF11b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangPZP11, author = {Shaojun Wang and Yu Peng and Guangquan Zhao and Xiyuan Peng}, editor = {Russell Tessier}, title = {Accelerating on-line training of {LS-SVM} with run-time reconfiguration}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132697}, doi = {10.1109/FPT.2011.6132697}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangPZP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WatanabeMYKTNYUAW11, author = {Takahiro Watanabe and Retsu Moriwaki and Yuichiro Yamaji and Yuki Kamikubo and Yuki Torigai and Yuki Nihira and Takashi Yoza and Yumiko Ueno and Yuji Aoyama and Minoru Watanabe}, editor = {Russell Tessier}, title = {An {FPGA} Connect6 Solver with a two-stage pipelined evaluation}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6133249}, doi = {10.1109/FPT.2011.6133249}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WatanabeMYKTNYUAW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WildermannRTS11, author = {Stefan Wildermann and Felix Reimann and J{\"{u}}rgen Teich and Zoran Salcic}, editor = {Russell Tessier}, title = {Operational mode exploration for reconfigurable systems with multiple applications}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132693}, doi = {10.1109/FPT.2011.6132693}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/WildermannRTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YousufJG11, author = {Shaon Yousuf and Adam Jacobs and Ann Gordon{-}Ross}, editor = {Russell Tessier}, title = {Partially reconfigurable system-on-chips for adaptive fault tolerance}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132708}, doi = {10.1109/FPT.2011.6132708}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YousufJG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YuCLC11, author = {Chi Wai Yu and Fred Cox and Wayne Luk and Ray C. C. Cheung}, editor = {Russell Tessier}, title = {Hydrate: Hybrid Reconfigurable Architecture Expressions}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132675}, doi = {10.1109/FPT.2011.6132675}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YuCLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhaoJZ11, author = {Zhanxiang Zhao and Xi Jin and Xin Zhang}, editor = {Russell Tessier}, title = {Pipelined high precision beamforming delay calculator for ultrasound imaging}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6132718}, doi = {10.1109/FPT.2011.6132718}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhaoJZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZiermannSMZAT11, author = {Tobias Ziermann and Bernhard Schmidt and Moritz M{\"{u}}hlenthaler and Daniel Ziener and Josef Angermeier and J{\"{u}}rgen Teich}, editor = {Russell Tessier}, title = {An {FPGA} implementation of a threat-based strategy for Connect6}, booktitle = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/FPT.2011.6133250}, doi = {10.1109/FPT.2011.6133250}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZiermannSMZAT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2011, editor = {Russell Tessier}, title = {2011 International Conference on Field-Programmable Technology, {FPT} 2011, New Delhi, India, December 12-14, 2011}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/xpl/conhome/6126157/proceeding}, isbn = {978-1-4577-1741-3}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/2011.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AasaraaiM10, author = {Kaveh Aasaraai and Andreas Moshovos}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Design space exploration of instruction schedulers for out-of-order soft processors}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {385--388}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681442}, doi = {10.1109/FPT.2010.5681442}, timestamp = {Thu, 01 Feb 2018 14:20:39 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AasaraaiM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AbbasDRQ10, author = {Naeem Abbas and Steven Derrien and Sanjay V. Rajopadhye and Patrice Quinton}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Accelerating {HMMER} on {FPGA} using parallel prefixes and reductions}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {37--44}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681755}, doi = {10.1109/FPT.2010.5681755}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AbbasDRQ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AlizadehF10, author = {Bijan Alizadeh and Masahiro Fujita}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A debugging method for repairing post-silicon bugs of high performance processors in the fields}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {328--331}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681434}, doi = {10.1109/FPT.2010.5681434}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AlizadehF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AnjamNW10, author = {Fakhar Anjam and Muhammad Faisal Nadeem and Stephan Wong}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A {VLIW} softcore processor with dynamically adjustable issue-slots}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {393--398}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681444}, doi = {10.1109/FPT.2010.5681444}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AnjamNW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AnjamWN10, author = {Fakhar Anjam and Stephan Wong and Faisal Nadeem}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A multiported register file with register renaming for configurable softcore {VLIW} processors}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {403--408}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681446}, doi = {10.1109/FPT.2010.5681446}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AnjamWN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AsanoSM10, author = {Shuichi Asano and Zheng Zhi Shun and Tsutomu Maruyama}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {An {FPGA} implementation of full-search variable block size motion estimation}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {399--402}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681445}, doi = {10.1109/FPT.2010.5681445}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AsanoSM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AungLS10, author = {Yan Lin Aung and Siew Kei Lam and Thambipillai Srikanthan}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Performance estimation framework for FPGA-based processors}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {413--416}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681448}, doi = {10.1109/FPT.2010.5681448}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AungLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bailey10, author = {Donald G. Bailey}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Efficient implementation of greyscale morphological filters}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {421--424}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681450}, doi = {10.1109/FPT.2010.5681450}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Bailey10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BetkaouiTL10, author = {Brahim Betkaoui and David B. Thomas and Wayne Luk}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Comparing performance and energy efficiency of FPGAs and GPUs for high productivity computing}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {94--101}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681761}, doi = {10.1109/FPT.2010.5681761}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BetkaouiTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BeuchatOY10, author = {Jean{-}Luc Beuchat and Eiji Okamoto and Teppei Yamazaki}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Compact implementations of {BLAKE-32} and {BLAKE-64} on {FPGA}}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {170--177}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681776}, doi = {10.1109/FPT.2010.5681776}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BeuchatOY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BirkSA10, author = {Steven Birk and J. Gregory Steffan and Jason Helge Anderson}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Parallelizing {FPGA} placement using Transactional Memory}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {61--69}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681538}, doi = {10.1109/FPT.2010.5681538}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BirkSA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BispoC10, author = {Jo{\~{a}}o Bispo and Jo{\~{a}}o M. P. Cardoso}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {On identifying and optimizing instruction sequences for dynamic compilation}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {437--440}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681454}, doi = {10.1109/FPT.2010.5681454}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/BispoC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bolsens10, author = {Ivo Bolsens}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{FPGA} platforms leading the way in the application of 'more than Moore's' technology}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681476}, doi = {10.1109/FPT.2010.5681476}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Bolsens10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Brown10, author = {Stephen Brown}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Technology issues facing the world's largest integrated circuits}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681478}, doi = {10.1109/FPT.2010.5681478}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Brown10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BsoulW10, author = {Assem A. M. Bsoul and Steven J. E. Wilton}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {An {FPGA} architecture supporting dynamically controlled power gating}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {1--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681533}, doi = {10.1109/FPT.2010.5681533}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BsoulW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BylIW10, author = {Andrew van der Byl and Michael R. Inggs and Richardt H. Wilkinson}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A many processing element framework for the Discrete Fourier Transform}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {425--428}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681451}, doi = {10.1109/FPT.2010.5681451}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BylIW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChandrasekharanRSFICP10, author = {Athira Chandrasekharan and Sureshwar Rajagopalan and Guruprasad Subbarayan and Tony Frangieh and Yousef Iskander and Stephen D. Craven and Cameron D. Patterson}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Accelerating {FPGA} development through the automatic parallel application of standard implementation tools}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {53--60}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681754}, doi = {10.1109/FPT.2010.5681754}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChandrasekharanRSFICP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChuM10, author = {Xuezheng Chu and John McAllister}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{FPGA} based soft-core {SIMD} processing: {A} {MIMO-OFDM} Fixed-Complexity Sphere Decoder case study}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {479--484}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681463}, doi = {10.1109/FPT.2010.5681463}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChuM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DevadossPB10, author = {Rajeswari Devadoss and Kolin Paul and M. Balakrishnan}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A tiled programmable fabric using {QCA}}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {9--16}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681534}, doi = {10.1109/FPT.2010.5681534}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DevadossPB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DinechinP10, author = {Florent de Dinechin and Bogdan Pasca}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Floating-point exponential functions for DSP-enabled FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {110--117}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681764}, doi = {10.1109/FPT.2010.5681764}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/DinechinP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DittrichPS10, author = {Michael Dittrich and Thomas B. Preu{\ss}er and Rainer G. Spallek}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Solving Sudokus through an incidence matrix on an {FPGA}}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {465--469}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681460}, doi = {10.1109/FPT.2010.5681460}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DittrichPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Fahmy10, author = {Suhaib A. Fahmy}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Histogram-based probability density function estimation on FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {449--453}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681457}, doi = {10.1109/FPT.2010.5681457}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Fahmy10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GaillardonJRBCPO10, author = {Pierre{-}Emmanuel Gaillardon and M. Haykel Ben Jamaa and Marina Reyboz and Giovanni Beneventi and Fabien Clermidy and Luca Perniola and Ian O'Connor}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Phase-change-memory-based storage elements for configurable logic}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {17--20}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681535}, doi = {10.1109/FPT.2010.5681535}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GaillardonJRBCPO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GaoSS10, author = {Shanyuan Gao and Andrew G. Schmidt and Ron Sass}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Impact of reconfigurable hardware on accelerating MPI{\_}Reduce}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {29--36}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681537}, doi = {10.1109/FPT.2010.5681537}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GaoSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GeTWCZL10, author = {Liangwei Ge and Zhenan Tang and Kaiyu Wang and Ming Cao and Wencong Zou and Dong Liu}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Synthesis of a unified unit for evaluating an application-specific set of elementary functions}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {257--260}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681491}, doi = {10.1109/FPT.2010.5681491}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GeTWCZL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GibbM10, author = {Glen Gibb and Nick McKeown}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {OpenPipes: Making distributed hardware systems easier}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {381--384}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681423}, doi = {10.1109/FPT.2010.5681423}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GibbM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GortA10, author = {Marcel Gort and Jason Helge Anderson}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Deterministic multi-core parallel routing for FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {78--86}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681758}, doi = {10.1109/FPT.2010.5681758}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GortA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Guneysu10, author = {Tim G{\"{u}}neysu}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {True random number generation in block memories of reconfigurable devices}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {200--207}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681499}, doi = {10.1109/FPT.2010.5681499}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Guneysu10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HanPC10, author = {Kyuseung Han and Jong Kyung Paek and Kiyoung Choi}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Acceleration of control flow on {CGRA} using advanced predicated execution}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {429--432}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681452}, doi = {10.1109/FPT.2010.5681452}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HanPC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HannigSTH10, author = {Frank Hannig and Moritz Schmid and J{\"{u}}rgen Teich and Heinz Hornegger}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A deeply pipelined and parallel architecture for denoising medical images}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {485--490}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681464}, doi = {10.1109/FPT.2010.5681464}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HannigSTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HironakaKSSKTYA10, author = {Kazuei Hironaka and Masayuki Kimura and Yoshiki Saito and Toru Sano and Masaru Kato and Vasutan Tunbunheng and Yoshihiro Yasuda and Hideharu Amano}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Reducing power consumption for Dynamically Reconfigurable Processor Array with Partially Fixed Configuration Mapping}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {349--352}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681431}, doi = {10.1109/FPT.2010.5681431}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HironakaKSSKTYA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HoYPCALCP10, author = {Sam M. H. Ho and Steve C. L. Yuen and Hiu Ching Poon and Thomas C. P. Chau and Yanqing Ai and Philip Heng Wai Leong and Oliver C. S. Choy and Kong{-}Pang Pun}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Structured {ASIC:} Methodology and comparison}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {377--380}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681422}, doi = {10.1109/FPT.2010.5681422}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HoYPCALCP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HuangA10, author = {Miaoqing Huang and David Andrews}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Modular design of fully pipelined accumulators}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {118--125}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681766}, doi = {10.1109/FPT.2010.5681766}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HuangA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HuynhLM10, author = {Huynh Phung Huynh and Yun Liang and Tulika Mitra}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Efficient custom instructions generation for system-level design}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {445--448}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681456}, doi = {10.1109/FPT.2010.5681456}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HuynhLM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/IskanderCCRSFP10, author = {Yousef Iskander and Stephen D. Craven and Athira Chandrasekharan and Sureshwar Rajagopalan and Guruprasad Subbarayan and Tannous Frangieh and Cameron D. Patterson}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Using partial reconfiguration and high-level models to accelerate {FPGA} design validation}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {341--344}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681432}, doi = {10.1109/FPT.2010.5681432}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/IskanderCCRSFP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/IturbeBAMA10, author = {Xabier Iturbe and Khaled Benkrid and Tughrul Arslan and Imanol Martinez and Mikel Azkarate{-}askasua}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{ATB:} Area-Time response Balancing algorithm for scheduling real-time hardware tasks}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {224--232}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681494}, doi = {10.1109/FPT.2010.5681494}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/IturbeBAMA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/JerezCK10, author = {Juan Luis Jerez and George A. Constantinides and Eric C. Kerrigan}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{FPGA} implementation of an interior point solver for linear model predictive control}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {316--319}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681439}, doi = {10.1109/FPT.2010.5681439}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/JerezCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KanetaYMAM10, author = {Yusaku Kaneta and Shingo Yoshizawa and Shin{-}ichi Minato and Hiroki Arimura and Yoshikazu Miyanaga}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Dynamic reconfigurable bit-parallel architecture for large-scale regular expression matching}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {21--28}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681536}, doi = {10.1109/FPT.2010.5681536}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KanetaYMAM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KasperSS10, author = {Michael Kasper and Werner Schindler and Marc St{\"{o}}ttinger}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A stochastic method for security evaluation of cryptographic {FPGA} implementations}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {146--153}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681772}, doi = {10.1109/FPT.2010.5681772}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KasperSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KochBT10, author = {Dirk Koch and Christian Beckhoff and Jim T{\o}rresen}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Obstacle-free two-dimensional online-routing for run-time reconfigurable FPGA-based systems}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {208--215}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681496}, doi = {10.1109/FPT.2010.5681496}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KochBT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KochBT10a, author = {Dirk Koch and Christian Beckhoff and Jim T{\o}rresen}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Advanced partial run-time reconfiguration on Spartan-6 FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {361--364}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681426}, doi = {10.1109/FPT.2010.5681426}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KochBT10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KochT10, author = {Dirk Koch and Jim T{\o}rresen}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Routing optimizations for component-based system design and partial run-time reconfiguration on FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {460--464}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681459}, doi = {10.1109/FPT.2010.5681459}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KochT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KwekSTZPD10, author = {Benjamin Kwek and Freddie Sunarso and Melissa Teoh and Arrian van Zal and Philip Preston and Oliver Diessel}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {FPGA-based video processing for a vision prosthesis}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {345--348}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681430}, doi = {10.1109/FPT.2010.5681430}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KwekSTZPD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LavinPLNH10, author = {Christopher Lavin and Marc Padilla and Philip Lundrigan and Brent E. Nelson and Brad L. Hutchings}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Rapid prototyping tools for {FPGA} designs: RapidSmith}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {353--356}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681429}, doi = {10.1109/FPT.2010.5681429}, timestamp = {Thu, 17 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LavinPLNH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LeP10, author = {Hoang Le and Viktor K. Prasanna}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {High-throughput IP-lookup supporting dynamic routing tables using {FPGA}}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {287--290}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681482}, doi = {10.1109/FPT.2010.5681482}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LeP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LeiZSTF10, author = {Zhengmeng Lei and Lunkai Zhang and Fenglong Song and Shibin Tang and Dongrui Fan}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{GVE:} Godson-T Verification Engine for many-core architecture rapid prototyping and debugging}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {253--256}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681490}, doi = {10.1109/FPT.2010.5681490}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LeiZSTF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LinZWS10, author = {Colin Yu Lin and Zheng Zhang and Ngai Wong and Hayden Kwok{-}Hay So}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Design space exploration for sparse matrix-matrix multiplication on FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {369--372}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681425}, doi = {10.1109/FPT.2010.5681425}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LinZWS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LiuTTL10, author = {Qiang Liu and Tim Todman and Kuen Hung Tsoi and Wayne Luk}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Convex models for accelerating applications on FPGA-based clusters}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {495--498}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681466}, doi = {10.1109/FPT.2010.5681466}, timestamp = {Sat, 19 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LiuTTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LuBG10, author = {Yi Lu and Koen Bertels and Georgi Gaydadjiev}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Efficient hardware task reuse and interrupt handling mechanisms for FPGA-based partially reconfigurable systems}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {324--327}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681436}, doi = {10.1109/FPT.2010.5681436}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LuBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LuBHO10, author = {Yingxi Lu and Keanhong Boey and Philip Hodgers and M{\'{a}}ire O'Neill}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Lightweight {DPA} resistant solution on {FPGA} to counteract power models}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {178--183}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681790}, doi = {10.1109/FPT.2010.5681790}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LuBHO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MaWZTT10, author = {Kejie Ma and Lingli Wang and Xuegong Zhou and Sheldon X.{-}D. Tan and Jiarong Tong}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {General switch box modeling and optimization for {FPGA} routing architectures}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {320--323}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681437}, doi = {10.1109/FPT.2010.5681437}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MaWZTT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MabuchiWMAGYNW10, author = {Takayuki Mabuchi and Takahiro Watanabe and Retsu Moriwaki and Yuji Aoyama and Amarjargal Gundjalam and Yuichiro Yamaji and Hironari Nakada and Minoru Watanabe}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Othello Solver based on a soft-core {MIMD} processor array}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {511--514}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681470}, doi = {10.1109/FPT.2010.5681470}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MabuchiWMAGYNW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MalakonakisSD10, author = {Pavlos Malakonakis and Euripides Sotiriades and Apostolos Dollas}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{GE3:} {A} single {FPGA} client-server architecture for Golomb Ruler derivation}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {470--473}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681461}, doi = {10.1109/FPT.2010.5681461}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MalakonakisSD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ManteuffelBM10, author = {Henning Manteuffel and Cem Savas Bassoy and Friedrich Mayer{-}Lindenberg}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {The TransC process model and interprocess communication}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {87--93}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681760}, doi = {10.1109/FPT.2010.5681760}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ManteuffelBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MarconiTBG10, author = {Thomas Marconi and Dimitris Theodoropoulos and Koen Bertels and Georgi Gaydadjiev}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A novel {HDL} coding style to reduce power consumption for reconfigurable devices}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {295--299}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681480}, doi = {10.1109/FPT.2010.5681480}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MarconiTBG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MatthewsSF10, author = {Eric Matthews and Lesley Shannon and Alexandra Fedorova}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A configurable framework for investigating workload execution}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {409--412}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681447}, doi = {10.1109/FPT.2010.5681447}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MatthewsSF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MihhailovSSS10, author = {Dmitri Mihhailov and Valery Sklyarov and Iouliia Skliarova and Alexander Sudnitson}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Application-specific hardware accelerator for implementing recursive sorting algorithms}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {269--272}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681486}, doi = {10.1109/FPT.2010.5681486}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MihhailovSSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MuhlbachK10, author = {Sascha M{\"{u}}hlbach and Andreas Koch}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {An FPGA-based scalable platform for high-speed malware collection in large {IP} networks}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {474--478}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681462}, doi = {10.1109/FPT.2010.5681462}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MuhlbachK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/MutukudaYK10, author = {Omesh Mutukuda and Andy Gean Ye and Gul N. Khan}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {The effect of multi-bit based connections on the area efficiency of FPGAs utilizing unidirectional routing resources}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {216--223}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681497}, doi = {10.1109/FPT.2010.5681497}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/MutukudaYK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NasreddineBEF10, author = {Nadim Nasreddine and Jean{-}Louis Boizard and Christophe Escriba and Jean{-}Yves Fourniols}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Wireless sensors networks emulator implemented on a {FPGA}}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {279--282}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681484}, doi = {10.1109/FPT.2010.5681484}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NasreddineBEF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/NawazNSB10, author = {Zubair Nawaz and Muhammad Faisal Nadeem and Hans van Someren and Koen Bertels}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A parallel {FPGA} design of the Smith-Waterman traceback}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {454--459}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681458}, doi = {10.1109/FPT.2010.5681458}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/NawazNSB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OgawaOOYNFHASO10, author = {Yui Ogawa and Tomonori Ooya and Yasunori Osana and Masato Yoshimi and Yuri Nishikawa and Akira Funahashi and Noriko Hiroi and Hideharu Amano and Yuichiro Shibata and Kiyoshi Oguri}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A datapath classification method for FPGA-based scientific application accelerator systems}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {441--444}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681455}, doi = {10.1109/FPT.2010.5681455}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/OgawaOOYNFHASO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OlivitoGR10, author = {Javier Olivito and Carlos Gonz{\'{a}}lez and Javier Resano}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{FPGA} implementation of a strong Reversi player}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {507--510}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681469}, doi = {10.1109/FPT.2010.5681469}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/OlivitoGR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/OuyangLWTLS10, author = {Jian Ouyang and Hong Luo and Zilong Wang and Jiazi Tian and Chenghui Liu and Kehua Sheng}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{FPGA} implementation of {GZIP} compression and decompression for {IDC} services}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {265--268}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681489}, doi = {10.1109/FPT.2010.5681489}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/OuyangLWTLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/PapadonikolakisB10, author = {Markos Papadonikolakis and Christos{-}Savvas Bouganis}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A novel FPGA-based {SVM} classifier}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {283--286}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681485}, doi = {10.1109/FPT.2010.5681485}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/PapadonikolakisB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/QiFJXLP10, author = {Yaxuan Qi and Jeffrey Fong and Weirong Jiang and Bo Xu and Jun Li and Viktor K. Prasanna}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Multi-dimensional packet classification on {FPGA:} 100 Gbps and beyond}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {241--248}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681492}, doi = {10.1109/FPT.2010.5681492}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/QiFJXLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SarkarASSF10, author = {Sudipta Sarkar and Anubhav Adak and Virendra Singh and Kewal K. Saluja and Masahiro Fujita}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{SEU} tolerant {SRAM} for {FPGA} applications}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {491--494}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681465}, doi = {10.1109/FPT.2010.5681465}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SarkarASSF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SeffrinMH10, author = {Andr{\'{e}} Seffrin and Sunil Malipatlolla and Sorin A. Huss}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A novel design flow for tamper-resistant self-healing properties of {FPGA} devices without configuration readback capability}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {291--294}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681483}, doi = {10.1109/FPT.2010.5681483}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SeffrinMH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShiZHLZ10, author = {Xiaoyu Shi and Dahua Zeng and Yu Hu and Guohui Lin and Osmar R. Za{\"{\i}}ane}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Accelerating {FPGA} design space exploration using circuit similarity-based placement}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {373--376}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681424}, doi = {10.1109/FPT.2010.5681424}, timestamp = {Thu, 04 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ShiZHLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SinghKSH10, author = {Amit Kumar Singh and Akash Kumar and Thambipillai Srikanthan and Yajun Ha}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Mapping real-life applications on run-time reconfigurable NoC-based MPSoC on {FPGA}}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {365--368}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681427}, doi = {10.1109/FPT.2010.5681427}, timestamp = {Fri, 24 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/SinghKSH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SmerdisMD10, author = {Miltiadis Smerdis and Pavlos Malakonakis and Apostolos Dollas}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {CarlOthello : An FPGA-Based Monte Carlo Othello player}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {515--518}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681471}, doi = {10.1109/FPT.2010.5681471}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SmerdisMD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SriramCTL10, author = {Vinay Sriram and David D. Cox and Kuen Hung Tsoi and Wayne Luk}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Towards an embedded biologically-inspired machine vision processor}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {273--278}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681487}, doi = {10.1109/FPT.2010.5681487}, timestamp = {Wed, 24 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SriramCTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TaghaviP10, author = {Toktam Taghavi and Andy D. Pimentel}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {{VMODEX:} {A} visualization tool for multi-objective Design Space Exploration}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {357--360}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681428}, doi = {10.1109/FPT.2010.5681428}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TaghaviP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TaiLP10, author = {Yi{-}Gang Tai and Chia{-}Tien Dan Lo and Kleanthis Psarris}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Multiple data set reduction on FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {45--52}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681654}, doi = {10.1109/FPT.2010.5681654}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TaiLP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TheodoropoulosKG10, author = {Dimitris Theodoropoulos and Georgi Kuzmanov and Georgi Gaydadjiev}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Minimalistic architecture for reconfigurable audio Beamforming}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {503--506}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681468}, doi = {10.1109/FPT.2010.5681468}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TheodoropoulosKG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ToiOAWA10, author = {Takao Toi and Takumi Okamoto and Toru Awashima and Kazutoshi Wakabayashi and Hideharu Amano}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Wire congestion aware synthesis for a dynamically reconfigurable processor}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {300--303}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681481}, doi = {10.1109/FPT.2010.5681481}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ToiOAWA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Truchard10, author = {James Truchard}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Bringing {FPGA} design to application domain experts}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681477}, doi = {10.1109/FPT.2010.5681477}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Truchard10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TseTTL10, author = {Anson H. T. Tse and David B. Thomas and Kuen Hung Tsoi and Wayne Luk}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Dynamic scheduling Monte-Carlo framework for multi-accelerator heterogeneous clusters}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {233--240}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681495}, doi = {10.1109/FPT.2010.5681495}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TseTTL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TzilisSG10, author = {Stavros Tzilis and Ioannis Sourdis and Georgi Gaydadjiev}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Fine-grain fault diagnosis for {FPGA} logic blocks}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {154--161}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681773}, doi = {10.1109/FPT.2010.5681773}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TzilisSG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/UtanWN10, author = {Yuichiro Utan and Shin'ichi Wakabayashi and Shinobu Nagayama}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {An FPGA-based text search engine for approximate regular expression matching}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {184--191}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681791}, doi = {10.1109/FPT.2010.5681791}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/UtanWN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/VazquezD10, author = {{\'{A}}lvaro V{\'{a}}zquez and Florent de Dinechin}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Efficient implementation of parallel {BCD} multiplication in {LUT-6} FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {126--133}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681767}, doi = {10.1109/FPT.2010.5681767}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/VazquezD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Wang10, author = {Albert Wang}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {In search for better silicon and human efficiency}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681479}, doi = {10.1109/FPT.2010.5681479}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Wang10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangC10, author = {Jingchuan Wang and Weidong Chen}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Integration of PSoC technology with educational robotics}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {332--336}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681435}, doi = {10.1109/FPT.2010.5681435}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangJXP10, author = {Qingbo Wang and Weirong Jiang and Yinglong Xia and Viktor K. Prasanna}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A message-passing multi-softcore architecture on {FPGA} for Breadth-first Search}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {70--77}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681757}, doi = {10.1109/FPT.2010.5681757}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangJXP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangSY10, author = {Luzhou Wang and Kentaro Sano and Satoru Yamamoto}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Local-and-global stall mechanism for systolic computational-memory array on extensible multi-FPGA system}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {102--109}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681763}, doi = {10.1109/FPT.2010.5681763}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangSY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WangTA10, author = {Guoqiang Wang and Trung N. Tran and Hugo A. Andrade}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A graphical programming and design environment for FPGA-based hardware}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {337--340}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681433}, doi = {10.1109/FPT.2010.5681433}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WangTA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Wei10, author = {Shaojun Wei}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Reconfigurable computing - evolution of Von Neumann architecture}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681475}, doi = {10.1109/FPT.2010.5681475}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Wei10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WuDW10, author = {Guiming Wu and Yong Dou and Miao Wang}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {High performance and memory efficient implementation of matrix multiplication on FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {134--137}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681769}, doi = {10.1109/FPT.2010.5681769}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WuDW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WuDW10a, author = {Guiming Wu and Yong Dou and Miao Wang}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Automatic synthesis of processor arrays with local memories on FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {249--252}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681493}, doi = {10.1109/FPT.2010.5681493}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WuDW10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YabuuchiK10, author = {Michitarou Yabuuchi and Kazutoshi Kobayashi}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Evaluation of {FPGA} design guardband caused by inhomogeneous {NBTI} degradation considering process variations}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {417--420}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681449}, doi = {10.1109/FPT.2010.5681449}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YabuuchiK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YamajiW10, author = {Yuichiro Yamaji and Minoru Watanabe}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A 64-context {MEMS} optically reconfigurable gate array}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {499--502}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681467}, doi = {10.1109/FPT.2010.5681467}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YamajiW10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YanXXLH10, author = {Jing Yan and Ningyi Xu and Zenglin Xia and Rong Luo and Feng{-}Hsiung Hsu}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A compression method for inverted index and its FPGA-based decompression solution}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {261--264}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681488}, doi = {10.1109/FPT.2010.5681488}, timestamp = {Tue, 23 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YanXXLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangKH10, author = {Zhiyao Joseph Yang and Akash Kumar and Yajun Ha}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {An area-efficient dynamically reconfigurable Spatial Division Multiplexing network-on-chip with static throughput guarantee}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {389--392}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681443}, doi = {10.1109/FPT.2010.5681443}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/YangKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YangKK10, author = {Xiaojun Yang and Christoforos Kachris and Manolis Katevenis}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Efficient implementation of {CIOQ} switches with sequential iterative matching algorithms}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {433--436}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681453}, doi = {10.1109/FPT.2010.5681453}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YangKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YaoCKM10, author = {Gavin Xiaoxu Yao and Ray C. C. Cheung and {\c{C}}etin Kaya Ko{\c{c}} and Kim{-}Fung Man}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Reconfigurable Number Theoretic Transform architectures for cryptographic applications}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {308--311}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681440}, doi = {10.1109/FPT.2010.5681440}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YaoCKM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YiyuSSIOIMT10, author = {Tan Yiyu and Yukinori Sato and Eiko Sugawara and Yasushi Inoguchi and Makoto Otani and Yukio Iwaya and Hiroshi Matsuoka and Takao Tsuchiya}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A {FPGA} implementation of the two-dimensional Digital Huygens' Model}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {304--307}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681441}, doi = {10.1109/FPT.2010.5681441}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YiyuSSIOIMT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YuLX10, author = {Haile Yu and Philip Heng Wai Leong and Qiang Xu}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {An {FPGA} chip identification generator using configurable ring oscillator}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {312--315}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681438}, doi = {10.1109/FPT.2010.5681438}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YuLX10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YuXL10, author = {Haile Yu and Qiang Xu and Philip Heng Wai Leong}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Fine-grained characterization of process variation in FPGAs}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {138--145}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681770}, doi = {10.1109/FPT.2010.5681770}, timestamp = {Thu, 30 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YuXL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhaoIOAIS10, author = {Qian Zhao and Yoshihiro Ichinomiya and Yasuhiro Okamoto and Motoki Amagasaki and Masahiro Iida and Toshinori Sueyoshi}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {A robust reconfigurable logic device based on less configuration memory logic cell}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {162--169}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681775}, doi = {10.1109/FPT.2010.5681775}, timestamp = {Wed, 18 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhaoIOAIS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ZhuM10, author = {Jianyun Zhu and Tsutomu Maruyama}, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Real-time detection of line segments on {FPGA}}, booktitle = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, pages = {192--199}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/FPT.2010.5681498}, doi = {10.1109/FPT.2010.5681498}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ZhuM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2010, editor = {Jinian Bian and Qiang Zhou and Peter Athanas and Yajun Ha and Kang Zhao}, title = {Proceedings of the International Conference on Field-Programmable Technology, {FPT} 2010, 8-10 December 2010, Tsinghua University, Beijing, China}, publisher = {{IEEE}}, year = {2010}, isbn = {978-1-4244-8981-7}, timestamp = {Thu, 01 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/2010.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AmouriAHT09, author = {Abdulazim Amouri and Farhadur Arifin and Frank Hannig and J{\"{u}}rgen Teich}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {{FPGA} implementation of an invasive computing architecture}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {135--142}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377633}, doi = {10.1109/FPT.2009.5377633}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/AmouriAHT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/BaileyB09, author = {Donald G. Bailey and Christos{-}Savvas Bouganis}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Implementation of a foveal vision mapping}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {22--29}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377646}, doi = {10.1109/FPT.2009.5377646}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/BaileyB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Beckett09, author = {Paul Beckett}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Towards a balanced ternary {FPGA}}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {46--53}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377659}, doi = {10.1109/FPT.2009.5377659}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Beckett09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Brebner09, author = {Gordon J. Brebner}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Packets everywhere: The great opportunity for field programmable technology}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377604}, doi = {10.1109/FPT.2009.5377604}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Brebner09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Bunton09, author = {John D. Bunton}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {{ASKAP} beamformer}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {13}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377601}, doi = {10.1109/FPT.2009.5377601}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Bunton09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DongL09, author = {Xiao Dong and Guy G. F. Lemieux}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {{PGR:} Period and glitch reduction via clock skew scheduling, delay padding and GlitchLess}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {88--95}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377666}, doi = {10.1109/FPT.2009.5377666}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/DongL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GojmanD09, author = {Benjamin Gojman and Andr{\'{e}} DeHon}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {{VMATCH:} Using logical variation to counteract physical variation in bottom-up, nanoscale systems}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {78--87}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377684}, doi = {10.1109/FPT.2009.5377684}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GojmanD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GrantSLF09, author = {David Grant and Graeme Smecher and Guy Lemieux and Rosemary Francis}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Rapid synthesis and simulation of computational circuits in an {MPPA}}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {151--158}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377655}, doi = {10.1109/FPT.2009.5377655}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GrantSLF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GuneysuP09, author = {Tim G{\"{u}}neysu and Christof Paar}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Transforming write collisions in block RAMs into security applications}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {128--134}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377631}, doi = {10.1109/FPT.2009.5377631}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GuneysuP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HiraiKSA09, author = {Keiichiro Hirai and Masaru Kato and Yoshiki Saito and Hideharu Amano}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Leakage power reduction for coarse-grained dynamically reconfigurable processor arrays using Dual Vt cells}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {104--111}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377641}, doi = {10.1109/FPT.2009.5377641}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HiraiKSA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HockertC09, author = {Neil Hockert and Katherine Compton}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {{FFPU:} Fractured floating point unit for {FPGA} soft processors}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {143--150}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377622}, doi = {10.1109/FPT.2009.5377622}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HockertC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HungWYCL09, author = {Eddie Hung and Steven J. E. Wilton and Haile Yu and Thomas C. P. Chau and Philip Heng Wai Leong}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {A detailed delay path model for FPGAs}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {96--103}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377673}, doi = {10.1109/FPT.2009.5377673}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HungWYCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LySC09, author = {Daniel Le Ly and Manuel Salda{\~{n}}a and Paul Chow}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {The challenges of using an embedded {MPI} for hardware-based processing nodes}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {120--127}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377688}, doi = {10.1109/FPT.2009.5377688}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/LySC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Parandeh-AfsharCABLI09, author = {Hadi Parandeh{-}Afshar and Alessandro Cevrero and Panagiotis Athanasopoulos and Philip Brisk and Yusuf Leblebici and Paolo Ienne}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {A flexible {DSP} block to enhance {FPGA} arithmetic performance}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {70--77}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377638}, doi = {10.1109/FPT.2009.5377638}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/Parandeh-AfsharCABLI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ParvezMM09, author = {Husain Parvez and Zied Marrakchi and Habib Mehrez}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {{ASIF:} Application Specific Inflexible {FPGA}}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {112--119}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377657}, doi = {10.1109/FPT.2009.5377657}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ParvezMM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SanderGRBM09, author = {Oliver Sander and Benjamin Glas and Christoph Roth and J{\"{u}}rgen Becker and Klaus D. M{\"{u}}ller{-}Glaser}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Design of a Vehicle-to-Vehicle communication system on reconfigurable hardware}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {14--21}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377627}, doi = {10.1109/FPT.2009.5377627}, timestamp = {Fri, 19 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SanderGRBM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ShafiqPCANA09, author = {Muhammad Shafiq and Miquel Peric{\`{a}}s and Ra{\'{u}}l de la Cruz and Mauricio Araya{-}Polo and Nacho Navarro and Eduard Ayguad{\'{e}}}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Exploiting memory customization in {FPGA} for 3D stencil computations}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {38--45}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377644}, doi = {10.1109/FPT.2009.5377644}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/ShafiqPCANA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/SmithCWC09, author = {Alastair M. Smith and George A. Constantinides and Steven J. E. Wilton and Peter Y. K. Cheung}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Concurrently optimizing {FPGA} architecture parameters and transistor sizing: Implications for {FPGA} design}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {54--61}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377647}, doi = {10.1109/FPT.2009.5377647}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/SmithCWC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Teich09, author = {J{\"{u}}rgen Teich}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {From dynamic reconfiguration to self-reconfiguration: Invasive algorithms and architectures}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {11--12}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377603}, doi = {10.1109/FPT.2009.5377603}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Teich09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/TungRP09, author = {Chia{-}Ching Tung and Ruchi B. Rungta and Eric Peskin}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Simulation of a QCA-based {CLB} and a multi-CLB application}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {62--69}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377686}, doi = {10.1109/FPT.2009.5377686}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/TungRP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/YaoFZJZF09, author = {Lifan Yao and Hao Feng and Yiqun Zhu and Zhiguo Jiang and Danpei Zhao and Wenquan Feng}, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {An architecture of optimised {SIFT} feature detection for an {FPGA} implementation of an image matcher}, booktitle = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, pages = {30--37}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FPT.2009.5377651}, doi = {10.1109/FPT.2009.5377651}, timestamp = {Tue, 25 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/YaoFZJZF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fpt/2009, editor = {Neil W. Bergmann and Oliver Diessel and Lesley Shannon}, title = {Proceedings of the 2009 International Conference on Field-Programmable Technology, {FPT} 2009, Sydney, Australia, December 9-11, 2009}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://ieeexplore.ieee.org/xpl/conhome/5367680/proceeding}, isbn = {978-1-4244-4377-2}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/2009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AntaoCS08, author = {Samuel Antao and Ricardo Chaves and Leonel Sousa}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Efficient {FPGA} elliptic curve cryptographic processor over GF(2\({}^{\mbox{m}}\))}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {357--360}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762417}, doi = {10.1109/FPT.2008.4762417}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AntaoCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/AppiahHDO08, author = {Kofi Appiah and Andrew Hunter and Patrick Dickinson and Jonathan D. Owens}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {A run-length based connected component algorithm for {FPGA} implementation}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {177--184}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762381}, doi = {10.1109/FPT.2008.4762381}, timestamp = {Fri, 22 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/AppiahHDO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Ben-AsherS08, author = {Yosi Ben{-}Asher and Esti Stein}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Extending Booth algorithm to multiplications of three numbers on FPGAs}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {333--336}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762411}, doi = {10.1109/FPT.2008.4762411}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Ben-AsherS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenS08, author = {Wayne Chen and Lesley Shannon}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {An on-chip testbed that emulates runtime traffic and reduces design verification time for {FPGA} designs}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {361--364}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762418}, doi = {10.1109/FPT.2008.4762418}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ChenSGS08, author = {Edward Chen and Dorian Sabaz and William A. Gruver and Lesley Shannon}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {A new flexible {PR} domain model to replace the fixed multi-PR region model for {DPR} systems}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {257--260}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762392}, doi = {10.1109/FPT.2008.4762392}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ChenSGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/CrouchPKMK08, author = {James W. Crouch and Hiren J. Patel and Yong C. Kim and Jeffrey Todd McDonald and Tony C. Kim}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Creating digital fingerprints on commercial field programmable gate arrays}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {345--348}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762414}, doi = {10.1109/FPT.2008.4762414}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/CrouchPKMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/DinechinPCT08, author = {Florent de Dinechin and Bogdan Pasca and Octavian Cret and Radu Tudoran}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {An FPGA-specific approach to floating-point accumulation and sum-of-products}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {33--40}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762363}, doi = {10.1109/FPT.2008.4762363}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/DinechinPCT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/El-RayisZAE08, author = {Ahmed O. El{-}Rayis and Xin Zhao and Tughrul Arslan and Ahmet T. Erdogan}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Dynamically programmable Reed Solomon processor with embedded Galois Field multiplier}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {269--272}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762395}, doi = {10.1109/FPT.2008.4762395}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/El-RayisZAE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FilhoKR08, author = {Julio A. de Oliveira Filho and Tommy Kuhn and Wolfgang Rosenstiel}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Evaluating the impact of customized instruction set on coarse grained reconfigurable arrays}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {233--240}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762388}, doi = {10.1109/FPT.2008.4762388}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FilhoKR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FrancisM08, author = {Rosemary M. Francis and Simon W. Moore}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Exploring hard and soft networks-on-chip for FPGAs}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {261--264}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762393}, doi = {10.1109/FPT.2008.4762393}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FrancisM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FuC08, author = {Wenyin Fu and Katherine Compton}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Balanced allocation of compute time in hardware-accelerated systems}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {241--248}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762389}, doi = {10.1109/FPT.2008.4762389}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FuC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/FuML08, author = {Haohuan Fu and Oskar Mencer and Wayne Luk}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Optimizing residue arithmetic on FPGAs}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {41--48}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762364}, doi = {10.1109/FPT.2008.4762364}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/FuML08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GarciaC08, author = {Philip Garcia and Katherine Compton}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Kernel sharing on reconfigurable multiprocessor systems}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {225--232}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762387}, doi = {10.1109/FPT.2008.4762387}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GarciaC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HinkelmannZG08, author = {Heiko Hinkelmann and Peter Zipf and Manfred Glesner}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {A scalable reconfiguration mechanism for fast dynamic reconfiguration}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {145--152}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762377}, doi = {10.1109/FPT.2008.4762377}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HinkelmannZG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HoL08, author = {Johnny Tsung Lin Ho and Guy G. F. Lemieux}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {{PERG:} {A} scalable FPGA-based pattern-matching engine with consolidated Bloomier filters}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {73--80}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762368}, doi = {10.1109/FPT.2008.4762368}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/HoL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/HuynhM08, author = {Huynh Phung Huynh and Tulika Mitra}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Processor customization for wearable bio-monitoring platforms}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {249--252}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762390}, doi = {10.1109/FPT.2008.4762390}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/HuynhM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/IrturkBAK08, author = {Ali Irturk and Bridget Benson and Arash Arfaee and Ryan Kastner}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Automatic generation of decomposition based matrix inversion architectures}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {373--376}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762421}, doi = {10.1109/FPT.2008.4762421}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/IrturkBAK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/IshebabiMB08, author = {Harold Ishebabi and Philipp Mahr and Christophe Bobda}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Makespan minimization in automatic synthesis of multiprocessor systems from parallel programs}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {281--284}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762398}, doi = {10.1109/FPT.2008.4762398}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/IshebabiMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KatoW08, author = {Shinichi Kato and Minoru Watanabe}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Inversion/non-inversion zero-overhead dynamic optically reconfigurable gate array {VLSI}}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {377--380}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762422}, doi = {10.1109/FPT.2008.4762422}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KatoW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KawaiYYGT08, author = {Hiroyuki Kawai and Yoshiki Yamaguchi and Moritoshi Yasunaga and Kyrre Glette and Jim T{\o}rresen}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {An adaptive pattern recognition hardware with on-chip shift register-based partial reconfiguration}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {169--176}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762380}, doi = {10.1109/FPT.2008.4762380}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KawaiYYGT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KawanakaWN08, author = {Yosuke Kawanaka and Shin'ichi Wakabayashi and Shinobu Nagayama}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {A systolic regular expression pattern matching engine and its application to network intrusion detection}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {297--300}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762402}, doi = {10.1109/FPT.2008.4762402}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KawanakaWN08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/KuoKXDM08, author = {Jenny Yi{-}Chun Kuo and Anderson Kuei{-}An Ku and Jingling Xue and Oliver Diessel and Usama Malik}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {{ACS:} An Addressless Configuration Support for efficient partial reconfigurations}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {161--168}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762379}, doi = {10.1109/FPT.2008.4762379}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/KuoKXDM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/LamCLL08, author = {Yuet Ming Lam and Jos{\'{e}} Gabriel F. Coutinho and Wayne Luk and Philip Heng Wai Leong}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {Unrolling-based loop mapping and scheduling}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, pages = {321--324}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762408}, doi = {10.1109/FPT.2008.4762408}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpt/LamCLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/Leventis08, author = {Paul Leventis}, editor = {Tarek A. El{-}Ghazawi and Yao{-}Wen Chang and Juinn{-}Dar Huang and Proshanta Saha}, title = {{FPGA} timing, power, signal integrity and other challenges at 65 and 45 nm}, booktitle = {2008 International Conference on Field-Programmable Technology, {FPT} 2008, Taipei, Taiwan, December 7-10, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPT.2008.4762357}, doi = {10.1109/FPT.2008.4762357}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/Leventis08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.