default search action
Search dblp for Publications
export results for "processor communication"
@inproceedings{DBLP:conf/spaa/BohnleinPY24, author = {Toni B{\"{o}}hnlein and P{\'{a}}l Andr{\'{a}}s Papp and Albert{-}Jan Nicholas Yzelman}, editor = {Kunal Agrawal and Erez Petrank}, title = {Brief Announcement: Red-Blue Pebbling with Multiple Processors: Time, Communication and Memory Trade-offs}, booktitle = {Proceedings of the 36th {ACM} Symposium on Parallelism in Algorithms and Architectures, {SPAA} 2024, Nantes, France, June 17-21, 2024}, pages = {285--287}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626183.3660269}, doi = {10.1145/3626183.3660269}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spaa/BohnleinPY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetb/MurakamiKOYM23, author = {Masaki Murakami and Takashi Kurimoto and Satoru Okamoto and Naoaki Yamanaka and Takayuki Muranaka}, title = {Networking Experiment of Domain-Specific Networking Platform Based on Optically Interconnected Reconfigurable Communication Processors}, journal = {{IEICE} Trans. Commun.}, volume = {106}, number = {8}, pages = {660--668}, year = {2023}, url = {https://doi.org/10.1587/transcom.2022ebp3131}, doi = {10.1587/TRANSCOM.2022EBP3131}, timestamp = {Tue, 22 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetb/MurakamiKOYM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShimadaSFMDKHS23, author = {Kenichi Shimada and Keiichiro Sano and Kazuki Fukuoka and Hiroshi Morita and Masayuki Daito and Tatsuya Kamei and Hiroyuki Hamasaki and Yasuhisa Shimazaki}, title = {A 33kDMIPS 6.4W Vehicle Communication Gateway Processor Achieving 10Gbps/W Network Routing, 40ms {CAN} Bus Start-Up and 1.4mW Standby Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {240--241}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067585}, doi = {10.1109/ISSCC42615.2023.10067585}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShimadaSFMDKHS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tsp/DengPWYKP23, author = {Juinn{-}Horng Deng and Pavan Vatal Shankar Prasad and Yuan{-}Pei Wang and Min{-}Sion Yang and T. N. Vyas Kaushik and P. R. Siva Prasanna}, title = {High-Order {QAM/APSK} Receiver Design with Blind {CFO} Estimator of CORDIC-Based High-Order Power Pre-Processor for {LEO} Satellite Communications}, booktitle = {46th International Conference on Telecommunications and Signal Processing, {TSP} 2023, Prague, Czech Republic, July 12-14, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/TSP59544.2023.10197808}, doi = {10.1109/TSP59544.2023.10197808}, timestamp = {Wed, 16 Aug 2023 17:25:31 +0200}, biburl = {https://dblp.org/rec/conf/tsp/DengPWYKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LopacinskiMKHGKG23, author = {Lukasz Lopacinski and Nebojsa Maletic and Rolf Kraemer and Alireza Hasani and Jes{\'{u}}s Guti{\'{e}}rrez and Milos Krstic and Eckhard Grass}, title = {Amplitude- and phase-modulated {PSSS} for wide bandwidth mixed analog-digital baseband processors in THz communication}, booktitle = {97th {IEEE} Vehicular Technology Conference, {VTC} Spring 2023, Florence, Italy, June 20-23, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/VTC2023-Spring57618.2023.10199923}, doi = {10.1109/VTC2023-SPRING57618.2023.10199923}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/LopacinskiMKHGKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcac/AbassBA22, author = {Areen Al Abass and Saad Bani{-}Mohammad and Ismail Ababneh}, title = {Performance Evaluation of Contiguous and Noncontiguous Processor Allocation Based on Common Communication Patterns for 2D Mesh Interconnection Network}, journal = {Int. J. Cloud Appl. Comput.}, volume = {12}, number = {1}, pages = {1--21}, year = {2022}, url = {https://doi.org/10.4018/ijcac.295239}, doi = {10.4018/IJCAC.295239}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcac/AbassBA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LinPBYGGXPCG22, author = {Chung{-}Ching Lin and Chase Puglisi and Veljko Boljanovic and Han Yan and Erfan Ghaderi and Jayce Jeron Gaddis and Qiuyan Xu and Sreeni Poolakkal and Danijela Cabric and Subhanshu Gupta}, title = {Multi-Mode Spatial Signal Processor With Rainbow-Like Fast Beam Training and Wideband Communications Using True-Time-Delay Arrays}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {11}, pages = {3348--3360}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3178798}, doi = {10.1109/JSSC.2022.3178798}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LinPBYGGXPCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/YaoSGHZ22, author = {Yu Yao and Yukun Song and Hu Ge and Ying Huang and Duoli Zhang}, title = {A communication-aware and predictive list scheduling algorithm for network-on-chip based heterogeneous muti-processor system-on-chip}, journal = {Microelectron. J.}, volume = {121}, pages = {105367}, year = {2022}, url = {https://doi.org/10.1016/j.mejo.2022.105367}, doi = {10.1016/J.MEJO.2022.105367}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/YaoSGHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rairo/KordonT22, author = {Alix Munier Kordon and Ning Tang}, title = {A fixed-parameter algorithm for a unit-execution-time unit-communication-time tasks scheduling problem with a limited number of identical processors}, journal = {{RAIRO} Oper. Res.}, volume = {56}, number = {5}, pages = {3777--3788}, year = {2022}, url = {https://doi.org/10.1051/ro/2022174}, doi = {10.1051/RO/2022174}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rairo/KordonT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/SundarCL22, author = {Sowndarya Sundar and Jaya Prakash Champati and Ben Liang}, title = {Multi-User Task Offloading to Heterogeneous Processors With Communication Delay and Budget Constraints}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {10}, number = {3}, pages = {1958--1974}, year = {2022}, url = {https://doi.org/10.1109/TCC.2020.3019952}, doi = {10.1109/TCC.2020.3019952}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcc/SundarCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ChenYSTFHFYMDKB22, author = {Kuan{-}Yu Chen and Chi{-}Sheng Yang and Yu{-}Hsiu Sun and Chien{-}Wei Tseng and Morteza Fayazi and Xin He and Siying Feng and Yufan Yue and Trevor N. Mudge and Ronald G. Dreslinski and Hun{-}Seok Kim and David T. Blaauw}, title = {A 507 GMACs/J 256-Core Domain Adaptive Systolic-Array-Processor for Wireless Communication and Linear-Algebra Kernels in 12nm {FINFET}}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {202--203}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830330}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830330}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ChenYSTFHFYMDKB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-02727, author = {Chung{-}Ching Lin and Chase Puglisi and Veljko Boljanovic and Han Yan and Erfan Ghaderi and Jayce Jeron Gaddis and Qiuyan Xu and Sreeni Poolakkal and Danijela Cabric and Subhanshu Gupta}, title = {Multi-Mode Spatial Signal Processor with Rainbow-like Fast Beam Training and Wideband Communications using True-Time-Delay Arrays}, journal = {CoRR}, volume = {abs/2201.02727}, year = {2022}, url = {https://arxiv.org/abs/2201.02727}, eprinttype = {arXiv}, eprint = {2201.02727}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-02727.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JohnsonEAP21, author = {Lee J. Johnson and William R. Eisenstadt and Frank Alexander and Kyle T. Packer}, title = {MicroSTARLING: {A} Millimeter Scale Self-Organizing Optical Communication Processor for Embedded Multihop Sensor and Computational Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {108702--108717}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3101734}, doi = {10.1109/ACCESS.2021.3101734}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JohnsonEAP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/PadmaJR21, author = {C. Padma and P. Jagadamba and P. Ramana Reddy}, title = {Design of {FFT} processor using low power Vedic multiplier for wireless communication}, journal = {Comput. Electr. Eng.}, volume = {92}, pages = {107178}, year = {2021}, url = {https://doi.org/10.1016/j.compeleceng.2021.107178}, doi = {10.1016/J.COMPELECENG.2021.107178}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/PadmaJR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Lu21, author = {Zhonghai Lu}, title = {Guest Editorial: {IEEE} {TC} Special Issue On Communications for Many-core Processors and Accelerators}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {6}, pages = {817--818}, year = {2021}, url = {https://doi.org/10.1109/TC.2021.3068060}, doi = {10.1109/TC.2021.3068060}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Lu21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/MolanesCRF21, author = {Roberto Fern{\'{a}}ndez Molanes and Luc{\'{\i}}a Costas and Juan J. Rodr{\'{\i}}guez{-}Andina and Jos{\'{e}} Fari{\~{n}}a}, title = {Comparative Analysis of Processor-FPGA Communication Performance in Low-Cost FPSoCs}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {17}, number = {6}, pages = {3826--3835}, year = {2021}, url = {https://doi.org/10.1109/TII.2020.3015833}, doi = {10.1109/TII.2020.3015833}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/MolanesCRF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LinS21, author = {Hung{-}Ju Lin and Chung{-}An Shen}, title = {The Architectural Optimizations of a Low-Complexity and Low-Latency {FFT} Processor for {MIMO-OFDM} Communication Systems}, journal = {J. Signal Process. Syst.}, volume = {93}, number = {1}, pages = {67--78}, year = {2021}, url = {https://doi.org/10.1007/s11265-020-01551-0}, doi = {10.1007/S11265-020-01551-0}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/LinS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/LinPGMHGYBC21, author = {Chung{-}Ching Lin and Chase Puglisi and Erfan Ghaderi and Soumen Mohapatra and Deukhyoun Heo and Subhanshu Gupta and Han Yan and Veljko Boljanovic and Danijela Cabric}, title = {A 4-Element 800MHz-BW 29mW True-Time-Delay Spatial Signal Processor Enabling Fast Beam-Training with Data Communications}, booktitle = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, pages = {287--290}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021.9567822}, doi = {10.1109/ESSCIRC53450.2021.9567822}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/esscirc/LinPGMHGYBC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/DessiKB21, author = {Roberto Dess{\`{\i}} and Eugene Kharitonov and Marco Baroni}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Interpretable agent communication from scratch (with a generic visual processor emerging on the side)}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {26937--26949}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/e250c59336b505ed411d455abaa30b4d-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/DessiKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-01255, author = {Chung{-}Ching Lin and Chase Puglisi and Veljko Boljanovic and Soumen Mohapatra and Han Yan and Erfan Ghaderi and Deukhyoun Heo and Danijela Cabric and Subhanshu Gupta}, title = {A 4-Element 800MHz-BW 29mW True-Time-Delay Spatial Signal Processor Enabling Fast Beam-Training with Data Communications}, journal = {CoRR}, volume = {abs/2106.01255}, year = {2021}, url = {https://arxiv.org/abs/2106.01255}, eprinttype = {arXiv}, eprint = {2106.01255}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-01255.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-04258, author = {Roberto Dess{\`{\i}} and Eugene Kharitonov and Marco Baroni}, title = {Interpretable agent communication from scratch(with a generic visual processor emerging on the side)}, journal = {CoRR}, volume = {abs/2106.04258}, year = {2021}, url = {https://arxiv.org/abs/2106.04258}, eprinttype = {arXiv}, eprint = {2106.04258}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-04258.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangTF20, author = {Junchang Wang and Yangfeng Tian and Xiong Fu}, title = {EQueue: Elastic Lock-Free {FIFO} Queue for Core-to-Core Communication on Multi-Core Processors}, journal = {{IEEE} Access}, volume = {8}, pages = {98729--98741}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2997071}, doi = {10.1109/ACCESS.2020.2997071}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangTF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/ChenLS20, author = {Wei{-}Jhe Chen and Yu{-}An Lai and Chung{-}An Shen}, title = {The {VLSI} Architecture and Implementation of a Low Complexity and Highly Efficient Configurable {SVD} Processor for {MIMO} Communication Systems}, journal = {Circuits Syst. Signal Process.}, volume = {39}, number = {12}, pages = {6231--6246}, year = {2020}, url = {https://doi.org/10.1007/s00034-020-01458-y}, doi = {10.1007/S00034-020-01458-Y}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssp/ChenLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/WangLH20, author = {Yan Wang and Jinhui Liu and Jingtong Hu}, title = {Communication-Aware Task Scheduling for Energy-Harvesting Nonvolatile Processors}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {8}, pages = {1796--1806}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2020.2978543}, doi = {10.1109/TVLSI.2020.2978543}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/WangLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aca/WangWKQLS20, author = {Shiying Wang and Lei Wang and Ziyang Kang and Lianhua Qu and Shiming Li and Jinshu Su}, editor = {Dezun Dong and Xiaoli Gong and Cunlu Li and Dongsheng Li and Junjie Wu}, title = {A Software-Hardware Co-exploration Framework for Optimizing Communication in Neuromorphic Processor}, booktitle = {Advanced Computer Architecture - 13th Conference, {ACA} 2020, Kunming, China, August 13-15, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1256}, pages = {87--100}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-8135-9\_7}, doi = {10.1007/978-981-15-8135-9\_7}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aca/WangWKQLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/KadomotoIS20, author = {Junichiro Kadomoto and Hidetsugu Irie and Shuichi Sakai}, title = {A {RISC-V} Processor with an Inter-Chiplet Wireless Communication Interface for Shape-Changeable Computers}, booktitle = {2020 {IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2020, Kokubunji, Japan, April 15-17, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/COOLCHIPS49199.2020.9097641}, doi = {10.1109/COOLCHIPS49199.2020.9097641}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/KadomotoIS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/LwinM20, author = {Nyein Nyein Lwin and Khin Than Mya}, title = {Timing Analysis of Wireless Sensor Network on Processors Communication}, booktitle = {9th {IEEE} Global Conference on Consumer Electronics, {GCCE} 2020, Kobe, Japan, October 13-16, 2020}, pages = {482--483}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/GCCE50665.2020.9291897}, doi = {10.1109/GCCE50665.2020.9291897}, timestamp = {Wed, 13 Jan 2021 16:13:46 +0100}, biburl = {https://dblp.org/rec/conf/gcce/LwinM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/TabishWP0YCS20, author = {Rohan Tabish and Jen{-}Yang Wen and Rodolfo Pellizzoni and Renato Mancuso and Heechul Yun and Marco Caccamo and Lui Sha}, title = {SCE-Comm: {A} Real-Time Inter-Core Communication Framework for Strictly Partitioned Multi-core Processors}, booktitle = {9th Mediterranean Conference on Embedded Computing, {MECO} 2020, Budva, Montenegro, June 8-11, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MECO49872.2020.9134178}, doi = {10.1109/MECO49872.2020.9134178}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/meco/TabishWP0YCS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LopacinskiMPEHK19, author = {Lukasz Lopacinski and Miroslav Marinkovic and Goran Panic and Mohamed Hussein Eissa and Alireza Hasani and Karthik KrishneGowda and Rolf Kraemer}, title = {Data Link Layer Processor for 100 Gbps Terahertz Wireless Communications in 28 nm {CMOS} Technology}, journal = {{IEEE} Access}, volume = {7}, pages = {44489--44502}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2907156}, doi = {10.1109/ACCESS.2019.2907156}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LopacinskiMPEHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/NirmalaS19, author = {N. Nirmala and S. Sumathi}, title = {An area-efficient {FFT} processor for the {OFDMA} transceiver communication system}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {14}, year = {2019}, url = {https://doi.org/10.1002/cpe.4875}, doi = {10.1002/CPE.4875}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/NirmalaS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiLZJG19, author = {Tong Li and Xuan Li and Xingyi Zhong and Nan Jiang and Chong{-}zhi Gao}, title = {Communication-efficient outsourced privacy-preserving classification service using trusted processor}, journal = {Inf. Sci.}, volume = {505}, pages = {473--486}, year = {2019}, url = {https://doi.org/10.1016/j.ins.2019.07.047}, doi = {10.1016/J.INS.2019.07.047}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LiLZJG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmemcom/Mitrana19, author = {Victor Mitrana}, title = {Polarization: a new communication protocol in networks of bio-inspired processors}, journal = {J. Membr. Comput.}, volume = {1}, number = {2}, pages = {127--143}, year = {2019}, url = {https://doi.org/10.1007/s41965-018-0001-9}, doi = {10.1007/S41965-018-0001-9}, timestamp = {Fri, 20 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmemcom/Mitrana19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LuoMCGYJ19, author = {Chaohui Luo and Biyun Ma and Fangjiong Chen and Quansheng Guan and Hua Yu and Fei Ji}, title = {Multicore-Processor Based Software-Defined Communication/Network Platform for Underwater Internet of Things}, journal = {Sensors}, volume = {19}, number = {23}, pages = {5168}, year = {2019}, url = {https://doi.org/10.3390/s19235168}, doi = {10.3390/S19235168}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LuoMCGYJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LuCYLWL19, author = {Hang Lu and Yisong Chang and Guihai Yan and Ning Lin and Xin Wei and Xiaowei Li}, title = {ShuttleNoC: Power-Adaptable Communication Infrastructure for Many-Core Processors}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {38}, number = {8}, pages = {1438--1451}, year = {2019}, url = {https://doi.org/10.1109/TCAD.2018.2855165}, doi = {10.1109/TCAD.2018.2855165}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LuCYLWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HoCH19, author = {Cheng{-}Kang Ho and Hao{-}Yu Cheng and Yuan{-}Hao Huang}, title = {Hybrid Precoding Processor for Millimeter Wave {MIMO} Communications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {66-II}, number = {12}, pages = {1992--1996}, year = {2019}, url = {https://doi.org/10.1109/TCSII.2019.2898708}, doi = {10.1109/TCSII.2019.2898708}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HoCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MuzaffarE19, author = {Shahzad Muzaffar and Ibrahim M. Elfadel}, title = {A Domain-Specific Processor Microarchitecture for Energy-Efficient, Dynamic IoT Communication}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {27}, number = {9}, pages = {2074--2087}, year = {2019}, url = {https://doi.org/10.1109/TVLSI.2019.2911393}, doi = {10.1109/TVLSI.2019.2911393}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MuzaffarE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsrt/IgarashiKIHA19, author = {Shingo Igarashi and Yuto Kitagawa and Tasuku Ishigooka and Tatsuya Horiguchi and Takuya Azumi}, editor = {Floriano De Rango and Carlos T. Calafate and Miroslav Vozn{\'{a}}k and Alfredo Garro and Mauro Tropea}, title = {Multi-rate {DAG} Scheduling Considering Communication Contention for NoC-based Embedded Many-core Processor}, booktitle = {23rd {IEEE/ACM} International Symposium on Distributed Simulation and Real Time Applications {DS-RT} 2019, Cosenza, Italy, October 7-9, 2019}, pages = {1--10}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DS-RT47707.2019.8958696}, doi = {10.1109/DS-RT47707.2019.8958696}, timestamp = {Fri, 31 Jan 2020 16:11:39 +0100}, biburl = {https://dblp.org/rec/conf/dsrt/IgarashiKIHA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/Zhuang19, author = {Leimeng Zhuang}, title = {Programmable Integrated Optical Signal Processors: Toward Next-Generation Signal Processing Engine in Communication Devices}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--31}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696565}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/Zhuang19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-11644, title = {An Improvement Over Threads Communications on Multi-Core Processors}, journal = {CoRR}, volume = {abs/1909.11644}, year = {2019}, note = {Withdrawn.}, url = {http://arxiv.org/abs/1909.11644}, eprinttype = {arXiv}, eprint = {1909.11644}, timestamp = {Tue, 15 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-11644.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/KonigMHR18, author = {J{\"{u}}rgen K{\"{o}}nig and Alexander M{\"{a}}cker and Friedhelm Meyer auf der Heide and S{\"{o}}ren Riechers}, title = {Scheduling with interjob communication on parallel processors}, journal = {J. Comb. Optim.}, volume = {36}, number = {4}, pages = {1356--1379}, year = {2018}, url = {https://doi.org/10.1007/s10878-018-0325-3}, doi = {10.1007/S10878-018-0325-3}, timestamp = {Tue, 02 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jco/KonigMHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/SulliGSFM18, author = {Vincenzo Sulli and Domenico Giancristofaro and Fortunato Santucci and Marco Faccio and Giuseppe Marini}, title = {Design of Digital Satellite Processors: From Communications Link Performance to Hardware Complexity}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {36}, number = {2}, pages = {338--350}, year = {2018}, url = {https://doi.org/10.1109/JSAC.2018.2804198}, doi = {10.1109/JSAC.2018.2804198}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/SulliGSFM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/LuoZZ18, author = {Shuyan Luo and Yian Zhu and Cheng Zeng}, title = {{\unicode{23884}}{\unicode{20837}}{\unicode{24335}}{\unicode{24322}}{\unicode{26500}}{\unicode{22810}}{\unicode{26680}}{\unicode{22788}}{\unicode{29702}}{\unicode{22120}}{\unicode{26680}}{\unicode{38388}}{\unicode{30340}}{\unicode{36890}}{\unicode{20449}}{\unicode{24615}}{\unicode{33021}}{\unicode{35780}}{\unicode{20272}}{\unicode{19982}}{\unicode{20248}}{\unicode{21270}} (Performance Evaluation and Optimization of Inter-cores Communication for Heterogeneous Multi-core Processor Unit)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {45}, number = {6A}, pages = {262--265}, year = {2018}, url = {http://www.jsjkx.com/CN/Y2018/V45/I6A/262}, doi = {Y2018/V45/I6A/262}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/LuoZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taasm/Whang18, author = {Yun{-}kyung Whang}, title = {Convergence processes in the mobile communication processor}, journal = {Technol. Anal. Strateg. Manag.}, volume = {30}, number = {11}, pages = {1338--1350}, year = {2018}, url = {https://doi.org/10.1080/09537325.2018.1461206}, doi = {10.1080/09537325.2018.1461206}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taasm/Whang18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/MolanesRR18, author = {Roberto Fernandez Molanes and Juan J. Rodr{\'{\i}}guez{-}Andina and Jos{\'{e}} Fari{\~{n}}a Rodr{\'{\i}}guez}, title = {Performance Characterization and Design Guidelines for Efficient Processor-FPGA Communication in Cyclone {V} FPSoCs}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {5}, pages = {4368--4377}, year = {2018}, url = {https://doi.org/10.1109/TIE.2017.2766581}, doi = {10.1109/TIE.2017.2766581}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/MolanesRR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/NoursP18, author = {S{\'{e}}bastien Le Nours and Adam Postula}, title = {A Hybrid Simulation Approach for Fast and Accurate Timing Analysis of Multi-Processor Platforms Considering Communication Resources Conflicts}, journal = {J. Signal Process. Syst.}, volume = {90}, number = {12}, pages = {1667--1685}, year = {2018}, url = {https://doi.org/10.1007/s11265-017-1315-x}, doi = {10.1007/S11265-017-1315-X}, timestamp = {Mon, 12 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/NoursP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/WuCL18, author = {Shunyao Wu and Chaitali Chakrabarti and Hyunseok Lee}, title = {Reducing Energy of Baseband Processor for IoT Terminals with Long Range Wireless Communications}, journal = {J. Signal Process. Syst.}, volume = {90}, number = {10}, pages = {1345--1355}, year = {2018}, url = {https://doi.org/10.1007/s11265-017-1323-x}, doi = {10.1007/S11265-017-1323-X}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/WuCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/LeBeaneHBBRJ18, author = {Michael LeBeane and Khaled Hamidouche and Brad Benton and Maur{\'{\i}}cio Breternitz and Steven K. Reinhardt and Lizy K. John}, editor = {Skevos Evripidou and Per Stenstr{\"{o}}m and Michael F. P. O'Boyle}, title = {ComP-net: command processor networking for efficient intra-kernel communications on GPUs}, booktitle = {Proceedings of the 27th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2018, Limassol, Cyprus, November 01-04, 2018}, pages = {29:1--29:13}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3243176.3243179}, doi = {10.1145/3243176.3243179}, timestamp = {Wed, 25 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/LeBeaneHBBRJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecoc/HuGSCC18, author = {Zhouyi Hu and Shuang Gao and Yingjie Shao and Lian{-}Kuan Chen and Calvin Chun{-}Kit Chan}, title = {A Robust Channel Processor for Faster-than-Nyquist Non-Orthogonal {FDM} Visible Light Communication Systems}, booktitle = {European Conference on Optical Communication, {ECOC} 2018, Rome, Italy, September 23-27, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ECOC.2018.8535366}, doi = {10.1109/ECOC.2018.8535366}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecoc/HuGSCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/LwinM18, author = {Nyein Nyein Lwin and Khin Than Mya}, title = {Analysis of Data Transmission on Wireless Processor to Processor Communication}, booktitle = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, pages = {397--398}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GCCE.2018.8574493}, doi = {10.1109/GCCE.2018.8574493}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/gcce/LwinM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icait/OyekanluSO18, author = {Emmanuel Oyekanlu and Kevin Scoles and Paul O. Oladele}, title = {Advanced Signal Processing for Communication Networks and Industrial IoT Machines Using Low-Cost Fixed-Point Digital Signal Processor}, booktitle = {10th International Conference on Advanced Infocomm Technology, {ICAIT} 2018, Stockholm, Sweden, August 12-15, 2018}, pages = {93--101}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICAIT.2018.8686577}, doi = {10.1109/ICAIT.2018.8686577}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icait/OyekanluSO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icait/OyekanluSO18a, author = {Emmanuel Oyekanlu and Kevin Scoles and Paul O. Oladele}, title = {Arbitrary Waveform Generation for IoT and Cyber Physical Systems Communication Networks Using C28x {TMS320C2000} Digital Signal Processor}, booktitle = {10th International Conference on Advanced Infocomm Technology, {ICAIT} 2018, Stockholm, Sweden, August 12-15, 2018}, pages = {102--109}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICAIT.2018.8686615}, doi = {10.1109/ICAIT.2018.8686615}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icait/OyekanluSO18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChiangHCY18, author = {Chih{-}Hsuan Chiang and Shuo{-}An Huang and Chiao{-}En Chen and Chia{-}Hsiang Yang}, title = {A 2{\texttimes}2-16{\texttimes}16 Reconfigurable {GGMD} Processor for {MIMO} Communication Systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351441}, doi = {10.1109/ISCAS.2018.8351441}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ChiangHCY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/WernerFPY18, author = {Sebastian Werner and Pouya Fotouhi and Roberto Proietti and S. J. Ben Yoo}, editor = {Bruce L. Jacob}, title = {AWGR-based optical processor-to-memory communication for low-latency, low-energy vault accesses}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2018, Old Town Alexandria, VA, USA, October 01-04, 2018}, pages = {269--278}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240302.3240318}, doi = {10.1145/3240302.3240318}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/memsys/WernerFPY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/0002L0YKWP0SYKO18, author = {Xiao Wu and Inhee Lee and Qing Dong and Kaiyuan Yang and Dongkwun Kim and Jingcheng Wang and Yimai Peng and Yiqun Zhang and Mehdi Saligane and Makoto Yasuda and Kazuyuki Kumeno and Fumitaka Ohno and Satoru Miyoshi and Masaru Kawaminami and Dennis Sylvester and David T. Blaauw}, title = {A 0.04MM\({}^{\mbox{3}}\)16NW Wireless and Batteryless Sensor System with Integrated Cortex-M0+ Processor and Optical Communication for Cellular Temperature Measurement}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {191--192}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502391}, doi = {10.1109/VLSIC.2018.8502391}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/0002L0YKWP0SYKO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LopacinskiEPBHK18, author = {Lukasz Lopacinski and Mohamed Hussein Eissa and Goran Panic and Marcin Brzozowski and Alireza Hasani and Rolf Kraemer}, title = {Implementation of a Multi-Core Data Link Layer Processor for THz Communication}, booktitle = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VTCSpring.2018.8417522}, doi = {10.1109/VTCSPRING.2018.8417522}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LopacinskiEPBHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/TsaiCY17, author = {Yu{-}Cheng Tsai and Chiao{-}En Chen and Chia{-}Hsiang Yang}, title = {A Flexible Geometric Mean Decomposition Processor for {MIMO} Communication Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {2}, pages = {446--456}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2016.2604380}, doi = {10.1109/TCSI.2016.2604380}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/TsaiCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdatasec/KartGT17, author = {Mete Kart and Ensar Gul and Murat Ture}, title = {Design of an Event Based Multi Processors Communication System}, booktitle = {2017 {IEEE} 3rd International Conference on Big Data Security on Cloud (BigDataSecurity), {IEEE} International Conference on High Performance and Smart Computing, {(HPSC)} and {IEEE} International Conference on Intelligent Data and Security (IDS), Beijing, China, May 26-28, 2017}, pages = {156--159}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BigDataSecurity.2017.33}, doi = {10.1109/BIGDATASECURITY.2017.33}, timestamp = {Sat, 06 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdatasec/KartGT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/estimedia/HascoetDMH17, author = {Julien Hasco{\"{e}}t and Beno{\^{\i}}t Dupont de Dinechin and Pierre Guironnet de Massas and Minh Quan Ho}, editor = {Sander Stuijk and Akash Kumar}, title = {Asynchronous one-sided communications and synchronizations for a clustered manycore processor}, booktitle = {Proceedings of the 15th {IEEE/ACM} Symposium on Embedded Systems for Real-Time Multimedia, ESTIMedia 2017, Seoul, Republic of Korea, October 15 - 20, 2017}, pages = {51--60}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3139315.3139318}, doi = {10.1145/3139315.3139318}, timestamp = {Thu, 17 Feb 2022 09:36:04 +0100}, biburl = {https://dblp.org/rec/conf/estimedia/HascoetDMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/HashmiHSP17, author = {Jahanzeb Maqbool Hashmi and Khaled Hamidouche and Hari Subramoni and Dhabaleswar K. Panda}, title = {Kernel-Assisted Communication Engine for {MPI} on Emerging Manycore Processors}, booktitle = {24th {IEEE} International Conference on High Performance Computing, HiPC 2017, Jaipur, India, December 18-21, 2017}, pages = {84--93}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HiPC.2017.00019}, doi = {10.1109/HIPC.2017.00019}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/HashmiHSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pvm/ChoJN17, author = {Joong{-}Yeon Cho and Hyun{-}Wook Jin and Dukyun Nam}, editor = {Antonio J. Pe{\~{n}}a and Pavan Balaji and William Gropp and Rajeev Thakur}, title = {Enhanced memory management for scalable {MPI} intra-node communication on many-core processor}, booktitle = {Proceedings of the 24th European {MPI} Users' Group Meeting, EuroMPI/USA 2017, Chicago, IL, USA, September 25-28, 2017}, pages = {10:1--10:9}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3127024.3127035}, doi = {10.1145/3127024.3127035}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pvm/ChoJN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Li17k, author = {Fei Li}, title = {Chaotic-Based Processor for Communication and Multimedia Applications}, journal = {CoRR}, volume = {abs/1703.06137}, year = {2017}, url = {http://arxiv.org/abs/1703.06137}, eprinttype = {arXiv}, eprint = {1703.06137}, timestamp = {Tue, 26 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/Li17k.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/AbadalMSAC16, author = {Sergi Abadal and Raul Martinez and Josep Sol{\'{e}}{-}Pareta and Eduard Alarc{\'{o}}n and Albert Cabellos{-}Aparicio}, title = {Characterization and modeling of multicast communication in cache-coherent manycore processors}, journal = {Comput. Electr. Eng.}, volume = {51}, pages = {168--183}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2015.12.018}, doi = {10.1016/J.COMPELECENG.2015.12.018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/AbadalMSAC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/Mori16, author = {Hideki Mori}, title = {A Dependable Small World Communication Processor}, booktitle = {10th International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2016, Fukuoka, Japan, July 6-8, 2016}, pages = {316--320}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CISIS.2016.102}, doi = {10.1109/CISIS.2016.102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/Mori16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoa/KonigMHR16, author = {J{\"{u}}rgen K{\"{o}}nig and Alexander M{\"{a}}cker and Friedhelm Meyer auf der Heide and S{\"{o}}ren Riechers}, editor = {T.{-}H. Hubert Chan and Minming Li and Lusheng Wang}, title = {Scheduling with Interjob Communication on Parallel Processors}, booktitle = {Combinatorial Optimization and Applications - 10th International Conference, {COCOA} 2016, Hong Kong, China, December 16-18, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10043}, pages = {563--577}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48749-6\_41}, doi = {10.1007/978-3-319-48749-6\_41}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/cocoa/KonigMHR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/KapreS16, author = {Nachiket Kapre and Siddhartha}, title = {Communication Optimization for the 16-Core Epiphany Floating-Point Processor Array}, booktitle = {24th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2016, Washington, DC, USA, May 1-3, 2016}, pages = {26}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/FCCM.2016.15}, doi = {10.1109/FCCM.2016.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/KapreS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/WuKCL16, author = {Shunyao Wu and Sungmoon Kang and Chaitali Chakrabarti and Hyunseok Lee}, title = {Low power baseband processor for IoT terminals with long range wireless communications}, booktitle = {2016 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2016, Washington, DC, USA, December 7-9, 2016}, pages = {728--732}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GlobalSIP.2016.7905938}, doi = {10.1109/GLOBALSIP.2016.7905938}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globalsip/WuKCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictcs2/KulkarniHK16, author = {Prasad Kulkarni and Balaji G. Hogade and Vidula Kulkarni}, title = {Simulation of Digital Signal Processor-FFT for Communication System Applications}, booktitle = {{ICTCS}}, pages = {109:1--109:4}, year = {2016}, url = {https://doi.org/10.1145/2905055.2905325}, doi = {10.1145/2905055.2905325}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictcs2/KulkarniHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/FujikiMKA16, author = {Daichi Fujiki and Hiroki Matsutani and Michihiro Koibuchi and Hideharu Amano}, title = {Randomizing Packet Memory Networks for Low-Latency Processor-Memory Communication}, booktitle = {24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece, February 17-19, 2016}, pages = {168--175}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDP.2016.18}, doi = {10.1109/PDP.2016.18}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/FujikiMKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/KhanjariV16, author = {Sharifa Al Khanjari and Wim Vanderbauwhede}, title = {Evaluation of the Memory Communication Traffic in a Hierarchical Cache Model for Massively-Manycore Processors}, booktitle = {24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece, February 17-19, 2016}, pages = {726--733}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDP.2016.30}, doi = {10.1109/PDP.2016.30}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/KhanjariV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtns/SorensenSS16, author = {Rasmus Bo S{\o}rensen and Martin Schoeberl and Jens Spars{\o}}, editor = {Alain Plantec and Frank Singhoff and S{\'{e}}bastien Faucou and Lu{\'{\i}}s Miguel Pinho}, title = {State-based Communication on Time-predictable Multicore Processors}, booktitle = {Proceedings of the 24th International Conference on Real-Time Networks and Systems, {RTNS} 2016, Brest, France, October 19-21, 2016}, pages = {225--234}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2997465.2997480}, doi = {10.1145/2997465.2997480}, timestamp = {Tue, 29 Dec 2020 18:28:06 +0100}, biburl = {https://dblp.org/rec/conf/rtns/SorensenSS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ch/Petrovic15, author = {Darko Petrovic}, title = {Efficient Communication and Synchronization on Manycore Processors}, school = {EPFL, Switzerland}, year = {2015}, url = {https://doi.org/10.5075/epfl-thesis-6552}, doi = {10.5075/EPFL-THESIS-6552}, timestamp = {Tue, 02 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ch/Petrovic15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imt/AndoIHTE15, author = {Yuki Ando and Yukihito Ishida and Shinya Honda and Hiroaki Takada and Masato Edahiro}, title = {Automatic Synthesis of Inter-heterogeneous-processor Communication for Programmable System-on-chip}, journal = {Inf. Media Technol.}, volume = {10}, number = {3}, pages = {415--419}, year = {2015}, url = {https://doi.org/10.11185/imt.10.415}, doi = {10.11185/IMT.10.415}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imt/AndoIHTE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsj/AndoIHTE15, author = {Yuki Ando and Yukihito Ishida and Shinya Honda and Hiroaki Takada and Masato Edahiro}, title = {Automatic Synthesis of Inter-heterogeneous-processor Communication for Programmable System-on-chip}, journal = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.}, volume = {8}, pages = {95--99}, year = {2015}, url = {https://doi.org/10.2197/ipsjtsldm.8.95}, doi = {10.2197/IPSJTSLDM.8.95}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipsj/AndoIHTE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/XuL15, author = {Thomas Canhao Xu and Ville Lepp{\"{a}}nen}, editor = {Lu{\'{\i}}s Miguel Pinho and Wolfgang Karl and Albert Cohen and Uwe Brinkschulte}, title = {Cache- and Communication-aware Application Mapping for Shared-cache Multicore Processors}, booktitle = {Architecture of Computing Systems - {ARCS} 2015 - 28th International Conference, Porto, Portugal, March 24-27, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9017}, pages = {55--67}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-16086-3\_5}, doi = {10.1007/978-3-319-16086-3\_5}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arcs/XuL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudnet/ChampatiL15, author = {Jaya Prakash Champati and Ben Liang}, title = {Semi-online task partitioning and communication between local and remote processors}, booktitle = {4th {IEEE} International Conference on Cloud Networking, CloudNet 2015, Niagara Falls, ON, Canada, October 5-7, 2015}, pages = {26--31}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CloudNet.2015.7335275}, doi = {10.1109/CLOUDNET.2015.7335275}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudnet/ChampatiL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/VitaleMR15, author = {Christian Vitale and Vincenzo Mancuso and Gianluca Rizzo}, title = {Modelling {D2D} communications in cellular access networks via Coupled Processors}, booktitle = {7th International Conference on Communication Systems and Networks, {COMSNETS} 2015, Bangalore, India, January 6-10, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/COMSNETS.2015.7098687}, doi = {10.1109/COMSNETS.2015.7098687}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/comsnets/VitaleMR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/FerreiraF15, author = {M{\'{a}}rio Lopes Ferreira and Jo{\~{a}}o Canas Ferreira}, editor = {Eli Bozorgzadeh and Jo{\~{a}}o M. P. Cardoso and Rui Abreu and Seda Ogrenci Memik}, title = {Reconfigurable {NC-OFDM} Processor for 5G Communications}, booktitle = {13th {IEEE} International Conference on Embedded and Ubiquitous Computing, {EUC} 2013, Porto, Portugal, October 21-23, 2015}, pages = {199--204}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/EUC.2015.29}, doi = {10.1109/EUC.2015.29}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/FerreiraF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/Xu15, author = {Zheng John Xu}, title = {{LS2085/8A} Freescale's new QorlQ Layerscape communications processor}, booktitle = {2015 {IEEE} Hot Chips 27 Symposium (HCS), Cupertino, CA, USA, August 22-25, 2015}, pages = {1--25}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.ieeecomputersociety.org/10.1109/HOTCHIPS.2015.7477453}, doi = {10.1109/HOTCHIPS.2015.7477453}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/Xu15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/DuprosBAT15, author = {Fabrice Dupros and Fa{\"{\i}}za Boulahya and Hideo Aochi and Philippe Thierry}, title = {Communication-Avoiding Seismic Numerical Kernels on Multicore Processors}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {330--335}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.230}, doi = {10.1109/HPCC-CSS-ICESS.2015.230}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/DuprosBAT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icetet/ThakareD15, author = {Laxman P. Thakre and Amol Y. Deshmukh}, title = {Area Efficient {FFT/IFFT} Processor Design for {MIMO} {OFDM} System in Wireless Communication}, booktitle = {7th International Conference on Emerging Trends in Engineering {\&} Technology, {ICETET} 2015, Kobe, Japan, November 18-20, 2015}, pages = {10--13}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICETET.2015.25}, doi = {10.1109/ICETET.2015.25}, timestamp = {Wed, 28 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icetet/ThakareD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/SchoeberlSS15, author = {Martin Schoeberl and Rasmus Bo S{\o}rensen and Jens Spars{\o}}, title = {Models of Communication for Multicore Processors}, booktitle = {2015 {IEEE} International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, {ISORC} Workshops 2015, Auckland, New Zealand, April 13-17, 2015}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISORCW.2015.57}, doi = {10.1109/ISORCW.2015.57}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/SchoeberlSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/KlenkOF15, author = {Benjamin Klenk and Lena Oden and Holger Fr{\"{o}}ning}, title = {Analyzing communication models for distributed thread-collaborative processors in terms of energy and time}, booktitle = {2015 {IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2015, Philadelphia, PA, USA, March 29-31, 2015}, pages = {318--327}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPASS.2015.7095817}, doi = {10.1109/ISPASS.2015.7095817}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/KlenkOF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nas/HijazKWK15, author = {Farrukh Hijaz and Brian Kahne and Peter Wilson and Omer Khan}, title = {Efficient parallel packet processing using a shared memory many-core processor with hardware support to accelerate communication}, booktitle = {10th {IEEE} International Conference on Networking, Architecture and Storage, {NAS} 2015, Boston, MA, USA, August 6-7, 2015}, pages = {122--129}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/NAS.2015.7255208}, doi = {10.1109/NAS.2015.7255208}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nas/HijazKWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/RebleLFM15, author = {Pablo Reble and Stefan Lankes and Fabian Fischer and Matthias S. M{\"{u}}ller}, editor = {Pavan Balaji and Minyi Guo and Zhiyi Huang}, title = {Effective communication for a system of cluster-on-a-chip processors}, booktitle = {Proceedings of the Sixth International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2015, San Francisco, CA, USA, February 7-8, 2015}, pages = {122--131}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2712386.2712393}, doi = {10.1145/2712386.2712393}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/RebleLFM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DavilaLLHJC15, author = {Henry Lopez Davila and Chun{-}Yi Liu and Wei{-}Chang Liu and Shen{-}Jui Huang and Shyh{-}Jye Jou and Sau{-}Gee Chen}, title = {A 802.15.3c/802.11ad compliant 24 Gb/s {FFT} processor for 60 GHz communication systems}, booktitle = {28th {IEEE} International System-on-Chip Conference, {SOCC} 2015, Beijing, China, September 8-11, 2015}, pages = {44--48}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOCC.2015.7406907}, doi = {10.1109/SOCC.2015.7406907}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/DavilaLLHJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Diaz14, author = {Eduardo Colmenares}, title = {Improving hardware performance via non-blocking collective communications and computation reordering for all-pairs shortest path computation on the cell broadband engine processor}, school = {Texas Tech University, Lubbock, {USA}}, year = {2014}, url = {http://hdl.handle.net/2346/66791}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Diaz14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamnum/ArampatzisKP14, author = {Giorgos Arampatzis and Markos A. Katsoulakis and Petr Plech{\'{a}}c}, title = {Parallelization, Processor Communication and Error Analysis in Lattice Kinetic Monte Carlo}, journal = {{SIAM} J. Numer. Anal.}, volume = {52}, number = {3}, pages = {1156--1182}, year = {2014}, url = {https://doi.org/10.1137/120889459}, doi = {10.1137/120889459}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamnum/ArampatzisKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YuXYQOYHZYYHCZJZ14, author = {Zhiyi Yu and Ruijin Xiao and Kaidi You and Heng Quan and Peng Ou and Zheng Yu and Maofei He and Jiajie Zhang and Yan Ying and Haofan Yang and Jun Han and Xu Cheng and Zhang Zhang and Ming{-}e Jing and Xiaoyang Zeng}, title = {A 16-Core Processor With Shared-Memory and Message-Passing Communications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {4}, pages = {1081--1094}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2013.2283693}, doi = {10.1109/TCSI.2013.2283693}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YuXYQOYHZYYHCZJZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/CassanoCJKHPB14, author = {Luca Cassano and Dario Cozzi and Dirk Jungewelter and Sebastian Korf and Jens Hagemeyer and Mario Porrmann and Cinzia Bernardeschi}, title = {An inter-processor communication interface for data-flow centric heterogeneous embedded multiprocessor systems}, booktitle = {Proceedings of the 9th International Conference on Design {\&} Technology of Integrated Systems in Nanoscale Era, {DTIS} 2014, Santorini, Greece, May 6-8, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/DTIS.2014.6850669}, doi = {10.1109/DTIS.2014.6850669}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/dtis/CassanoCJKHPB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/ParkPLBY14, author = {Young{-}Hwan Park and Keshava Prasad and Yeonbok Lee and Kitaek Bae and Ho Yang}, editor = {Jialin Chen and Wenbo Yin and Yuichiro Shibata and Lingli Wang and Hayden Kwok{-}Hay So and Yuchun Ma}, title = {Scalable radio processor architecture for modern wireless communications}, booktitle = {2014 International Conference on Field-Programmable Technology, {FPT} 2014, Shanghai, China, December 10-12, 2014}, pages = {310--313}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/FPT.2014.7082806}, doi = {10.1109/FPT.2014.7082806}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fpt/ParkPLBY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/PickartzRCL14, author = {Simon Pickartz and Pablo Reble and Carsten Clauss and Stefan Lankes}, title = {{SWIFT:} {A} Transparent and Flexible Communication Layer for PCIe-Coupled Accelerators and (Co-)Processors}, booktitle = {2014 {IEEE} International Parallel {\&} Distributed Processing Symposium Workshops, Phoenix, AZ, USA, May 19-23, 2014}, pages = {371--380}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IPDPSW.2014.48}, doi = {10.1109/IPDPSW.2014.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/PickartzRCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/Rohani0CRCL14, author = {Ehsan Rohani and Jingwei Xu and Tiben Che and Mehnaz Rahman and Gwan Choi and Mi Lu}, title = {Asynchronous baseband processor design for cooperative {MIMO} satellite communication}, booktitle = {{IEEE} 57th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2014, College Station, TX, USA, August 3-6, 2014}, pages = {833--836}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MWSCAS.2014.6908544}, doi = {10.1109/MWSCAS.2014.6908544}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/Rohani0CRCL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Chadwick13, author = {Gregory A. Chadwick}, title = {Communication centric, multi-core, fine-grained processor architecture}, school = {University of Cambridge, {UK}}, year = {2013}, url = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.607988}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Chadwick13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Luff13, author = {William Meredydd Frank Luff}, title = {Communication for programmability and performance on multi-core processors}, school = {University of Cambridge, {UK}}, year = {2013}, url = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.607704}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Luff13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/CristoFGPM13, author = {Alejandro Cristo and Kevin Fisher and J. Anthony Gualtieri and Rosa M. P{\'{e}}rez and Pablo Mart{\'{\i}}nez}, title = {Optimization of Processor-to-Hardware Module Communications on Spaceborne Hybrid FPGA-based Architectures}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {5}, number = {4}, pages = {77--80}, year = {2013}, url = {https://doi.org/10.1109/LES.2013.2286812}, doi = {10.1109/LES.2013.2286812}, timestamp = {Mon, 19 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esl/CristoFGPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/JiangWS13, author = {Guiyuan Jiang and Jigang Wu and Jizhou Sun}, title = {Efficient reconfiguration algorithms for communication-aware three-dimensional processor arrays}, journal = {Parallel Comput.}, volume = {39}, number = {9}, pages = {490--503}, year = {2013}, url = {https://doi.org/10.1016/j.parco.2013.04.005}, doi = {10.1016/J.PARCO.2013.04.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/JiangWS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rairo/KordonKDF13, author = {Alix Munier Kordon and Fadi Kacem and Beno{\^{\i}}t Dupont de Dinechin and Lucian Finta}, title = {Scheduling an interval ordered precedence graph with communication delays and a limited number of processors}, journal = {{RAIRO} Oper. Res.}, volume = {47}, number = {1}, pages = {73--87}, year = {2013}, url = {https://doi.org/10.1051/ro/2013028}, doi = {10.1051/RO/2013028}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rairo/KordonKDF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/HuangWXWD13, author = {Libo Huang and Zhiying Wang and Nong Xiao and Yongwen Wang and Qiang Dou}, title = {Adaptive communication mechanism for accelerating {MPI} functions in NoC-based multicore processors}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {10}, number = {3}, pages = {18:1--18:25}, year = {2013}, url = {https://doi.org/10.1145/2512434}, doi = {10.1145/2512434}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/HuangWXWD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/StrydisSPSS13, author = {Christos Strydis and Robert M. Seepers and Pedro Peris{-}Lopez and Dimitrios Siskos and Ioannis Sourdis}, title = {A system architecture, processor, and communication protocol for secure implants}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {10}, number = {4}, pages = {57:1--57:23}, year = {2013}, url = {https://doi.org/10.1145/2541228.2555313}, doi = {10.1145/2541228.2555313}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/StrydisSPSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/PorpodasC13, author = {Vasileios Porpodas and Marcelo Cintra}, title = {CAeSaR: Unified cluster-assignment scheduling and communication reuse for clustered {VLIW} processors}, booktitle = {International Conference on Compilers, Architecture and Synthesis for Embedded Systems, {CASES} 2013, Montreal, QC, Canada, September 29 - October 4, 2013}, pages = {9:1--9:10}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CASES.2013.6662513}, doi = {10.1109/CASES.2013.6662513}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/cases/PorpodasC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhuTSHSS13, author = {Ziyuan Zhu and Shan Tang and Yongtao Su and Juan Han and Gang Sun and Jinglin Shi}, editor = {Enrico Macii}, title = {A 100 {GOPS} {ASP} based baseband processor for wireless communication}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {121--124}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.038}, doi = {10.7873/DATE.2013.038}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhuTSHSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/LiNLDDRDP13, author = {Meng Li and Frederik Naessens and Min Li and Peter Debacker and Claude Desset and Praveen Raghavan and Antoine Dejonghe and Liesbet Van der Perre}, title = {A processor based multi-standard low-power {LDPC} engine for multi-Gbps wireless communication}, booktitle = {{IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2013, Austin, TX, USA, December 3-5, 2013}, pages = {1254--1257}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GlobalSIP.2013.6737136}, doi = {10.1109/GLOBALSIP.2013.6737136}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globalsip/LiNLDDRDP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/SongZMYZFL13, author = {Fenglong Song and Yasong Zheng and Futao Miao and Xiaochun Ye and Hao Zhang and Dongrui Fan and Zhiyong Liu}, title = {Low Execution Efficiency: When General Multi-core Processor Meets Wireless Communication Protocol}, booktitle = {10th {IEEE} International Conference on High Performance Computing and Communications {\&} 2013 {IEEE} International Conference on Embedded and Ubiquitous Computing, {HPCC/EUC} 2013, Zhangjiajie, China, November 13-15, 2013}, pages = {906--913}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/HPCC.and.EUC.2013.129}, doi = {10.1109/HPCC.AND.EUC.2013.129}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/SongZMYZFL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/FujigayaSKIWMHSFTFMNKH13, author = {Masaki Fujigaya and Noriaki Sakamoto and Takao Koike and Takahiro Irita and Kohei Wakahara and Tsugio Matsuyama and Keiji Hasegawa and Toshiharu Saito and Akira Fukuda and Kaname Teranishi and Kazuki Fukuoka and Noriaki Maeda and Koji Nii and Takeshi Kataoka and Toshihiro Hattori}, title = {A 28nm High-{\(\kappa\)} metal-gate single-chip communications processor with 1.5GHz dual-core application processor and LTE/HSPA+-capable baseband processor}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {156--157}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487679}, doi = {10.1109/ISSCC.2013.6487679}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/FujigayaSKIWMHSFTFMNKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/MichaelWST13, author = {Nithin Michael and Yao Wang and G. Edward Suh and Ao Tang}, title = {Quadrisection-based task mapping on many-core processors for energy-efficient on-chip communication}, booktitle = {2013 Seventh {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Tempe, AZ, USA, April 21-24, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NoCS.2013.6558409}, doi = {10.1109/NOCS.2013.6558409}, timestamp = {Wed, 11 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/MichaelWST13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/RevannaACAN13, author = {Deepak Revanna and Omer Anjum and Manuele Cucchi and Roberto Airoldi and Jari Nurmi}, title = {A scalable {FFT} processor architecture for {OFDM} based communication systems}, booktitle = {2013 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2013, Agios Konstantinos, Samos Island, Greece, July 15-18, 2013}, pages = {19--27}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SAMOS.2013.6621101}, doi = {10.1109/SAMOS.2013.6621101}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/RevannaACAN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/DeOrio12, author = {Andrew DeOrio}, title = {Correct Communication in Multi-core Processors}, school = {University of Michigan, {USA}}, year = {2012}, url = {https://hdl.handle.net/2027.42/94084}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/DeOrio12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iajit/AlmomaniA12, author = {Raed Almomani and Ismail Ababneh}, title = {Communication overhead in non-contiguous processor allocation policies for 3D mesh-connected multicomputers}, journal = {Int. Arab J. Inf. Technol.}, volume = {9}, number = {2}, pages = {133--141}, year = {2012}, url = {http://iajit.org/index.php?option=com\_content\&task=blogcategory\&id=79\&Itemid=324}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iajit/AlmomaniA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/TsaoL12, author = {Shiao{-}Li Tsao and Sung{-}Yuan Lee}, title = {Performance Evaluation of Inter-Processor Communication for an Embedded Heterogeneous Multi-Core Processor}, journal = {J. Inf. Sci. Eng.}, volume = {28}, number = {3}, pages = {537--554}, year = {2012}, url = {http://www.iis.sinica.edu.tw/page/jise/2012/201205\_07.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/TsaoL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/YeYA12, author = {Xiaohui Ye and S. J. Ben Yoo and Venkatesh Akella}, title = {AWGR-Based Optical Topologies for Scalable and Efficient Global Communications in Large-Scale Multi-Processor Systems}, journal = {{JOCN}}, volume = {4}, number = {9}, pages = {651--662}, year = {2012}, url = {https://doi.org/10.1364/jocn.4.000651}, doi = {10.1364/JOCN.4.000651}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/YeYA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/JanJ12, author = {Yahya Jan and Lech J{\'{o}}zwiak}, title = {Scalable communication architectures for massively parallel hardware multi-processors}, journal = {J. Parallel Distributed Comput.}, volume = {72}, number = {11}, pages = {1450--1463}, year = {2012}, url = {https://doi.org/10.1016/j.jpdc.2012.01.017}, doi = {10.1016/J.JPDC.2012.01.017}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/JanJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/LiuLTZJ12, author = {Sheng Liu and Hu Lin and Yaodong Tao and Yilin Zheng and Yuhan Jin}, title = {Design and Research of SoC Communication in {CNC} System Based on Heterogeneous Processor}, booktitle = {12th {IEEE} International Conference on Computer and Information Technology, {CIT} 2012, Chengdu, Sichuan, China, October 27-29, 2012}, pages = {994--998}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CIT.2012.205}, doi = {10.1109/CIT.2012.205}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/LiuLTZJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/IqbalJ12, author = {Muhammad Faisal Iqbal and Lizy Kurian John}, editor = {Tilman Wolf and Andrew W. Moore and Viktor K. Prasanna}, title = {Efficient traffic aware power management in multicore communications processors}, booktitle = {Symposium on Architecture for Networking and Communications Systems, {ANCS} '12, Austin, TX, {USA} - October 29 - 30, 2012}, pages = {123--134}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2396556.2396581}, doi = {10.1145/2396556.2396581}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ancs/IqbalJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ShoaibJV12, author = {Mohammed Shoaib and Niraj K. Jha and Naveen Verma}, title = {A compressed-domain processor for seizure detection to simultaneously reduce computation and communication energy}, booktitle = {Proceedings of the {IEEE} 2012 Custom Integrated Circuits Conference, {CICC} 2012, San Jose, CA, USA, September 9-12, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CICC.2012.6330601}, doi = {10.1109/CICC.2012.6330601}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ShoaibJV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KakoeeLB12, author = {Mohammad Reza Kakoee and Igor Loi and Luca Benini}, editor = {Wolfgang Rosenstiel and Lothar Thiele}, title = {A resilient architecture for low latency communication in shared-L1 processor clusters}, booktitle = {2012 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2012, Dresden, Germany, March 12-16, 2012}, pages = {887--892}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/DATE.2012.6176623}, doi = {10.1109/DATE.2012.6176623}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KakoeeLB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/WangZWLNC12, author = {Yaohua Wang and Kai Zhang and Jianghua Wan and Sheng Liu and Xi Ning and Shuming Chen}, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {Architectural Implications for {SIMD} Processors in the Wireless Communication Domain}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {1199--1204}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCC.2012.176}, doi = {10.1109/HPCC.2012.176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/WangZWLNC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/ChadwickM12, author = {Gregory A. Chadwick and Simon W. Moore}, title = {Mamba: {A} scalable communication centric multi-threaded processor architecture}, booktitle = {30th International {IEEE} Conference on Computer Design, {ICCD} 2012, Montreal, QC, Canada, September 30 - Oct. 3, 2012}, pages = {277--283}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCD.2012.6378652}, doi = {10.1109/ICCD.2012.6378652}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/ChadwickM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdma/Tu12, author = {Jih{-}Fu Tu}, title = {Communication Control for Multi-core Processors}, booktitle = {Third International Conference on Digital Manufacturing {\&} Automation, {ICDMA} 2012, Guilin, China, July 31 - Aug. 2, 2012}, pages = {281--284}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICDMA.2012.68}, doi = {10.1109/ICDMA.2012.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdma/Tu12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/JigangJZZ12, author = {Jigang Wu and Guiyuan Jiang and Yuanrui Zhang and Yuanbo Zhu}, title = {Algorithm for Communication Synchronization on Reconfigurable Processor Arrays with Faults}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium Workshops {\&} PhD Forum, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {266--270}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPSW.2012.30}, doi = {10.1109/IPDPSW.2012.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/JigangJZZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YingYZQJYZ12, author = {Yan Ying and Kaidi You and Liyang Zhou and Heng Quan and Ming{-}e Jing and Zhiyi Yu and Xiaoyang Zeng}, title = {A pure software ldpc decoder on a multi-core processor platform with reduced inter-processor communication cost}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2609--2612}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271839}, doi = {10.1109/ISCAS.2012.6271839}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YingYZQJYZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/RohHCY12, author = {Taehwan Roh and Sunjoo Hong and Hyunwoo Cho and Hoi{-}Jun Yoo}, title = {A 259.6{\(\mu\)}W nonlinear {HRV-EEG} chaos processor with body channel communication interface for mental health monitoring}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {294--296}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177020}, doi = {10.1109/ISSCC.2012.6177020}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/RohHCY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuYXQOYYJZ12, author = {Zhiyi Yu and Kaidi You and Ruijin Xiao and Heng Quan and Peng Ou and Yan Ying and Haofan Yang and Ming{-}e Jing and Xiaoyang Zeng}, title = {An 800MHz 320mW 16-core processor with message-passing and shared-memory inter-core communication mechanisms}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {64--66}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176931}, doi = {10.1109/ISSCC.2012.6176931}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuYXQOYYJZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/reconfig/SantosNCAW12, author = {Paulo C. Santos and Gabriel L. Nazar and Luigi Carro and Fakhar Anjam and Stephan Wong}, title = {Adapting communication for adaptable processors: {A} multi-axis reconfiguration approach}, booktitle = {2012 International Conference on Reconfigurable Computing and FPGAs, ReConFig 2012, Cancun, Mexico, December 5-7, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ReConFig.2012.6416726}, doi = {10.1109/RECONFIG.2012.6416726}, timestamp = {Wed, 31 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/reconfig/SantosNCAW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/WeyLTS11, author = {Chin{-}Long Wey and Shin{-}Yo Lin and Pei{-}Yun Tsai and Ming{-}Der Shieh}, title = {Reconfigurable Homogenous Multi-Core {FFT} Processor Architectures for Hybrid {SISO/MIMO} {OFDM} Wireless Communications}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {94-A}, number = {7}, pages = {1530--1539}, year = {2011}, url = {https://doi.org/10.1587/transfun.E94.A.1530}, doi = {10.1587/TRANSFUN.E94.A.1530}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/WeyLTS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnds/KhunjushGD11, author = {Farshad Khunjush and David Gong and Nikitas J. Dimopoulos}, title = {Single-port and multi-port collective communication operations on single and dual Cell {BE} processor systems}, journal = {Int. J. Commun. Networks Distributed Syst.}, volume = {6}, number = {4}, pages = {373--391}, year = {2011}, url = {https://doi.org/10.1504/IJCNDS.2011.040559}, doi = {10.1504/IJCNDS.2011.040559}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnds/KhunjushGD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/VarogluJ11, author = {Sevin Varoglu and Stephen F. Jenks}, title = {Architectural support for thread communications in multi-core processors}, journal = {Parallel Comput.}, volume = {37}, number = {1}, pages = {26--41}, year = {2011}, url = {https://doi.org/10.1016/j.parco.2010.08.006}, doi = {10.1016/J.PARCO.2010.08.006}, timestamp = {Sun, 05 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pc/VarogluJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigops/WijngaartMH11, author = {Rob F. Van der Wijngaart and Timothy G. Mattson and Werner Haas}, title = {Light-weight communications on Intel's single-chip cloud computer processor}, journal = {{ACM} {SIGOPS} Oper. Syst. Rev.}, volume = {45}, number = {1}, pages = {73--83}, year = {2011}, url = {https://doi.org/10.1145/1945023.1945033}, doi = {10.1145/1945023.1945033}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigops/WijngaartMH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JooKH11, author = {Young{-}Pyo Joo and Sungchan Kim and Soonhoi Ha}, title = {Fast Communication Architecture Exploration of Processor Pool-Based MPSoC via Static Performance Analysis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {3}, pages = {468--472}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2010.2088930}, doi = {10.1109/TCAD.2010.2088930}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JooKH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dic/DaneshtalabELPT11, author = {Masoud Daneshtalab and Masoumeh Ebrahimi and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, editor = {Mitsumasa Koyanagi and Morihiro Kada}, title = {Memory-efficient logic layer communication platform for 3D-stacked memory-on-processor architectures}, booktitle = {2011 {IEEE} International 3D Systems Integration Conference (3DIC), Osaka, Japan, January 31 - February 2, 2012}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/3DIC.2012.6263024}, doi = {10.1109/3DIC.2012.6263024}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/3dic/DaneshtalabELPT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acn/HongJK11, author = {Geun{-}Bin Hong and Tae{-}Su Jang and Yong{-}Kab Kim}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Rosslin John Robles and Maricel O. Balitanas}, title = {Implementation of Switching Driving Module with ATmega16 Processor Based on Visible {LED} Communication System}, booktitle = {Advanced Communication and Networking - Third International Conference, {ACN} 2011, Brno, Czech Republic, August 15-17, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {199}, pages = {447--452}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23312-8\_56}, doi = {10.1007/978-3-642-23312-8\_56}, timestamp = {Fri, 30 Apr 2021 10:51:24 +0200}, biburl = {https://dblp.org/rec/conf/acn/HongJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasip/GeniusP11, author = {Daniela Genius and Nicolas Pouillon}, editor = {Jari Nurmi and Tapani Ahonen}, title = {Analyzing software inter-task communication channels on a clustered shared memory multi processor system-on-chip}, booktitle = {2011 Conference on Design and Architectures for Signal and Image Processing, {DASIP} 2011, Tampere, Finland, November 2-4, 2011}, pages = {284--291}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DASIP.2011.6136892}, doi = {10.1109/DASIP.2011.6136892}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dasip/GeniusP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nesea/InPAJC11, author = {Eunkyu In and Jongju Park and Sangwoo Ahn and Cheoljon Jang and Jongwha Chong}, title = {Design of one chip communication stack processor and {MMS} communication stack library based on {IEC} 61850}, booktitle = {Proceedings of the 2nd {IEEE} International Conference on Networked Embedded Systems for Enterprise Applications, {NESEA} 2011, Perth, Australia, December 8-9, 2011}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/NESEA.2011.6144951}, doi = {10.1109/NESEA.2011.6144951}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nesea/InPAJC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parelec/TudrujM11, author = {Marek S. Tudruj and Lukasz Masko}, title = {Communication on the Fly for Hierarchical Systems of Chip Multi-processors}, booktitle = {Sixth International Symposium on Parallel Computing in Electrical Engineering {(PARELEC} 2011), 4-5 April 2011, Luton, United Kingdom}, pages = {19--24}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PARELEC.2011.32}, doi = {10.1109/PARELEC.2011.32}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parelec/TudrujM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/GeniusP11, author = {Daniela Genius and Nicolas Pouillon}, title = {Monitoring communication channels on a shared memory multi-processor system on chip}, booktitle = {Proceedings of the 6th International Workshop on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2011, Montpellier, France, 20-22 June, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ReCoSoC.2011.5981502}, doi = {10.1109/RECOSOC.2011.5981502}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/recosoc/GeniusP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socpros/YadavPS11, author = {Pradeep Kumar Yadav and P. Pradhan and Preet Pal Singh}, editor = {Kusum Deep and Atulya Nagar and Millie Pant and Jagdish Chand Bansal}, title = {A Fuzzy Clustering Method to Minimize the Inter Task Communication Effect for Optimal Utilization of Processor's Capacity in Distributed Real Time Systems}, booktitle = {Proceedings of the International Conference on Soft Computing for Problem Solving (SocProS 2011) December 20-22, 2011 - Volume 1}, series = {Advances in Intelligent and Soft Computing}, volume = {130}, pages = {159--168}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-81-322-0487-9\_16}, doi = {10.1007/978-81-322-0487-9\_16}, timestamp = {Fri, 23 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socpros/YadavPS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AndoSHTT10, author = {Yuki Ando and Seiya Shibata and Shinya Honda and Hiroyuki Tomiyama and Hiroaki Takada}, title = {Automatic Communication Synthesis with Hardware Sharing for Multi-Processor SoC Design}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {93-A}, number = {12}, pages = {2509--2516}, year = {2010}, url = {https://doi.org/10.1587/transfun.E93.A.2509}, doi = {10.1587/TRANSFUN.E93.A.2509}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AndoSHTT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/IzumiTMLKTSKOY10, author = {Shintaro Izumi and Takashi Takeuchi and Takashi Matsuda and Hyeokjong Lee and Toshihiro Konishi and Koh Tsuruda and Yasuharu Sakai and Hiroshi Kawaguchi and Chikara Ohta and Masahiko Yoshimoto}, title = {A 58-{\(\mathrm{\mu}\)}W Single-Chip Sensor Node Processor with Communication Centric Design}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {3}, pages = {261--269}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.261}, doi = {10.1587/TRANSELE.E93.C.261}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/IzumiTMLKTSKOY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/TsiopoulosSP10, author = {Leonidas Tsiopoulos and Kaisa Sere and Juha Plosila}, title = {Modeling Communication in Multi-Processor Systems-on-Chip Using Modular Connectors}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {1}, number = {2}, pages = {23--44}, year = {2010}, url = {https://doi.org/10.4018/jertcs.2010040102}, doi = {10.4018/JERTCS.2010040102}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/TsiopoulosSP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChenHH10, author = {Chao{-}Ming Chen and Chien{-}Chang Hung and Yuan{-}Hao Huang}, title = {An Energy-Efficient Partial {FFT} Processor for the {OFDMA} Communication System}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {57-II}, number = {2}, pages = {136--140}, year = {2010}, url = {https://doi.org/10.1109/TCSII.2010.2040318}, doi = {10.1109/TCSII.2010.2040318}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChenHH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/BrownFRWZCPL10, author = {Andrew D. Brown and Steve B. Furber and Jeff S. Reeve and Peter R. Wilson and Mark Zwolinski and John E. Chad and Luis A. Plana and David R. Lester}, editor = {Nancy M. Amato and Hubertus Franke and Paul H. J. Kelly}, title = {A communication infrastructure for a million processor machine}, booktitle = {Proceedings of the 7th Conference on Computing Frontiers, 2010, Bertinoro, Italy, May 17-19, 2010}, pages = {75--76}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1787275.1787290}, doi = {10.1145/1787275.1787290}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/BrownFRWZCPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/LiangC10, author = {Ching{-}Kai Liang and Kwang{-}Cheng Chen}, title = {A green software-defined communication processor for dynamic spectrum access}, booktitle = {Proceedings of the {IEEE} 21st International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2010, 26-29 September 2010, Istanbul, Turkey}, pages = {774--779}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/PIMRC.2010.5671956}, doi = {10.1109/PIMRC.2010.5671956}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/LiangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/corr/abs-1008-1649, author = {J{\"{u}}rgen Dassow and Florin Manea}, editor = {Ian McQuillan and Giovanni Pighizzini}, title = {Accepting Hybrid Networks of Evolutionary Processors with Special Topologies and Small Communication}, booktitle = {Proceedings Twelfth Annual Workshop on Descriptional Complexity of Formal Systems, {DCFS} 2010, Saskatoon, Canada, 8-10th August 2010}, series = {{EPTCS}}, volume = {31}, pages = {68--77}, year = {2010}, url = {https://doi.org/10.4204/EPTCS.31.9}, doi = {10.4204/EPTCS.31.9}, timestamp = {Wed, 12 Sep 2018 01:05:14 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1008-1649.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/tf/10/SubramoniPAP10, author = {Hari Subramoni and Fabrizio Petrini and Virat Agarwal and Davide Pasetto}, editor = {Jakub Kurzak and David A. Bader and Jack J. Dongarra}, title = {High Performance Topology-Aware Communication in Multicore Processors}, booktitle = {Scientific Computing with Multicore and Accelerators}, series = {Chapman and Hall / {CRC} computational science series}, pages = {443--460}, publisher = {{CRC} Press / Taylor {\&} Francis}, year = {2010}, url = {https://doi.org/10.1201/b10376-30}, doi = {10.1201/B10376-30}, timestamp = {Sat, 19 Oct 2019 19:02:58 +0200}, biburl = {https://dblp.org/rec/books/tf/10/SubramoniPAP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/EngelK09, author = {Jacob Engel and Taskin Ko{\c{c}}ak}, title = {Off-chip communication architectures for high throughput network processors}, journal = {Comput. Commun.}, volume = {32}, number = {5}, pages = {867--879}, year = {2009}, url = {https://doi.org/10.1016/j.comcom.2008.12.043}, doi = {10.1016/J.COMCOM.2008.12.043}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/EngelK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/Geer09, author = {David Geer}, title = {Networks on Processors Improve On-Chip Communications}, journal = {Computer}, volume = {42}, number = {3}, pages = {17--20}, year = {2009}, url = {https://doi.org/10.1109/MC.2009.91}, doi = {10.1109/MC.2009.91}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/Geer09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/ApostolakisGPRR09, author = {Andreas Apostolakis and Dimitris Gizopoulos and Mihalis Psarakis and Danilo Ravotto and Matteo Sonza Reorda}, title = {Test Program Generation for Communication Peripherals in Processor-Based SoC Devices}, journal = {{IEEE} Des. Test Comput.}, volume = {26}, number = {2}, pages = {52--63}, year = {2009}, url = {https://doi.org/10.1109/MDT.2009.43}, doi = {10.1109/MDT.2009.43}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/ApostolakisGPRR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/Kim09, author = {Sungwook Kim}, title = {Adaptive online processor management algorithms for multimedia data communication with QoS sensitivity}, journal = {Int. J. Commun. Syst.}, volume = {22}, number = {4}, pages = {469--482}, year = {2009}, url = {https://doi.org/10.1002/dac.979}, doi = {10.1002/DAC.979}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/Kim09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/KandemirZMON09, author = {Mahmut T. Kandemir and Yuanrui Zhang and Sai Prashanth Muralidhara and Ozcan Ozturk and Sri Hari Krishna Narayanan}, editor = {J{\"{o}}rg Henkel and Sri Parameswaran}, title = {Slicing based code parallelization for minimizing inter-processor communication}, booktitle = {Proceedings of the 2009 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2009, Grenoble, France, October 11-16, 2009}, pages = {87--96}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629395.1629409}, doi = {10.1145/1629395.1629409}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/KandemirZMON09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/JooKH09, author = {Young{-}Pyo Joo and Sungchan Kim and Soonhoi Ha}, editor = {Luca Benini and Giovanni De Micheli and Bashir M. Al{-}Hashimi and Wolfgang M{\"{u}}ller}, title = {On-chip communication architecture exploration for processor-pool-based MPSoC}, booktitle = {Design, Automation and Test in Europe, {DATE} 2009, Nice, France, April 20-24, 2009}, pages = {466--471}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/DATE.2009.5090710}, doi = {10.1109/DATE.2009.5090710}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/JooKH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/SennMRJ09, author = {Eric Senn and David Monnereau and Andr{\'{e}} Rossi and Nathalie Julien}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {Using Integer Linear Programming in Test-bench Generation for Evaluating Communication Processors}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {217--220}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.236}, doi = {10.1109/DSD.2009.236}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/SennMRJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/GreinerFPG09, author = {Alain Greiner and Etienne Faure and Nicolas Pouillon and Daniela Genius}, title = {A generic hardware / software communication middleware for streaming applications on shared memory multi processor systems-on-chip}, booktitle = {Forum on specification and Design Languages, {FDL} 2009, September 22-24, 2009, Sophia Antipolis, France, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/document/5404041/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fdl/GreinerFPG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/Chagoya-GarzonGRPRLVP09, author = {Alexandre Chagoya{-}Garzon and Xavier Guerin and Fr{\'{e}}d{\'{e}}ric Rousseau and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot and Davide Rossetti and Alessandro Lonardo and Piero Vicini and Pier Stanislao Paolucci}, title = {Synthesis of Communication Mechanisms for Multi-tile Systems Based on Heterogeneous Multi-processor System-On-Chips}, booktitle = {Proceedings of the Twentienth {IEEE/IFIP} International Symposium on Rapid System Prototyping, Shortening the Path from Specification to Prototype, {RSP} 2009, Paris, France, 23-26 June 2009}, pages = {48--54}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/RSP.2009.12}, doi = {10.1109/RSP.2009.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/Chagoya-GarzonGRPRLVP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sasp/HanYZMAE09, author = {Wei Han and Ying Yi and Xin Zhao and Mark Muir and Tughrul Arslan and Ahmet T. Erdogan}, title = {Heterogeneous multi-core architectures with dynamically reconfigurable processors for wireless communication}, booktitle = {Proceedings of the {IEEE} 7th Symposium on Application Specific Processors, {SASP} 2009, San Francisco, CA, {USA} , July 27-28, 2009}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SASP.2009.5226347}, doi = {10.1109/SASP.2009.5226347}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sasp/HanYZMAE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/BenderBDFLMP08, author = {Michael A. Bender and David P. Bunde and Erik D. Demaine and S{\'{a}}ndor P. Fekete and Vitus J. Leung and Henk Meijer and Cynthia A. Phillips}, title = {Communication-Aware Processor Allocation for Supercomputers: Finding Point Sets of Small Average Distance}, journal = {Algorithmica}, volume = {50}, number = {2}, pages = {279--298}, year = {2008}, url = {https://doi.org/10.1007/s00453-007-9037-2}, doi = {10.1007/S00453-007-9037-2}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/BenderBDFLMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/FideJ08, author = {Sevin Fide and Stephen F. Jenks}, title = {Proactive Use of Shared {L3} Caches to Enhance Cache Communications in Multi-Core Processors}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {7}, number = {2}, pages = {57--60}, year = {2008}, url = {https://doi.org/10.1109/L-CA.2008.10}, doi = {10.1109/L-CA.2008.10}, timestamp = {Sun, 05 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cal/FideJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/Hartmann08, author = {Harro L. Hartmann}, title = {Communication Networks The uniform estimation of the {M/G/1} processor sharing response time distribution}, journal = {Eur. Trans. Telecommun.}, volume = {19}, number = {6}, pages = {619--633}, year = {2008}, url = {https://doi.org/10.1002/ett.1242}, doi = {10.1002/ETT.1242}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/Hartmann08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/FaruqueH08, author = {Mohammad Abdullah Al Faruque and J{\"{o}}rg Henkel}, title = {QoS-supported On-chip Communication for Multi-processors}, journal = {Int. J. Parallel Program.}, volume = {36}, number = {1}, pages = {114--139}, year = {2008}, url = {https://doi.org/10.1007/s10766-007-0039-0}, doi = {10.1007/S10766-007-0039-0}, timestamp = {Thu, 25 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpp/FaruqueH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChenLTL08, author = {Yuan Chen and Yu{-}Wei Lin and Yu{-}Chi Tsao and Chen{-}Yi Lee}, title = {A 2.4-Gsample/s {DVFS} {FFT} Processor for {MIMO} {OFDM} Communication Systems}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {5}, pages = {1260--1273}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.920320}, doi = {10.1109/JSSC.2008.920320}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChenLTL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/NoseworthyL08, author = {Joshua Noseworthy and Miriam Leeser}, title = {Efficient Communication Between the Embedded Processor and the Reconfigurable Logic on an {FPGA}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {16}, number = {8}, pages = {1083--1090}, year = {2008}, url = {https://doi.org/10.1109/TVLSI.2008.2000525}, doi = {10.1109/TVLSI.2008.2000525}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/NoseworthyL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/BaekKS08, author = {Jae Hyun Baek and Sung Dae Kim and Myung Hoon Sunwoo}, title = {{SPOCS:} Application Specific Signal Processor for {OFDM} Communication Systems}, journal = {J. Signal Process. Syst.}, volume = {53}, number = {3}, pages = {383--397}, year = {2008}, url = {https://doi.org/10.1007/s11265-008-0240-4}, doi = {10.1007/S11265-008-0240-4}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/BaekKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChenLMS08, author = {Yen{-}Kuang Chen and David W. Lin and John V. McCanny and Edwin Hsing{-}Mean Sha}, title = {Guest Editorial: Special Issue on Design and Programming of Signal Processors for Multimedia Communication}, journal = {J. Signal Process. Syst.}, volume = {51}, number = {3}, pages = {207--208}, year = {2008}, url = {https://doi.org/10.1007/s11265-007-0159-1}, doi = {10.1007/S11265-007-0159-1}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChenLMS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/PasrichaD08, author = {Sudeep Pasricha and Nikil D. Dutt}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {{ORB:} An on-chip optical ring bus communication architecture for multi-processor systems-on-chip}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {789--794}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4484059}, doi = {10.1109/ASPDAC.2008.4484059}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/PasrichaD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DeleddaMVBGMKRHBCPLMCD08, author = {Antonio Deledda and Claudio Mucci and Arseni Vitkovski and Philippe Bonnot and Arnaud Grasset and Philippe Millet and Matthias K{\"{u}}hnle and Florian Ries and Michael H{\"{u}}bner and J{\"{u}}rgen Becker and Massimo Coppola and Lorenzo Pieralisi and Riccardo Locatelli and Giuseppe Maruccia and Fabio Campi and Tommaso DeMarco}, editor = {Donatella Sciuto}, title = {Design of a {HW/SW} Communication Infrastructure for a Heterogeneous Reconfigurable Processor}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {1352--1357}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484867}, doi = {10.1109/DATE.2008.4484867}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/DeleddaMVBGMKRHBCPLMCD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/WolinskiKTH08, author = {Christophe Wolinski and Krzysztof Kuchcinski and J{\"{u}}rgen Teich and Frank Hannig}, editor = {Luca Fanucci}, title = {Communication Network Reconfiguration Overhead Optimization in Programmable Processor Array Architectures}, booktitle = {11th Euromicro Conference on Digital System Design: Architectures, Methods and Tools, {DSD} 2008, Parma, Italy, September 3-5, 2008}, pages = {345--352}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/DSD.2008.1}, doi = {10.1109/DSD.2008.1}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/WolinskiKTH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/BauerSH08, author = {Lars Bauer and Muhammad Shafique and J{\"{o}}rg Henkel}, title = {A computation- and communication- infrastructure for modular special instructions in a dynamically reconfigurable processor}, booktitle = {{FPL} 2008, International Conference on Field Programmable Logic and Applications, Heidelberg, Germany, 8-10 September 2008}, pages = {203--208}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/FPL.2008.4629932}, doi = {10.1109/FPL.2008.4629932}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/BauerSH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hase/JungJCW08, author = {Hun Jung and Miao Ju and Hao Che and Zhijun Wang}, title = {A Fast Performance Analysis Tool for Multicore, Multithreaded Communication Processors}, booktitle = {11th {IEEE} High Assurance Systems Engineering Symposium, {HASE} 2008, Nanjing, China, December 3 - 5, 2008}, pages = {135--144}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/HASE.2008.18}, doi = {10.1109/HASE.2008.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hase/JungJCW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/GeladoKRLNH08, author = {Isaac Gelado and John H. Kelm and Shane Ryoo and Steven S. Lumetta and Nacho Navarro and Wen{-}mei W. Hwu}, editor = {Pin Zhou}, title = {{CUBA:} an architecture for efficient CPU/co-processor data communication}, booktitle = {Proceedings of the 22nd Annual International Conference on Supercomputing, {ICS} 2008, Island of Kos, Greece, June 7-12, 2008}, pages = {299--308}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1375527.1375571}, doi = {10.1145/1375527.1375571}, timestamp = {Tue, 06 Nov 2018 11:07:03 +0100}, biburl = {https://dblp.org/rec/conf/ics/GeladoKRLNH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icycs/GuoDLW08, author = {Jianjun Guo and Kui Dai and Ming{-}che Lai and Zhiying Wang}, title = {The {P2P} Communication Model for a Local Memory based Multi-core Processor}, booktitle = {Proceedings of the 9th International Conference for Young Computer Scientists, {ICYCS} 2008, Zhang Jia Jie, Hunan, China, November 18-21, 2008}, pages = {1354--1359}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICYCS.2008.272}, doi = {10.1109/ICYCS.2008.272}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icycs/GuoDLW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-3/AndradeGBP08, author = {Rita Kalile Almeida Andrade and Thom{\'{a}}s Alimena Del Grande and Tiago B{\"{u}}cker and Carlos Eduardo Pereira}, editor = {Bernd Kleinjohann and Lisa Kleinjohann and Wayne H. Wolf}, title = {Design and Implementation of a {FTT-CAN} Communication Infra-Structure for the RT-femtoJava Processor}, booktitle = {Distributed Embedded Systems: Design, Middleware and Resources, {IFIP} 20th World Computer Congress, {TC10} Working Conference on Distributed and Parallel Embedded Systems {(DIPES} 2008), September 7-10, 2008, Milano, Italy}, series = {{IFIP}}, volume = {271}, pages = {143--150}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-0-387-09661-2\_14}, doi = {10.1007/978-0-387-09661-2\_14}, timestamp = {Tue, 26 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-3/AndradeGBP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/FrijnsFMC08, author = {Raymond Frijns and Hamed Fatemi and Bart Mesman and Henk Corporaal}, title = {{DC-SIMD} : Dynamic communication for {SIMD} processors}, booktitle = {22nd {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2008, Miami, Florida USA, April 14-18, 2008}, pages = {1--10}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IPDPS.2008.4536274}, doi = {10.1109/IPDPS.2008.4536274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/FrijnsFMC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/Katevenis08, author = {Manolis Katevenis}, editor = {Walid A. Najjar and Holger Blume}, title = {Towards unified mechanisms for inter-processor communication}, booktitle = {Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2008), Samos, Greece, July 21-24, 2008}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSAMOS.2008.4664839}, doi = {10.1109/ICSAMOS.2008.4664839}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/Katevenis08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/RanaASSM08, author = {Vincenzo Rana and David Atienza and Marco D. Santambrogio and Donatella Sciuto and Giovanni De Micheli}, editor = {Christian Piguet and Ricardo Reis and Dimitrios Soudris}, title = {A Reconfigurable Network-on-Chip Architecture for Optimal Multi-Processor SoC Communication}, booktitle = {VLSI-SoC: Design Methodologies for SoC and SiP - 16th {IFIP} {WG} 10.5/IEEE International Conference on Very Large Scale Integration, VLSI-SoC 2008, Rhodes Island, Greece, October 13-15, 2008, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {313}, pages = {232--250}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-642-12267-5\_13}, doi = {10.1007/978-3-642-12267-5\_13}, timestamp = {Tue, 22 Oct 2019 15:21:19 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/RanaASSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/wiley/RajagopalC08, author = {Sridhar Rajagopal and Joseph R. Cavallaro}, editor = {Benjamin W. Wah}, title = {Communication Processors for Wireless Systems}, booktitle = {Wiley Encyclopedia of Computer Science and Engineering}, publisher = {John Wiley {\&} Sons, Inc.}, year = {2008}, url = {https://doi.org/10.1002/9780470050118.ecse065}, doi = {10.1002/9780470050118.ECSE065}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/wiley/RajagopalC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/tf/Khawand08, author = {Charbel Khawand}, title = {Smart Inter-Processor Communicator Protocol Stack}, booktitle = {Encyclopedia of Wireless and Mobile Communications}, year = {2008}, url = {http://www.crcnetbase.com/doi/abs/10.1081/E-EWMC-120043802}, doi = {10.1081/E-EWMC-120043802}, timestamp = {Wed, 12 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/tf/Khawand08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SocherC07, author = {Eran Socher and Mau{-}Chung Frank Chang}, title = {Can {RF} Help {CMOS} Processors? [Topics in Circuits for Communications]}, journal = {{IEEE} Commun. Mag.}, volume = {45}, number = {8}, pages = {104--111}, year = {2007}, url = {https://doi.org/10.1109/MCOM.2007.4290322}, doi = {10.1109/MCOM.2007.4290322}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/SocherC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/Butts07, author = {Mike Butts}, title = {Synchronization through Communication in a Massively Parallel Processor Array}, journal = {{IEEE} Micro}, volume = {27}, number = {5}, pages = {32--40}, year = {2007}, url = {http://doi.ieeecomputersociety.org/10.1109/MM.2007.92}, doi = {10.1109/MM.2007.92}, timestamp = {Thu, 08 Nov 2007 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/Butts07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ApostolakisPGP07, author = {Andreas Apostolakis and Mihalis Psarakis and Dimitris Gizopoulos and Antonis M. Paschalis}, title = {Functional Processor-Based Testing of Communication Peripherals in Systems-on-Chip}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {8}, pages = {971--975}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.900750}, doi = {10.1109/TVLSI.2007.900750}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ApostolakisPGP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LuoJP07, author = {Jiong Luo and Niraj K. Jha and Li{-}Shiuan Peh}, title = {Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {4}, pages = {427--437}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.893660}, doi = {10.1109/TVLSI.2007.893660}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LuoJP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/Poon07, author = {Ada S. Y. Poon}, title = {An Energy-Efficient Reconfigurable Baseband Processor for Wireless Communications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {15}, number = {3}, pages = {319--327}, year = {2007}, url = {https://doi.org/10.1109/TVLSI.2007.893619}, doi = {10.1109/TVLSI.2007.893619}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/Poon07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/MamidiSIG07, author = {Suman Mamidi and Michael J. Schulte and Daniel Iancu and C. John Glossner}, title = {Architecture Support for Reconfigurable Multithreaded Processors in Programmable Communication Systems}, booktitle = {{IEEE} International Conference on Application-Specific Systems, Architectures and Processors, {ASAP} 2007, Montr{\'{e}}al, Qu{\'{e}}bec, Canada, July 8-11, 2007}, pages = {320--327}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASAP.2007.4430000}, doi = {10.1109/ASAP.2007.4430000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/MamidiSIG07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/async/ScottSRHBMJB07, author = {Andrew M. Scott and Mark E. Schuelein and Marly Roncken and Jin{-}Jer Hwan and John Bainbridge and John R. Mawer and David L. Jackson and Andrew Bardsley}, title = {Asynchronous on-Chip Communication: Explorations on the Intel PXA27x Processor Peripheral Bus}, booktitle = {13th {IEEE} International Symposium on Asynchronous Circuits and Systems {(ASYNC} 2007), 12-14 March 2006, Berkeley, California, {USA}}, pages = {60--72}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ASYNC.2007.11}, doi = {10.1109/ASYNC.2007.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/async/ScottSRHBMJB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/YangO07, author = {Chengmo Yang and Alex Orailoglu}, editor = {Taewhan Kim and Pascal Sainrat and Steven S. Lumetta and Nacho Navarro}, title = {Light-weight synchronization for inter-processor communication acceleration on embedded MPSoCs}, booktitle = {Proceedings of the 2007 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {150--154}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289881.1289909}, doi = {10.1145/1289881.1289909}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/YangO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/YuP07, author = {Chenjie Yu and Peter Petrov}, editor = {Soonhoi Ha and Kiyoung Choi and Nikil D. Dutt and J{\"{u}}rgen Teich}, title = {Aggressive snoop reduction for synchronized producer-consumer communication in energy-efficient embedded multi-processors}, booktitle = {Proceedings of the 5th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2007, Salzburg, Austria, September 30 - October 3, 2007}, pages = {245--250}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289816.1289876}, doi = {10.1145/1289816.1289876}, timestamp = {Sat, 05 Sep 2020 18:08:48 +0200}, biburl = {https://dblp.org/rec/conf/codes/YuP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/DolifLRMB07, author = {Emiliano Dolif and Michele Lombardi and Martino Ruggiero and Michela Milano and Luca Benini}, editor = {Christoph M. Kirsch and Reinhard Wilhelm}, title = {Communication-aware stochastic allocation and scheduling framework for conditional task graphs in multi-processor systems-on-chip}, booktitle = {Proceedings of the 7th {ACM} {\&} {IEEE} International conference on Embedded software, {EMSOFT} 2007, September 30 - October 3, 2007, Salzburg, Austria}, pages = {47--56}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1289927.1289940}, doi = {10.1145/1289927.1289940}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/DolifLRMB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LiuRWY07, author = {Liang Liu and Junyan Ren and Xuejing Wang and Fan Ye}, title = {Design of Low-Power, 1GS/s Throughput {FFT} Processor for {MIMO-OFDM} {UWB} Communication System}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2007), 27-20 May 2007, New Orleans, Louisiana, {USA}}, pages = {2594--2597}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISCAS.2007.377846}, doi = {10.1109/ISCAS.2007.377846}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LiuRWY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/HeyrmanPCVP07, author = {Kris Heyrman and Antonis Papanikolaou and Francky Catthoor and Peter Veelaert and Wilfried Philips}, title = {Using a Linear Sectioned Bus And a Communication Processor to Reduce Energy Costs in Synchronous On-Chip Communication}, booktitle = {International Symposium on System-on-Chip, SoC 2007, Tampere, Finland, November 20-21, 2007}, pages = {1--4}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSOC.2007.4427432}, doi = {10.1109/ISSOC.2007.4427432}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/HeyrmanPCVP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/IshiiMN07, author = {Hiroshi Ishii and Osamu Mizuno and Hiroaki Nishikawa}, editor = {Hamid R. Arabnia}, title = {A proposal on Ad Hoc and Ubiquitous Communication Environment supported by Data-Driven Networking Processor}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2007, Las Vegas, Nevada, USA, June 25-28, 2007, Volume 2}, pages = {587--590}, publisher = {{CSREA} Press}, year = {2007}, timestamp = {Wed, 07 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/IshiiMN07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppam/LaskowskiT07, author = {Eryk Laskowski and Marek S. Tudruj}, editor = {Roman Wyrzykowski and Jack J. Dongarra and Konrad Karczewski and Jerzy Wasniewski}, title = {Inter-processor Communication Optimization in Dynamically Reconfigurable Embedded Parallel Systems}, booktitle = {Parallel Processing and Applied Mathematics, 7th International Conference, {PPAM} 2007, Gdansk, Poland, September 9-12, 2007, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4967}, pages = {39--48}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-68111-3\_5}, doi = {10.1007/978-3-540-68111-3\_5}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppam/LaskowskiT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/SchlanskerCOSRBCMBJ07, author = {Michael S. Schlansker and Nagabhushan Chitlur and Erwin Oertli and Paul M. Stillwell Jr. and Linda Rankin and Dennis Bradford and Richard J. Carter and Jayaram Mudigonda and Nathan L. Binkert and Norman P. Jouppi}, editor = {Becky Verastegui}, title = {High-performance ethernet-based communications for future multi-core processors}, booktitle = {Proceedings of the {ACM/IEEE} Conference on High Performance Networking and Computing, {SC} 2007, November 10-16, 2007, Reno, Nevada, {USA}}, pages = {37}, publisher = {{ACM} Press}, year = {2007}, url = {https://doi.org/10.1145/1362622.1362672}, doi = {10.1145/1362622.1362672}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/sc/SchlanskerCOSRBCMBJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/SekiKOI07, author = {Katsutoshi Seki and Tomoyoshi Kobori and James Okello and Masao Ikekawa}, title = {A Cordic-Based Reconfigrable Systolic Array Processor for {MIMO-OFDM} Wireless Communications}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2007, Proceedings, October 17-19, 2007, Eton Hotel, Shanghai, China}, pages = {639--644}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/SIPS.2007.4387624}, doi = {10.1109/SIPS.2007.4387624}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/SekiKOI07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/Mateescu07, author = {Gabriel Mateescu}, editor = {Maurice J. Ades}, title = {Overcoming the processor communication overhead in {MPI} applications}, booktitle = {Proceedings of the 2007 Spring Simulation Multiconference, SpringSim 2007, Norfolk, Virginia, USA, March 25-29, 2007, Volume 2}, pages = {375--378}, publisher = {{SCS/ACM}}, year = {2007}, url = {http://dl.acm.org/citation.cfm?id=1404680.1404738}, timestamp = {Mon, 10 Dec 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/springsim/Mateescu07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wdag/KowalskiS07, author = {Dariusz R. Kowalski and Michal Strojnowski}, editor = {Andrzej Pelc}, title = {On the Communication Surplus Incurred by Faulty Processors}, booktitle = {Distributed Computing, 21st International Symposium, {DISC} 2007, Lemesos, Cyprus, September 24-26, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4731}, pages = {328--342}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75142-7\_26}, doi = {10.1007/978-3-540-75142-7\_26}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/wdag/KowalskiS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ndltd/Shnidman06, author = {Nathan R. Shnidman}, title = {Multipass communication systems for tiled processor architectures}, school = {Massachusetts Institute of Technology, Cambridge, MA, {USA}}, year = {2006}, url = {https://hdl.handle.net/1721.1/36137}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ndltd/Shnidman06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/EngelLK06, author = {Jacob Engel and Daniel Lacks and Taskin Ko{\c{c}}ak}, title = {Modelling and simulation of off-chip communication architectures for high-speed packet processors}, journal = {J. Syst. Softw.}, volume = {79}, number = {12}, pages = {1701--1714}, year = {2006}, url = {https://doi.org/10.1016/j.jss.2006.01.033}, doi = {10.1016/J.JSS.2006.01.033}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/EngelLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/BakerFY06, author = {Allison H. Baker and Robert D. Falgout and Ulrike Meier Yang}, title = {An assumed partition algorithm for determining processor inter-communication}, journal = {Parallel Comput.}, volume = {32}, number = {5-6}, pages = {394--414}, year = {2006}, url = {https://doi.org/10.1016/j.parco.2006.06.009}, doi = {10.1016/J.PARCO.2006.06.009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pc/BakerFY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/HuangC06, author = {Jih{-}Woei Huang and Chih{-}Ping Chu}, title = {An Efficient Communication Scheduling Method for the Processor Mapping Technique Applied Data Redistribution}, journal = {J. Supercomput.}, volume = {37}, number = {3}, pages = {297--318}, year = {2006}, url = {https://doi.org/10.1007/s11227-006-6615-z}, doi = {10.1007/S11227-006-6615-Z}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/HuangC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/Jha06, author = {Uma S. Jha}, title = {Convergence of Communication, Infotainment, and Multimedia Applications Require Paradigm Shift in Processor Development and Reuse Pattern}, journal = {Wirel. Pers. Commun.}, volume = {37}, number = {3-4}, pages = {305--315}, year = {2006}, url = {https://doi.org/10.1007/s11277-006-9074-1}, doi = {10.1007/S11277-006-9074-1}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/Jha06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChoiSSKKCWYY06, author = {Sungdae Choi and Seong{-}Jun Song and Kyomin Sohn and Hyejung Kim and Joo{-}Young Kim and Namjun Cho and Jeong{-}Ho Woo and Jerald Yoo and Hoi{-}Jun Yoo}, title = {A Multi-Nodes Human Body Communication Sensor Network Control Processor}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {109--112}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CICC.2006.321001}, doi = {10.1109/CICC.2006.321001}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChoiSSKKCWYY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cnis/YuHX06, author = {Jianming Yu and Quan Huang and Yibo Xue}, editor = {Sanguthevar Rajasekaran}, title = {Optimizing multi-thread string matching for network processor based intrusion management system}, booktitle = {Proceedings of the Third {IASTED} International Conference on Communication, Network, and Information Security, October 9-11, 2006, Cambridge, MA, {USA}}, pages = {199--204}, publisher = {{IASTED/ACTA} Press}, year = {2006}, timestamp = {Fri, 26 Jan 2007 13:40:33 +0100}, biburl = {https://dblp.org/rec/conf/cnis/YuHX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/RuggieroGBPM06, author = {Martino Ruggiero and Alessio Guerri and Davide Bertozzi and Francesco Poletti and Michela Milano}, editor = {Georges G. E. Gielen}, title = {Communication-aware allocation and scheduling framework for stream-oriented multi-processor systems-on-chip}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {3--8}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243950}, doi = {10.1109/DATE.2006.243950}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/RuggieroGBPM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ersa/NoseworthyL06, author = {Joshua Noseworthy and Miriam Leeser}, editor = {Toomas P. Plaks}, title = {Efficient Use of Communications Between an FPGAs Embedded Processor and its Reconfigurable Logic}, booktitle = {Proceedings of the 2006 International Conference on Engineering of Reconfigurable Systems {\&} Algorithms, {ERSA} 2006, Las Vegas, Nevada, USA, June 26-29, 2006}, pages = {191--197}, publisher = {{CSREA} Press}, year = {2006}, timestamp = {Mon, 11 Dec 2006 15:00:43 +0100}, biburl = {https://dblp.org/rec/conf/ersa/NoseworthyL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/NoseworthyL06, author = {Joshua Noseworthy and Miriam Leeser}, editor = {Steven J. E. Wilton and Andr{\'{e}} DeHon}, title = {Efficient use of communications between an FPGA's embedded processor and its reconfigurable logic}, booktitle = {Proceedings of the {ACM/SIGDA} 14th International Symposium on Field Programmable Gate Arrays, {FPGA} 2006, Monterey, California, USA, February 22-24, 2006}, pages = {233}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1117201.1117257}, doi = {10.1145/1117201.1117257}, timestamp = {Tue, 06 Nov 2018 16:58:23 +0100}, biburl = {https://dblp.org/rec/conf/fpga/NoseworthyL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SzeBBC06, author = {Vivienne Sze and Ra{\'{u}}l Bl{\'{a}}zquez and Manish Bhardwaj and Anantha P. Chandrakasan}, title = {An Energy Efficient Sub-Threshold Baseband Processor Architecture for Pulsed Ultra-Wideband Communications}, booktitle = {2006 {IEEE} International Conference on Acoustics Speech and Signal Processing, {ICASSP} 2006, Toulouse, France, May 14-19, 2006}, pages = {908--911}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICASSP.2006.1660802}, doi = {10.1109/ICASSP.2006.1660802}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/SzeBBC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/BongoVALB06, author = {Lars Ailo Bongo and Brian Vinter and Otto J. Anshus and Tore Larsen and John Markus Bj{\o}rndalen}, title = {Using Overdecomposition to Overlap Communication Latencies with Computation and Take Advantage of {SMT} Processors}, booktitle = {2006 International Conference on Parallel Processing Workshops {(ICPP} Workshops 2006), 14-18 August 2006, Columbus, Ohio, {USA}}, pages = {239--247}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICPPW.2006.77}, doi = {10.1109/ICPPW.2006.77}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icppw/BongoVALB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/GruijtersV06, author = {Paul Gruijters and Bertrand Vandewiele}, title = {Algorithm Partitioning and SoC Design for {OFDM} Communication Systems Using Multiple Application Specific Processors}, booktitle = {Eigth {IEEE} International Symposium on Multimedia {(ISM} 2006), 11-13 December 2006, San Diego, CA, {USA}}, pages = {481--487}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ISM.2006.22}, doi = {10.1109/ISM.2006.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ism/GruijtersV06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtv/BernardiBMOVR06, author = {Paolo Bernardi and Let{\'{\i}}cia Maria Veiras Bolzani and Alberto Manzone and Massimo Osella and Massimo Violante and Matteo Sonza Reorda}, editor = {Magdy S. Abadir and Li{-}C. Wang and Jayanta Bhadra}, title = {Software-Based On-Line Test of Communication Peripherals in Processor-Based Systems for Automotive Applications}, booktitle = {Seventh International Workshop on Microprocessor Test and Verification {(MTV} 2006), Common Challenges and Solutions, 4-5 December 2006, Austin, Texas, {USA}}, pages = {3--8}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/MTV.2006.19}, doi = {10.1109/MTV.2006.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mtv/BernardiBMOVR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parelec/SmykTM06, author = {Adam Smyk and Marek S. Tudruj and Lukasz Masko}, title = {Open {MP} Extension for Multithreaded Computing with Dynamic {SMP} Processor Clusters with Communication on the Fly}, booktitle = {Fifth International Conference on Parallel Computing in Electrical Engineering {(PARELEC} 2006), 13-17 September 2006, Bialystok, Poland}, pages = {83--88}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/PARELEC.2006.56}, doi = {10.1109/PARELEC.2006.56}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parelec/SmykTM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/FaureGG06, author = {Etienne Faure and Alain Greiner and Daniela Genius}, editor = {Gilles Sassatelli and Leandro Soares Indrusiak and Manfred Glesner and Lionel Torres}, title = {A generic hardware/software communication mechanism for Multi-Processor System on Chip, Targeting Telecommunication Applications}, booktitle = {Proceedings of the 2nd International Workshop on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2006, Montpellier, France, July 2006}, pages = {237--242}, publisher = {Univ. Montpellier {II}}, year = {2006}, timestamp = {Mon, 13 Nov 2006 14:12:39 +0100}, biburl = {https://dblp.org/rec/conf/recosoc/FaureGG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/LeeYHW06, author = {Yu{-}Hung Lee and Tzu{-}Hao Yu and Kuo{-}Ken Huang and An{-}Yeu Wu}, title = {Rapid {IP} Design of Variable-length Cached-FFT Processor for OFDM-based Communication Systems}, booktitle = {Proceedings of the {IEEE} Workshop on Signal Processing Systems, SiPS 2006, Proceedings, October 2-4, 2006, Banff, Alberta, Canada}, pages = {62--65}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/SIPS.2006.352556}, doi = {10.1109/SIPS.2006.352556}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sips/LeeYHW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/JeongSO05, author = {Sug Hyun Jeong and Myung Hoon Sunwoo and Seong Keun Oh}, title = {Bit Manipulation Accelerator for Communication Systems Digital Signal Processor}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2005}, number = {16}, pages = {2655--2663}, year = {2005}, url = {https://doi.org/10.1155/ASP.2005.2655}, doi = {10.1155/ASP.2005.2655}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/JeongSO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/BlazquezNLC05, author = {Ra{\'{u}}l Bl{\'{a}}zquez and Puneet P. Newaskar and Fred S. Lee and Anantha P. Chandrakasan}, title = {A baseband processor for impulse ultra-wideband communications}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {9}, pages = {1821--1828}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2005.852157}, doi = {10.1109/JSSC.2005.852157}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/BlazquezNLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scheduling/AfratiBFM05, author = {Foto N. Afrati and Evripidis Bampis and Lucian Finta and Ioannis Milis}, title = {Scheduling trees with large communication delays on two identical processors}, journal = {J. Sched.}, volume = {8}, number = {2}, pages = {179--190}, year = {2005}, url = {https://doi.org/10.1007/s10951-005-6366-3}, doi = {10.1007/S10951-005-6366-3}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scheduling/AfratiBFM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eiivcp/YuY0JL05, author = {Guojun Yu and Qingdong Yao and Peng Liu and Zhidi Jiang and Fuping Li}, editor = {Amir Said and John G. Apostolopoulos}, title = {A processor for {MPEG} decoder {SOC:} a software/hardware co-design approach}, booktitle = {Electronic Imaging: Image and Video Communications and Processing 2005, San Jose, California, USA, 16-20 January 2005}, series = {{SPIE} Proceedings}, volume = {5685}, publisher = {{SPIE}}, year = {2005}, url = {https://doi.org/10.1117/12.582737}, doi = {10.1117/12.582737}, timestamp = {Tue, 21 Aug 2018 08:53:01 +0200}, biburl = {https://dblp.org/rec/conf/eiivcp/YuY0JL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iastedCCS/EngelLK05, author = {Jacob Engel and Daniel Lacks and Taskin Ko{\c{c}}ak}, editor = {Vojin G. Oklobdzija}, title = {Modelling and simulation of off-chip communication architectures for high-speed packet processors}, booktitle = {Proceedings of the Third {IASTED} International Conference on Circuits, Signals, and Systems, Marina del Rey, CA, USA, October 24-26, 2005}, pages = {169--174}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Thu, 25 Jan 2007 13:41:15 +0100}, biburl = {https://dblp.org/rec/conf/iastedCCS/EngelLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/IshiiKN05, author = {Hiroshi Ishii and Yoshitsugu Kondo and Hiroaki Nishikawa}, editor = {Hamid R. Arabnia}, title = {A Person-Oriented Ubiquitous and Secure Information Communication Environment Supported by Data-Driven Networking Processor}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2005, Las Vegas, Nevada, USA, June 27-30, 2005, Volume 2}, pages = {605--611}, publisher = {{CSREA} Press}, year = {2005}, timestamp = {Wed, 07 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/IshiiKN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/SeshanHMSN05, author = {Nat Seshan and Todd Hiers and Gustavo Martinez and Anthony Seely and Zoran Nikolic}, title = {Digital signal processors for communications, video infrastructure, and audio}, booktitle = {Proceedings 2005 {IEEE} International {SOC} Conference, September 25-28, 2005, Washington Dulles Airport, Herndon, VA, {USA}}, pages = {319--321}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/SOCC.2005.1554520}, doi = {10.1109/SOCC.2005.1554520}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/SeshanHMSN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wads/BenderBDFLMP05, author = {Michael A. Bender and David P. Bunde and Erik D. Demaine and S{\'{a}}ndor P. Fekete and Vitus J. Leung and Henk Meijer and Cynthia A. Phillips}, editor = {Frank K. H. A. Dehne and Alejandro L{\'{o}}pez{-}Ortiz and J{\"{o}}rg{-}R{\"{u}}diger Sack}, title = {Communication-Aware Processor Allocation for Supercomputers}, booktitle = {Algorithms and Data Structures, 9th International Workshop, {WADS} 2005, Waterloo, Canada, August 15-17, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3608}, pages = {169--181}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11534273\_16}, doi = {10.1007/11534273\_16}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/wads/BenderBDFLMP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wotug/Hofstee05, author = {H. Peter Hofstee}, editor = {Jan F. Broenink and Herman W. Roebbers and Johan P. E. Sunter and Peter H. Welch and David C. Wood}, title = {Communication and Synchronization in the Cell Processor - Invited Talk}, booktitle = {The 28th Communicating Process Architectures Conference, {CPA} 2005, organised under the auspices of WoTUG, Philips and the Technische Universiteit Eindhoven, Eindhoven, The Netherlands, 18-21 September 2005}, series = {Concurrent Systems Engineering Series}, volume = {63}, pages = {397}, publisher = {{IOS} Press}, year = {2005}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=708}, timestamp = {Fri, 23 Mar 2012 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wotug/Hofstee05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/BampisGK04, author = {Evripidis Bampis and Rodolphe Giroudeau and Alexander V. Kononov}, title = {Scheduling Tasks with Small Communication Delays for Clusters of Processors}, journal = {Ann. Oper. Res.}, volume = {129}, number = {1-4}, pages = {47--63}, year = {2004}, url = {https://doi.org/10.1023/B:ANOR.0000030681.44697.7f}, doi = {10.1023/B:ANOR.0000030681.44697.7F}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/BampisGK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/AxnixEHHKW04, author = {Christine Axnix and Eberhard Engler and Stefan Hegewald and Thomas Hesmer and Martin Kuenzel and Friedrich Michael Welter}, title = {z990 NetMessage-protocol-based processor to support element communication interface}, journal = {{IBM} J. Res. Dev.}, volume = {48}, number = {3-4}, pages = {435--448}, year = {2004}, url = {https://doi.org/10.1147/rd.483.0435}, doi = {10.1147/RD.483.0435}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/AxnixEHHKW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuangMLC04, author = {Yuan{-}Hao Huang and Hsi{-}Pin Ma and Ming{-}Luen Liou and Tzi{-}Dar Chiueh}, title = {A 1.1 {G} MAC/s sub-word-parallel digital signal processor for wireless communication applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {39}, number = {1}, pages = {169--183}, year = {2004}, url = {https://doi.org/10.1109/JSSC.2003.820861}, doi = {10.1109/JSSC.2003.820861}, timestamp = {Fri, 22 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HuangMLC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/SarrigeorgidisR04, author = {Konstantinos Sarrigeorgidis and Jan M. Rabaey}, title = {Ultra Low Power {CORDIC} Processor for Wireless Communication Algorithms}, journal = {J. {VLSI} Signal Process.}, volume = {38}, number = {2}, pages = {115--130}, year = {2004}, url = {https://doi.org/10.1023/B:VLSI.0000040424.11334.34}, doi = {10.1023/B:VLSI.0000040424.11334.34}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/SarrigeorgidisR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/TuC04, author = {Jih{-}Fu Tu and Chih{-}Yung Chen}, title = {An Effective Bus-Band Arbiter for Processors Communication}, booktitle = {18th International Conference on Advanced Information Networking and Applications {(AINA} 2004), 29-31 March 2004, Fukuoka, Japan}, pages = {236--240}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/AINA.2004.1283794}, doi = {10.1109/AINA.2004.1283794}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/TuC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cic/ShorfuzzamanEG04, author = {Mohammad Shorfuzzaman and M. Rasit Eskicioglu and Peter C. J. Graham}, editor = {Brian J. d'Auriol}, title = {Architectures for Network Processors: Key Features, Evaluation, and Trends}, booktitle = {Proceedings of the International Conference on Communications in Computing, {CIC} '04, June 21-24, 2004, Las Vegas, Nevada, {USA}}, pages = {141--146}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Wed, 17 May 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cic/ShorfuzzamanEG04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciit/LehmannS04, author = {Ralf Lehmann and Alexander Schill}, editor = {M. H. Hamza}, title = {Algorithm partitioning and optimization for network processors}, booktitle = {{IASTED} International Conference on Communications, Internet, and Information Technology, November 22 - 24, 2004, St. Thomas, {US} Virgin Islands, {USA}}, pages = {311--316}, publisher = {{IASTED/ACTA} Press}, year = {2004}, timestamp = {Thu, 05 Jul 2018 07:27:58 +0200}, biburl = {https://dblp.org/rec/conf/ciit/LehmannS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WieferinkKLAMBN04, author = {Andreas Wieferink and Tim Kogel and Rainer Leupers and Gerd Ascheid and Heinrich Meyr and Gunnar Braun and Achim Nohl}, title = {A System Level Processor/Communication Co-Exploration Methodology for Multi-Processor System-on-Chip Platform}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {1256--1263}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269068}, doi = {10.1109/DATE.2004.1269068}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/WieferinkKLAMBN04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/ValdesDMQ04, author = {Mar{\'{\i}}a Dolores Vald{\'{e}}s and Miguel A. Dom{\'{\i}}nguez and Mar{\'{\i}}a Jos{\'{e}} Moure and Camilo Quint{\'{a}}ns}, editor = {J{\"{u}}rgen Becker and Marco Platzner and Serge Vernalde}, title = {A Reconfigurable Communication Processor Compatible with Different Industrial Fieldbuses}, booktitle = {Field Programmable Logic and Application, 14th International Conference , {FPL} 2004, Leuven, Belgium, August 30-September 1, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3203}, pages = {1011--1016}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30117-2\_117}, doi = {10.1007/978-3-540-30117-2\_117}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/ValdesDMQ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/AndreiSEPH04, author = {Alexandru Andrei and Marcus T. Schmitz and Petru Eles and Zebo Peng and Bashir M. Al{-}Hashimi}, title = {Simultaneous communication and processor voltage scaling for dynamic and leakage energy reduction in time-constrained systems}, booktitle = {2004 International Conference on Computer-Aided Design, {ICCAD} 2004, San Jose, CA, USA, November 7-11, 2004}, pages = {362--369}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2004}, url = {https://doi.org/10.1109/ICCAD.2004.1382602}, doi = {10.1109/ICCAD.2004.1382602}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/AndreiSEPH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/MohYH04, author = {Sangman Moh and Chansu Yu and Dongsoo Han}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Design and Experiment of a Communication-Aware Parallel Quicksort with Weighted Partition of Processors}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3046}, pages = {97--105}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24768-5\_11}, doi = {10.1007/978-3-540-24768-5\_11}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/MohYH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimJSK04, author = {Sung Dae Kim and Sug Hyun Jeong and Myung Hoon Sunwoo and Kyung Ho Kim}, title = {Novel bit manipulation unit for communication digital signal processors}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {385--388}, publisher = {{IEEE}}, year = {2004}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimJSK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/HsuYCYL04, author = {Ching{-}Hsien Hsu and Kun{-}Ming Yu and Chi{-}Hsiu Chen and Chang{-}Wu Yu and Chiu{-}Kuo Liang}, editor = {Jiannong Cao and Laurence Tianruo Yang and Minyi Guo and Francis Chi{-}Moon Lau}, title = {Optimal Processor Mapping Scheme for Efficient Communication of Data Realignment}, booktitle = {Parallel and Distributed Processing and Applications, Second InternationalSymposium, {ISPA} 2004, Hong Kong, China, December 13-15, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3358}, pages = {268--273}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30566-8\_33}, doi = {10.1007/978-3-540-30566-8\_33}, timestamp = {Tue, 14 Apr 2020 13:23:10 +0200}, biburl = {https://dblp.org/rec/conf/ispa/HsuYCYL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/HsuY04, author = {Ching{-}Hsien Hsu and Kun{-}Ming Yu}, title = {Processor Mapping Technique For Communication Free Data Redistribution on Symmetrical Matrix}, booktitle = {7th International Symposium on Parallel Architectures, Algorithms, and Networks {(I-SPAN} 2004), 10-12 May 2004, Hong Kong, SAR, China}, pages = {214--219}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPAN.2004.1300483}, doi = {10.1109/ISPAN.2004.1300483}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/HsuY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispdc/SinnenS04, author = {Oliver Sinnen and Leonel Sousa}, title = {Task Scheduling: Considering the Processor Involvement in Communication}, booktitle = {3rd International Symposium on Parallel and Distributed Computing {(ISPDC} 2004), 3rd International Workshop on Algorithms, Models and Tools for Parallel Computing on Heterogenous Networks (HeteroPar 2004), 5-7 July 2004, Cork, Ireland}, pages = {328--335}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISPDC.2004.48}, doi = {10.1109/ISPDC.2004.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/SinnenS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issoc/Meyr04, author = {Heinrich Meyr}, title = {Application specific instruction-set processors (ASIP's) for wireless communications: design, cost, and energy efficiency vs. flexibility}, booktitle = {Proceedings of the 2004 International Symposium on System-on-Chip, Tampere, Finland, November 16-18, 2004}, pages = {1--2}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISSOC.2004.1411050}, doi = {10.1109/ISSOC.2004.1411050}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/issoc/Meyr04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/ValafarHAAI04, author = {Faramarz Valafar and R. Harris and A. M. Ausin and J. S. Angulo and T. Impelluso}, editor = {Hamid R. Arabnia}, title = {Scalability of {VSTM:} {A} Memory Model and Inter-Processor Communication Perspective}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '04, June 21-24, 2004, Las Vegas, Nevada, USA, Volume 3}, pages = {1352--1358}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/ValafarHAAI04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/SchulteGMMV04, author = {Michael J. Schulte and C. John Glossner and Suman Mamidi and Mayan Moudgill and Stamatis Vassiliadis}, editor = {Andy D. Pimentel and Stamatis Vassiliadis}, title = {A Low-Power Multithreaded Processor for Baseband Communication Systems}, booktitle = {Computer Systems: Architectures, Modeling, and Simulation, Third and Fourth International Workshops, {SAMOS} 2003 and {SAMOS} 2004, Samos, Greece, July 21-23, 2003 and July 19-21, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3133}, pages = {393--402}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-27776-7\_41}, doi = {10.1007/978-3-540-27776-7\_41}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/samos/SchulteGMMV04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/cs-DS-0407058, author = {Michael A. Bender and David P. Bunde and Erik D. Demaine and S{\'{a}}ndor P. Fekete and Vitus J. Leung and Henk Meijer and Cynthia A. Phillips}, title = {Communication-Aware Processor Allocation for Supercomputers}, journal = {CoRR}, volume = {cs.DS/0407058}, year = {2004}, url = {http://arxiv.org/abs/cs.DS/0407058}, doi = {10.48550/ARXIV.CS/0407058}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/cs-DS-0407058.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/KuoWLW03, author = {Jen{-}Chih Kuo and Ching{-}Hua Wen and Chih{-}Hsiu Lin and An{-}Yeu Wu}, title = {{VLSI} Design of a Variable-Length {FFT/IFFT} Processor for OFDM-Based Communication Systems}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2003}, number = {13}, pages = {1306--1316}, year = {2003}, url = {https://doi.org/10.1155/S1110865703309060}, doi = {10.1155/S1110865703309060}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/KuoWLW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/MorenoZSNDWKZGBAFLBNH03, author = {Jaime H. Moreno and Victor V. Zyuban and Uzi Shvadron and Fredy D. Neeser and Jeff H. Derby and Malcolm S. Ware and Krishnan Kailas and Ayal Zaks and Amir B. Geva and Shay Ben{-}David and Sameh W. Asaad and Thomas W. Fox and Daniel Littrell and Marina Biberstein and Dorit Naishlos and Hillery C. Hunter}, title = {An innovative low-power high-performance programmable signal processor for digital communications}, journal = {{IBM} J. Res. Dev.}, volume = {47}, number = {2-3}, pages = {299--326}, year = {2003}, url = {https://doi.org/10.1147/rd.472.0299}, doi = {10.1147/RD.472.0299}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/MorenoZSNDWKZGBAFLBNH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cic/NguyenS03, author = {Quang H. Nguyen and Beverly A. Settles}, editor = {Brian J. d'Auriol}, title = {Image Processor Electronics {(IPE)} - The High-Performance Computing System for {NASA} {SWIFT} Mission}, booktitle = {Proceedings of the International Conference on Communications in Computing, {CIC} '03, June 23 - 26, 2003, Las Vegas, Nevada, {USA}}, pages = {113--118}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Thu, 16 Oct 2003 13:57:26 +0200}, biburl = {https://dblp.org/rec/conf/cic/NguyenS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/LuoPJ03, author = {Jiong Luo and Li{-}Shiuan Peh and Niraj K. Jha}, title = {Simultaneous Dynamic Voltage Scaling of Processors and Communication Links in Real-Time Distributed Embedded Systems}, booktitle = {2003 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2003), 3-7 March 2003, Munich, Germany}, pages = {11150--11151}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DATE.2003.1253776}, doi = {10.1109/DATE.2003.1253776}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/LuoPJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/El-HajjZ03, author = {Mohammad El{-}Hajj and Osmar R. Za{\"{\i}}ane}, title = {Parallel Association Rule Mining with Minimum Inter-Processor Communication}, booktitle = {14th International Workshop on Database and Expert Systems Applications (DEXA'03), September 1-5, 2003, Prague, Czech Republic}, pages = {519--523}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DEXA.2003.1232075}, doi = {10.1109/DEXA.2003.1232075}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dexaw/El-HajjZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/GallardM03, author = {Pascal Gallard and Christine Morin}, editor = {Harald Kosch and L{\'{a}}szl{\'{o}} B{\"{o}}sz{\"{o}}rm{\'{e}}nyi and Hermann Hellwagner}, title = {Dynamic Streams for Efficient Communications between Migrating Processors in a Cluster}, booktitle = {Euro-Par 2003. Parallel Processing, 9th International Euro-Par Conference, Klagenfurt, Austria, August 26-29, 2003. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2790}, pages = {930--937}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45209-6\_129}, doi = {10.1007/978-3-540-45209-6\_129}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/GallardM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/TerechkoTGEC03, author = {Andrei Sergeevich Terechko and Erwan Le Thenaff and Manish Garg and Jos T. J. van Eijndhoven and Henk Corporaal}, title = {Inter-Cluster Communication Models for Clustered {VLIW} Processors}, booktitle = {Proceedings of the Ninth International Symposium on High-Performance Computer Architecture (HPCA'03), Anaheim, California, USA, February 8-12, 2003}, pages = {354--364}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HPCA.2003.1183552}, doi = {10.1109/HPCA.2003.1183552}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/TerechkoTGEC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/BalasubramonianDA03, author = {Rajeev Balasubramonian and Sandhya Dwarkadas and David H. Albonesi}, editor = {Allan Gottlieb and Kai Li}, title = {Dynamically Managing the Communication-Parallelism Trade-off in Future Clustered Processors}, booktitle = {30th International Symposium on Computer Architecture {(ISCA} 2003), 9-11 June 2003, San Diego, California, {USA}}, pages = {275--286}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISCA.2003.1207007}, doi = {10.1109/ISCA.2003.1207007}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/BalasubramonianDA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KuoWW03, author = {Jen{-}Chih Kuo and Ching{-}Hua Wen and An{-}Yeu Wu}, title = {Implementation of a programmable 64{\textasciitilde}2048-point {FFT/IFFT} processor for OFDM-based communication systems}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {121--124}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1205908}, doi = {10.1109/ISCAS.2003.1205908}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KuoWW03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/LaiFSP03, author = {Guan{-}Joe Lai and Jywe{-}Fei Fang and Pei{-}Shan Sung and Der{-}Lin Pean}, editor = {Minyi Guo and Laurence Tianruo Yang}, title = {Scheduling Parallel Tasks onto {NUMA} Multiprocessors with Inter-processor Communication Overhead}, booktitle = {Parallel and Distributed Processing and Applications, International Symposium, {ISPA} 2003, Aizu, Japan, July 2-4, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2745}, pages = {65--75}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-37619-4\_9}, doi = {10.1007/3-540-37619-4\_9}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/ispa/LaiFSP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/maics/YantachkaMKEP03, author = {Jessica Yantachka and Frank W. Moore and Gregory Kramer and Michael Echler and Yi Pan}, editor = {Anca L. Ralescu}, title = {An Improved Genetic Algorithm for Multiprocessor Task Scheduling with Non-Negligible Inter-processor Communication Delay}, booktitle = {{MAICS} 2003, Fourteenth Midwest Artificial Intelligence and Cognitive Sciences Conference, Cincinnati, OH, USA, April 12-13, 2003, Proceedings}, pages = {165--172}, publisher = {Omnipress}, year = {2003}, timestamp = {Fri, 25 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/maics/YantachkaMKEP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pact/BolvinCFG03, author = {Herv{\'{e}} Bolvin and Andr{\'{e}} Chambarel and Dominique Foug{\`{e}}re and Petr Gladkikh}, editor = {Victor E. Malyshkin}, title = {Optimization of the Communications between Processors in a General Parallel Computing Approach Using the Selected Data Technique}, booktitle = {Parallel Computing Technologies, 7th International Conference, PaCT 2003, Novosibirsk, Russia, September 15-19, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2763}, pages = {185--196}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45145-7\_16}, doi = {10.1007/978-3-540-45145-7\_16}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/pact/BolvinCFG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithmica/AgbariaBN02, author = {Adnan Agbaria and Yosi Ben{-}Asher and Ilan Newman}, title = {Communication - Processor Tradeoffs in a Limited Resources {PRAM}}, journal = {Algorithmica}, volume = {34}, number = {3}, pages = {276--297}, year = {2002}, url = {https://doi.org/10.1007/s00453-002-0966-5}, doi = {10.1007/S00453-002-0966-5}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithmica/AgbariaBN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jal/DellAmicoF02, author = {Mauro Dell'Amico and Lucian Finta}, title = {A linear time algorithm for scheduling outforests with communication delays on three processors}, journal = {J. Algorithms}, volume = {44}, number = {2}, pages = {287--307}, year = {2002}, url = {https://doi.org/10.1016/S0196-6774(02)00201-8}, doi = {10.1016/S0196-6774(02)00201-8}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jal/DellAmicoF02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciit/BenzL02, author = {M. Benz and R. Lehmann}, editor = {M. H. Hamza}, title = {{TCP} Acceleration on Network Processors}, booktitle = {{IASTED} International Conference on Communications, Internet, and Information Technology, November 18-20, 2002, St. Thomas, {US} Virgin Islands, {USA}}, pages = {177--182}, publisher = {{IASTED/ACTA} Press}, year = {2002}, timestamp = {Thu, 05 Jul 2018 07:27:51 +0200}, biburl = {https://dblp.org/rec/conf/ciit/BenzL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/ZhangKW02, author = {Qianfeng Zhang and Chamath Keppitiyagama and Alan S. Wagner}, title = {Supporting {MPI} Collective Communication on Network Processors}, booktitle = {2002 {IEEE} International Conference on Cluster Computing {(CLUSTER} 2002), 23-26 September 2002, Chicago, IL, {USA}}, pages = {75--82}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/CLUSTR.2002.1137731}, doi = {10.1109/CLUSTR.2002.1137731}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/ZhangKW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/LiuCB02, author = {Jinfeng Liu and Pai H. Chou and Nader Bagherzadeh}, editor = {J{\"{o}}rg Henkel and Xiaobo Sharon Hu and Rajesh Gupta and Sri Parameswaran}, title = {Communication speed selection for embedded systems with networked voltage-scalable processors}, booktitle = {Proceedings of the Tenth International Symposium on Hardware/Software Codesign, {CODES} 2002, Estes Park, Colorado, USA, May 6-8, 2002}, pages = {169--174}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/774789.774824}, doi = {10.1145/774789.774824}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codes/LiuCB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Ramacher02, author = {Ulrich Ramacher}, title = {Application Specific Embedded Processors for Next Generation Communication Systems}, booktitle = {20th International Conference on Computer Design {(ICCD} 2002), {VLSI} in Computers and Processors, 16-18 September 2002, Freiburg, Germany, Proceedings}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCD.2002.10002}, doi = {10.1109/ICCD.2002.10002}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Ramacher02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/BagherzadehCL02, author = {Nader Bagherzadeh and Pai H. Chou and Jinfeng Liu}, editor = {El Mostapha Aboulhamid and Yukihiro Nakamura}, title = {Combined Functional Partitioning and Communication Speed Selection for Networked Voltage-Scalable Processors}, booktitle = {Proceedings of the 15th International Symposium on System Synthesis {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan}, pages = {14--19}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ISSS.2002.1227145}, doi = {10.1109/ISSS.2002.1227145}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isss/BagherzadehCL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isss/OrailogluP02, author = {Alex Orailoglu and Peter Petrov}, editor = {El Mostapha Aboulhamid and Yukihiro Nakamura}, title = {Low-Power Data Memory Communication for Application-Specific Embedded Processors}, booktitle = {Proceedings of the 15th International Symposium on System Synthesis {(ISSS} 2002), October 2-4, 2002, Kyoto, Japan}, pages = {219--224}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.ieeecomputersociety.org/10.1109/ISSS.2002.1227181}, doi = {10.1109/ISSS.2002.1227181}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isss/OrailogluP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parelec/Laskowski02, author = {Eryk Laskowski}, title = {New Program Structuring Heuristics for Multi-Processor Systems with Redundant Communication Resources}, booktitle = {2002 International Conference on Parallel Computing in Electrical Engineering {(PARELEC} 2002), 22-25 September 2002, Warsaw, Poland}, pages = {183--188}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/PCEE.2002.1115236}, doi = {10.1109/PCEE.2002.1115236}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/parelec/Laskowski02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parelec/TudrujM02, author = {Marek S. Tudruj and Lukasz Masko}, title = {Program Execution Control for Communication on the Fly in Dynamic Shared Memory Processor Clusters}, booktitle = {2002 International Conference on Parallel Computing in Electrical Engineering {(PARELEC} 2002), 22-25 September 2002, Warsaw, Poland}, pages = {15--20}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/PCEE.2002.1115190}, doi = {10.1109/PCEE.2002.1115190}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parelec/TudrujM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/TaylorPD02, author = {Clark N. Taylor and Debashis Panigrahi and Sujit Dey}, editor = {Ed F. Deprettere and J{\"{u}}rgen Teich and Stamatis Vassiliadis}, title = {Design of an Adaptive Architecture for Energy Efficient Wireless Image Communication}, booktitle = {Embedded Processor Design Challenges: Systems, Architectures, Modeling, and Simulation - {SAMOS}}, series = {Lecture Notes in Computer Science}, volume = {2268}, pages = {260--273}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45874-3\_15}, doi = {10.1007/3-540-45874-3\_15}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/samos/TaylorPD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/HanenM01, author = {Claire Hanen and Alix Munier}, title = {An approximation algorithm for scheduling dependent tasks on m processors with small communication delays}, journal = {Discret. Appl. Math.}, volume = {108}, number = {3}, pages = {239--257}, year = {2001}, url = {https://doi.org/10.1016/S0166-218X(00)00179-7}, doi = {10.1016/S0166-218X(00)00179-7}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/HanenM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/GoldmanR01, author = {A. Goldman and Christophe Rapine}, title = {Scheduling with Duplication on m Processors with Small Communication Delays}, journal = {Electron. Notes Discret. Math.}, volume = {7}, pages = {182--185}, year = {2001}, url = {https://doi.org/10.1016/S1571-0653(04)00255-0}, doi = {10.1016/S1571-0653(04)00255-0}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/GoldmanR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/TsengCC01, author = {Po{-}Chih Tseng and Chi{-}Kuang Chen and Liang{-}Gee Chen}, title = {{CDSP:} an application-specific digital signal processor for third generation wireless communications}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {47}, number = {3}, pages = {672--677}, year = {2001}, url = {https://doi.org/10.1109/30.964162}, doi = {10.1109/30.964162}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/TsengCC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HouWKH01, author = {Yomin Hou and Chien{-}Min Wang and Chiu{-}Yu Ku and Lih{-}Hsing Hsu}, title = {Optimal Processor Mapping for Linear-Complement Communication on Hypercubes}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {12}, number = {5}, pages = {514--527}, year = {2001}, url = {https://doi.org/10.1109/71.926171}, doi = {10.1109/71.926171}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HouWKH01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cms/MarkovicSON01, author = {Milan Markovic and Z. Savic and Zeljko Obrenovic and A. Nikolic}, editor = {Ralf Steinmetz and Jana Dittmann and Martin Steinebach}, title = {A {PC} Cryptographic Coprocessor based on {TI} Signal Processor and Smart Card System}, booktitle = {Communications and Multimedia Security Issues of the New Century, Proceedings of the {IFIP} {TC6/TC11} International Conference on Communications and Multimedia Security Issues, May 21-22, 2001, Darmstadt, Germany}, series = {{IFIP} Conference Proceedings}, volume = {192}, publisher = {Kluwer}, year = {2001}, timestamp = {Fri, 06 Sep 2002 13:44:31 +0200}, biburl = {https://dblp.org/rec/conf/cms/MarkovicSON01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KarimNDR01, author = {Faraydon Karim and Anh Nguyen and Sujit Dey and Ramesh R. Rao}, title = {On-Chip Communication Architecture for {OC-768} Network Processors}, booktitle = {Proceedings of the 38th Design Automation Conference, {DAC} 2001, Las Vegas, NV, USA, June 18-22, 2001}, pages = {678--683}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378239.379047}, doi = {10.1145/378239.379047}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KarimNDR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/Tsuda01, author = {Nobuo Tsuda}, title = {ABL-Tree: {A} Constant Diameter Interconnection Network for Reconfigurable Processor Arrays Capable of Distributed Communication}, booktitle = {16th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2001), 24-26 October 2001, San Francisco, CA, USA, Proceedings}, pages = {143--148}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/DFTVS.2001.966763}, doi = {10.1109/DFTVS.2001.966763}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/Tsuda01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/CappelloFMR01, author = {Franck Cappello and Pierre Fraigniaud and Bernard Mans and Arnold L. Rosenberg}, title = {HiHCoHP: Toward a Realistic Communication Model for Hierarchical HyperClusters of Heterogeneous Processors}, booktitle = {Proceedings of the 15th International Parallel {\&} Distributed Processing Symposium (IPDPS-01), San Francisco, CA, USA, April 23-27, 2001}, pages = {42}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/IPDPS.2001.924978}, doi = {10.1109/IPDPS.2001.924978}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/CappelloFMR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/CadotKLRS01, author = {Sidney Cadot and Frits Kuijlman and Koen Langendoen and Kees van Reeuwijk and Henk J. Sips}, editor = {Seongsoo Hong and Santosh Pande}, title = {{ENSEMBLE:} {A} Communication Layer for Embedded Multi-Processor Systems}, booktitle = {Proceedings of The Workshop on Languages, Compilers, and Tools for Embedded Systems {(LCTES} 2001), June 22-23, 2001 / The Workshop on Optimization of Middleware and Distributed Systems {(OM} 2001), June 18, 2001, Snowbird, Utah, {USA}}, pages = {56--63}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/384197.384207}, doi = {10.1145/384197.384207}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/CadotKLRS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/CadotKLRS01, author = {Sidney Cadot and Frits Kuijlman and Koen Langendoen and Kees van Reeuwijk and Henk J. Sips}, editor = {Rastislav Bod{\'{\i}}k and Vugranam C. Sreedhar}, title = {{ENSEMBLE:} {A} Communication Layer for Embedded Multi-Processor Systems}, booktitle = {Proceedings of the 2001 {ACM} {SIGPLAN} Workshop on Optimization of Middleware and Distributed Systems, {OM} '01, Snow Bird, Utah, {USA}}, pages = {56--63}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/384198.384207}, doi = {10.1145/384198.384207}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/CadotKLRS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppam/Laskowski01, author = {Eryk Laskowski}, editor = {Roman Wyrzykowski and Jack J. Dongarra and Marcin Paprzycki and Jerzy Wasniewski}, title = {Fast Scheduling and Partitioning Algorithm in the Multi-processor System with Redundant Communication Resources}, booktitle = {Parallel Processing and Applied Mathematics, 4th International Conference, {PPAM} 2001 Naleczow, Poland, September 9-12, 2001, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2328}, pages = {97--106}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-48086-2\_11}, doi = {10.1007/3-540-48086-2\_11}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/ppam/Laskowski01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/RauberRR01, author = {Thomas Rauber and Robert Reilein and Gudula R{\"{u}}nger}, editor = {Greg Johnson}, title = {{ORT:} a communication library for orthogonal processor groups}, booktitle = {Proceedings of the 2001 {ACM/IEEE} conference on Supercomputing, Denver, CO, USA, November 10-16, 2001, {CD-ROM}}, pages = {27}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/582034.582061}, doi = {10.1145/582034.582061}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/RauberRR01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/BampisGK01, author = {Evripidis Bampis and Rodolphe Giroudeau and Alexander V. Kononov}, editor = {Arnold L. Rosenberg}, title = {Scheduling tasks with small communication delays for clusters of processors}, booktitle = {Proceedings of the Thirteenth Annual {ACM} Symposium on Parallel Algorithms and Architectures, {SPAA} 2001, Heraklion, Crete Island, Greece, July 4-6, 2001}, pages = {314--315}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378580.378726}, doi = {10.1145/378580.378726}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spaa/BampisGK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mmor/Moukrim00, author = {Aziz Moukrim}, title = {Upper bound on the number of processors for scheduling with interprocessor communication delays}, journal = {Math. Methods Oper. Res.}, volume = {52}, number = {1}, pages = {99--113}, year = {2000}, url = {https://doi.org/10.1007/s001860000062}, doi = {10.1007/S001860000062}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mmor/Moukrim00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/BlazewiczGPT00, author = {Jacek Blazewicz and Fr{\'{e}}d{\'{e}}ric Guinand and Bernard Penz and Denis Trystram}, title = {Scheduling Complete Trees on Two Uniform Processors with Integer Speed Ratios and Communication Delays}, journal = {Parallel Process. Lett.}, volume = {10}, number = {4}, pages = {267--278}, year = {2000}, url = {https://doi.org/10.1142/S0129626400000263}, doi = {10.1142/S0129626400000263}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/BlazewiczGPT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rairo/GuinandT00, author = {Fr{\'{e}}d{\'{e}}ric Guinand and Denis Trystram}, title = {Scheduling {UET} Trees with Communication Delays on two Processors}, journal = {{RAIRO} Oper. Res.}, volume = {34}, number = {2}, pages = {131--144}, year = {2000}, url = {https://doi.org/10.1051/ro:2000101}, doi = {10.1051/RO:2000101}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/rairo/GuinandT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/RamosZL00, author = {Javier Ramos and Michael D. Zoltowski and Hui Liu}, title = {Low-complexity space-time processor for {DS-CDMA} communications}, journal = {{IEEE} Trans. Signal Process.}, volume = {48}, number = {1}, pages = {39--52}, year = {2000}, url = {https://doi.org/10.1109/78.815477}, doi = {10.1109/78.815477}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/RamosZL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/AfratiBFM00, author = {Foto N. Afrati and Evripidis Bampis and Lucian Finta and Ioannis Milis}, editor = {Arndt Bode and Thomas Ludwig and Wolfgang Karl and Roland Wism{\"{u}}ller}, title = {Scheduling Trees with Large Communication Delays on Two Identical Processors}, booktitle = {Euro-Par 2000, Parallel Processing, 6th International Euro-Par Conference, Munich, Germany, August 29 - September 1, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1900}, pages = {288--295}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44520-X\_38}, doi = {10.1007/3-540-44520-X\_38}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/AfratiBFM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/Phillips00, author = {Chris Phillips}, editor = {Reiner W. Hartenstein and Herbert Gr{\"{u}}nbacher}, title = {Wireless Base Station Design Using a Reconfigurable Communications Processor}, booktitle = {Field-Programmable Logic and Applications, The Roadmap to Reconfigurable Computing, 10th International Workshop, {FPL} 2000, Villach, Austria, August 27-30, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1896}, pages = {846--848}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-44614-1\_100}, doi = {10.1007/3-540-44614-1\_100}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/fpl/Phillips00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/EkmanBKWSME00, author = {Jeremy Ekman and Christoph Berger and Fouad E. Kiamilev and X. Wang and Henk A. E. Spaanenburg and Philippe J. Marchand and Sadik C. Esener}, editor = {Jos{\'{e}} D. P. Rolim}, title = {A Distributed Computing Demonstration System Using {FSOI} Inter-processor Communication}, booktitle = {Parallel and Distributed Processing, 15 {IPDPS} 2000 Workshops, Cancun, Mexico, May 1-5, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1800}, pages = {1126--1131}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45591-4\_153}, doi = {10.1007/3-540-45591-4\_153}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/ipps/EkmanBKWSME00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parelec/Tudruj00, author = {Marek S. Tudruj}, title = {'Connection by Communication' Paradigm for Dynamically Reconfigurable Multi-Processor Systems}, booktitle = {2000 International Conference on Parallel Computing in Electrical Engineering {(PARELEC} 2000), 27-30 August 2000, Quebec, Canada}, pages = {74--78}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/PCEE.2000.873605}, doi = {10.1109/PCEE.2000.873605}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parelec/Tudruj00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/JarrayaB00, author = {Mohamed Jarraya and Didier El Baz}, editor = {Hamid R. Arabnia}, title = {A new implementation of asynchronous iterations with flexible communication on a network of symmetric multi-processor}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 2000, June 24-29, 2000, Las Vegas, Nevada, {USA}}, publisher = {{CSREA} Press}, year = {2000}, timestamp = {Mon, 08 Dec 2003 16:35:08 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/JarrayaB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/Moukrim99, author = {Aziz Moukrim}, title = {On the minimum number of processors for scheduling problems with communicationdelays}, journal = {Ann. Oper. Res.}, volume = {86}, pages = {455--472}, year = {1999}, url = {https://doi.org/10.1023/A\%3A1018931508072}, doi = {10.1023/A\%3A1018931508072}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/Moukrim99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/KubotaTTGMNT99, author = {Atsushi Kubota and Shogo Tatsumi and Toshihiko Tanaka and Masahiro Goshima and Shin{-}ichiro Mori and Hiroshi Nakashima and Shinji Tomita}, title = {A Technique to Eliminate Redundant Inter-Processor Communication on Parallelizing Compiler {TINPAR}}, journal = {Int. J. Parallel Program.}, volume = {27}, number = {2}, pages = {97--109}, year = {1999}, url = {https://doi.org/10.1023/A:1018740202614}, doi = {10.1023/A:1018740202614}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/KubotaTTGMNT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/HamdiQPT99, author = {Mounir Hamdi and Chunming Qiao and Yi Pan and J. Tong}, title = {Communication-Efficient Sorting Algorithms on Reconfigurable Array of Processors With Slotted Optical Buses}, journal = {J. Parallel Distributed Comput.}, volume = {57}, number = {2}, pages = {166--187}, year = {1999}, url = {https://doi.org/10.1006/jpdc.1998.1525}, doi = {10.1006/JPDC.1998.1525}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/HamdiQPT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/WangZ99, author = {Qian Wang and Sotirios G. Ziavras}, title = {Powerful and Feasible Processor Interconnections With an Evaluation of Their Communications Capabilities}, booktitle = {1999 International Symposium on Parallel Architectures, Algorithms and Networks {(ISPAN} '99), 23-25 June 1999, Fremantle, Australia}, pages = {222--229}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ISPAN.1999.778943}, doi = {10.1109/ISPAN.1999.778943}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/WangZ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/AgbariaBN99, author = {Adnan Agbaria and Yosi Ben{-}Asher and Ilan Newman}, editor = {Gary L. Miller and Vijaya Ramachandran}, title = {Communication-Processor Tradeoffs in Limited Resources {PRAM}}, booktitle = {Proceedings of the Eleventh Annual {ACM} Symposium on Parallel Algorithms and Architectures, {SPAA} '99, Saint-Malo, France, June 27-30, 1999}, pages = {74--82}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/305619.305628}, doi = {10.1145/305619.305628}, timestamp = {Wed, 21 Nov 2018 12:27:44 +0100}, biburl = {https://dblp.org/rec/conf/spaa/AgbariaBN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijscn/TairaTOK98, author = {Shinichi Taira and Osamu Takeda and Yuichi Otsu and Hideki Katagiri}, title = {Comparative study of switching methods for the on-board processor in a mobile satellite communications system}, journal = {Int. J. Satell. Commun. Netw.}, volume = {16}, number = {3}, pages = {131--136}, year = {1998}, url = {https://doi.org/10.1002/(SICI)1099-1247(199805/06)16:3\<131::AID-SAT599\>3.0.CO;2-4}, doi = {10.1002/(SICI)1099-1247(199805/06)16:3\<131::AID-SAT599\>3.0.CO;2-4}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijscn/TairaTOK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/GoodeveT98, author = {Don Goodeve and Andy M. Tyrrell}, title = {On communications performance and processor efficiency}, journal = {J. Syst. Archit.}, volume = {45}, number = {3}, pages = {231--249}, year = {1998}, url = {https://doi.org/10.1016/S1383-7621(97)00082-9}, doi = {10.1016/S1383-7621(97)00082-9}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/GoodeveT98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/CoffmanKL98, author = {Edward G. Coffman Jr. and Nabil Kahal{\'{e}} and Frank Thomson Leighton}, title = {Processor-Ring Communication: {A} Tight Asymptotic Bound on Packet Waiting Times}, journal = {{SIAM} J. Comput.}, volume = {27}, number = {5}, pages = {1221--1236}, year = {1998}, url = {https://doi.org/10.1137/S0097539794268637}, doi = {10.1137/S0097539794268637}, timestamp = {Wed, 31 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/CoffmanKL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spacecomm/TairaTK98, author = {Shinichi Taira and Osamu Takeda and Yoichi Kawakami}, title = {A switching system in the on-board processor for the mobile satellite communication}, journal = {Space Commun.}, volume = {15}, number = {3}, pages = {147--152}, year = {1998}, url = {http://content.iospress.com/articles/space-communications/sc203}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spacecomm/TairaTK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/PiriyakumarM98, author = {Douglas Antony Louis Piriyakumar and C. Siva Ram Murthy}, title = {Distributed computation for a hypercube network of sensor-driven processors with communication delays including setup time}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {A}}, volume = {28}, number = {2}, pages = {245--251}, year = {1998}, url = {https://doi.org/10.1109/3468.661152}, doi = {10.1109/3468.661152}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/PiriyakumarM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/KosticS97, author = {Zoran Kostic and Selvaraj Seetharaman}, title = {Digital signal processors in cellular radio communications}, journal = {{IEEE} Commun. Mag.}, volume = {35}, number = {12}, pages = {22--35}, year = {1997}, url = {https://doi.org/10.1109/35.642831}, doi = {10.1109/35.642831}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/KosticS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/CoffmanFGG97, author = {Edward G. Coffman Jr. and Leopold Flatto and Edgar N. Gilbert and Albert G. Greenberg}, title = {An Approximate Model of Processor Communication Rings Under Heavy Load}, journal = {Inf. Process. Lett.}, volume = {64}, number = {2}, pages = {61--67}, year = {1997}, url = {https://doi.org/10.1016/S0020-0190(97)00159-2}, doi = {10.1016/S0020-0190(97)00159-2}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/CoffmanFGG97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/Tudruj97, author = {Marek S. Tudruj}, title = {Dynamically reconfigurable heterogeneous multi-processor systems with transputer-controlled communication}, journal = {J. Syst. Archit.}, volume = {43}, number = {1-5}, pages = {27--32}, year = {1997}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/Tudruj97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/MazoMBFEL97, author = {Manuel Mazo and M. Mart{\'{\i}}n and Luciano Boquete and Ignacio Fern{\'{a}}ndez and Felipe Espinosa and M. A. L{\'{o}}pez}, title = {System based on digital signal processors (DSPs) for communication between industrial processes with critical time}, journal = {Microprocess. Microsystems}, volume = {21}, number = {2}, pages = {99--104}, year = {1997}, url = {https://doi.org/10.1016/S0141-9331(97)00022-7}, doi = {10.1016/S0141-9331(97)00022-7}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/MazoMBFEL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/MunierH97, author = {Alix Munier and Claire Hanen}, title = {Using Duplication for Scheduling Unitary Tasks on m Processors with Unit Communication Delays}, journal = {Theor. Comput. Sci.}, volume = {178}, number = {1-2}, pages = {119--127}, year = {1997}, url = {https://doi.org/10.1016/S0304-3975(97)88194-7}, doi = {10.1016/S0304-3975(97)88194-7}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/MunierH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChenSY97, author = {David Cheng{-}Hsiung Chen and Bing J. Sheu and Wayne C. Young}, title = {A {CDMA} communication detector with robust near-far resistance using paralleled array processors}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {7}, number = {4}, pages = {654--662}, year = {1997}, url = {https://doi.org/10.1109/76.611176}, doi = {10.1109/76.611176}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChenSY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/RosnerSF97, author = {S. Rosner and M. Scholles and D. Forchel}, editor = {Louis O. Hertzberger and Peter M. A. Sloot}, title = {Near-Optimal Scheduling of Synchronous Data-Flow Graphs by Exact Calculation of Inter-Processor Communication Costs}, booktitle = {High-Performance Computing and Networking, International Conference and Exhibition, {HPCN} Europe 1997, Vienna, Austria, April 28-30, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1225}, pages = {987--988}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0031677}, doi = {10.1007/BFB0031677}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/hpcn/RosnerSF97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/KubotaTTGMNT97, author = {Atsushi Kubota and Shogo Tatsumi and Toshihiko Tanaka and Masahiro Goshima and Shin{-}ichiro Mori and Hiroshi Nakashima and Shinji Tomita}, editor = {Constantine D. Polychronopoulos and Kazuki Joe and Keijiro Araki and Makoto Amamiya}, title = {A Technique to Eliminate Redundant Inter-Processor Communication on Parallelizing Compiler {TINPAR}}, booktitle = {High Performance Computing, International Symposium, ISHPC'97, Fukuoka, Japan, November 4-6, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1336}, pages = {195--204}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0024216}, doi = {10.1007/BFB0024216}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/KubotaTTGMNT97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/ParkMPKS97, author = {Hea{-}Sook Park and Sung{-}Jin Moon and Man{-}Sik Park and Boseob Kwon and Kwang{-}Suk Song}, title = {Design of inter processor communication controller using {ATM} switch and analysis of its optimal message length considering retransmission}, booktitle = {4th International Workshop on Real-Time Computing Systems and Applications {(RTCSA} '97), 27-29 October 1997, Taipei, Taiwan}, pages = {18--25}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/RTCSA.1997.629169}, doi = {10.1109/RTCSA.1997.629169}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/ParkMPKS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LiMII97, author = {Dingchao Li and Akira Mizuno and Yuji Iwahori and Naohiro Ishii}, editor = {Barrett R. Bryant and Janice H. Carroll and Dave Oppenheim and Jim Hightower and K. M. George}, title = {Booking heterogeneous processor resources to reduce communication overhead}, booktitle = {Proceedings of the 1997 {ACM} symposium on Applied Computing, SAC'97, San Jose, CA, USA, February 28 - March 1}, pages = {354--360}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/331697.332309}, doi = {10.1145/331697.332309}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/LiMII97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/OlesenGTS96, author = {S{\o}ren P. Olesen and Jens Gregor and Michael G. Thomason and Gary T. Smith}, title = {{EM-ML} {PET} reconstruction on multiple processors with reduced communications}, journal = {Int. J. Imaging Syst. Technol.}, volume = {7}, number = {3}, pages = {215--223}, year = {1996}, url = {https://doi.org/10.1002/(SICI)1098-1098(199623)7:3\<215::AID-IMA8\>3.0.CO;2-B}, doi = {10.1002/(SICI)1098-1098(199623)7:3\<215::AID-IMA8\>3.0.CO;2-B}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/OlesenGTS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/BlazewiczBGT96, author = {Jacek Blazewicz and Pascal Bouvry and Fr{\'{e}}d{\'{e}}ric Guinand and Denis Trystram}, title = {Scheduling Complete Intrees on Two Uniform Processors with Communication Delays}, journal = {Inf. Process. Lett.}, volume = {58}, number = {5}, pages = {255--263}, year = {1996}, url = {https://doi.org/10.1016/0020-0190(96)00062-2}, doi = {10.1016/0020-0190(96)00062-2}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipl/BlazewiczBGT96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SchauserSFK96, author = {Klaus E. Schauser and Chris J. Scheiman and J. Mitchell Ferguson and Paul Z. Kolano}, title = {Exploiting the Capabilities of Communications Co-Processors}, booktitle = {Proceedings of {IPPS} '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}}, pages = {109--115}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/IPPS.1996.508046}, doi = {10.1109/IPPS.1996.508046}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SchauserSFK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/HamdiP96, author = {Mounir Hamdi and Yi Pan}, title = {Communication-efficient algorithms on reconfigurable array of processors with spanning optical buses}, booktitle = {1996 International Symposium on Parallel Architectures, Algorithms and Networks {(ISPAN} '96), June 12-14, 1996, Beijing, China}, pages = {440--446}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ISPAN.1996.509023}, doi = {10.1109/ISPAN.1996.509023}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/HamdiP96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/HuangMCA96, author = {Tsai Chi Huang and Roy W. Melton and Joseph I. Chamdani and Cecil O. Alford}, editor = {Hamid R. Arabnia}, title = {Relating Communication Protocol Processin to Processor Performance}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1996, August 9-11, 1996, Sunnyvale, California, {USA}}, pages = {516--526}, publisher = {{CSREA} Press}, year = {1996}, timestamp = {Wed, 21 Apr 2004 11:30:43 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/HuangMCA96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/MoelkerSB96, author = {Dignus{-}Jan Moelker and Ashish Shah and Yeheskel Bar{-}Ness}, title = {The generalised maximum {SINR} array processor for personal communication systems in a multipath environment}, booktitle = {The 7th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1996, Taipei, Taiwan, October 15-18, 1996}, pages = {531--534}, year = {1996}, url = {https://doi.org/10.1109/PIMRC.1996.567451}, doi = {10.1109/PIMRC.1996.567451}, timestamp = {Thu, 28 May 2020 11:01:18 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/MoelkerSB96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/TairaTOO96, author = {Shinichi Taira and Osamu Takeda and Teruki Okamoto and Yuichi Otsu}, title = {A dynamic channel assignment strategy for the on-board processor in the mobile satellite communications system}, booktitle = {The 7th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1996, Taipei, Taiwan, October 15-18, 1996}, pages = {432--436}, year = {1996}, url = {https://doi.org/10.1109/PIMRC.1996.567431}, doi = {10.1109/PIMRC.1996.567431}, timestamp = {Tue, 26 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/TairaTOO96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iandc/FleischerJM95, author = {Rudolf Fleischer and Hermann Jung and Kurt Mehlhorn}, title = {A Communication-Randomness Tradeoff for Two-Processor Systems}, journal = {Inf. Comput.}, volume = {116}, number = {2}, pages = {155--161}, year = {1995}, url = {https://doi.org/10.1006/inco.1995.1011}, doi = {10.1006/INCO.1995.1011}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iandc/FleischerJM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcss/AliE95, author = {Hesham H. Ali and Hesham El{-}Rewini}, title = {An Optimal Algorithm for Scheduling Interval Ordered Tasks with Communication on {N} Processors}, journal = {J. Comput. Syst. Sci.}, volume = {51}, number = {2}, pages = {301--306}, year = {1995}, url = {https://doi.org/10.1006/jcss.1995.1068}, doi = {10.1006/JCSS.1995.1068}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcss/AliE95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/LutzJ95, author = {David Raymond Lutz and Doddaballapur Narasimha{-}Murthy Jayasimha}, title = {Do Fixed-Processor Communication-Time Tradeoffs Exist?}, journal = {Parallel Process. Lett.}, volume = {5}, pages = {311--320}, year = {1995}, url = {https://doi.org/10.1142/S0129626495000291}, doi = {10.1142/S0129626495000291}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppl/LutzJ95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gi/Schiller95, author = {Jochen H. Schiller}, editor = {Friedbert Huber{-}W{\"{a}}schle and Helmut Schauer and Peter Widmayer}, title = {{CHIMPSY} - {A} Modular Processor-System for High-Performance Communications}, booktitle = {{GISI} 95, Herausforderungen eines globalen Informationsverbundes f{\"{u}}r die Informatik, 25. GI-Jahrestagung und 13. Schweizer Informatikertag, Z{\"{u}}rich, Schweiz, 18.-20. September 1995}, series = {Informatik Aktuell}, pages = {137--148}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/978-3-642-79958-7\_18}, doi = {10.1007/978-3-642-79958-7\_18}, timestamp = {Tue, 23 May 2017 01:10:34 +0200}, biburl = {https://dblp.org/rec/conf/gi/Schiller95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/ElmasriHG95, author = {Nasser Elmasri and Herbert H. J. Hum and Guang R. Gao}, editor = {Mateo Valero}, title = {The Threaded Communication Library: Preliminary Experiences on a Multiprocessor with Dual-Processor Nodes}, booktitle = {Proceedings of the 9th international conference on Supercomputing, {ICS} 1995, Barcelona, Spain, July 3-7, 1995}, pages = {195--199}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224538.224561}, doi = {10.1145/224538.224561}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/ElmasriHG95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/BagliettoMM95, author = {Pierpaolo Baglietto and Massimo Maresca and Mauro Migliardi}, editor = {Erik H. D'Hollander and Gerhard R. Joubert and Frans J. Peters and Denis Trystram}, title = {Data Movement by Packet Switching Communication on Reconfigurable Processor Arrays}, booktitle = {Parallel Computing: State-of-the-Art and Perspectives, Proceedings of the conference ParCo 1995, Gent, Belgium, September 1995}, series = {Advances in Parallel Computing}, volume = {11}, pages = {531--538}, publisher = {Elsevier}, year = {1995}, timestamp = {Mon, 03 Jun 2013 17:22:02 +0200}, biburl = {https://dblp.org/rec/conf/parco/BagliettoMM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpcs/MickleP95, author = {Marlin H. Mickle and JoAnn M. Paul}, editor = {M. H. Hamza}, title = {Dynamic Communication and Architecture of Parallel Processors}, booktitle = {Proceedings of the Seventh {IASTED/ISMM} International Conference on Parallel and Distributed Computing and Systems, Washington, D.C., USA, October 19-21, 1995}, pages = {439--442}, publisher = {{IASTED/ACTA} Press}, year = {1995}, timestamp = {Wed, 14 Jul 2004 15:48:48 +0200}, biburl = {https://dblp.org/rec/conf/pdpcs/MickleP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/GamesKKM95, author = {Richard Games and Arkady Kanevsky and Peter C. Krupp and Leonard Monk}, title = {Real-time communications scheduling for massively parallel processors}, booktitle = {1st {IEEE} Real-Time Technology and Applications Symposium, Chicago, Illinois, USA, May 15-17, 1995}, pages = {76--85}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/RTTAS.1995.516204}, doi = {10.1109/RTTAS.1995.516204}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/GamesKKM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Michallon94, author = {Philippe Michallon}, title = {Schemas de communications globales dans les reseaux de processeurs : application a la grille torique. (Global communication schemes in processor networks ; application in torus)}, school = {Grenoble Institute of Technology, France}, year = {1994}, url = {https://tel.archives-ouvertes.fr/tel-00005105}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Michallon94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paapp/El-RewiniA94, author = {Hesham El{-}Rewini and Hesham H. Ali}, title = {On Considering Communication in Scheduling Task Graphs on Parallel Processors}, journal = {Parallel Algorithms Appl.}, volume = {3}, number = {3-4}, pages = {177--191}, year = {1994}, url = {https://doi.org/10.1080/10637199408962536}, doi = {10.1080/10637199408962536}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/paapp/El-RewiniA94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/Schmidt-Voigt94, author = {Michael Schmidt{-}Voigt}, title = {Efficient Parallel Communication with the nCUBE 2S Processor}, journal = {Parallel Comput.}, volume = {20}, number = {4}, pages = {509--530}, year = {1994}, url = {https://doi.org/10.1016/0167-8191(94)90026-4}, doi = {10.1016/0167-8191(94)90026-4}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/Schmidt-Voigt94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosim/OkC94, author = {Eddy Olk and Henk Corporaal}, editor = {Len Dekker and Wim Smit and Jan C. Zuidervaart}, title = {Application Driven {MIMD} Communication Processor Design}, booktitle = {Massively Parallel Processing Applications and Develompent, Proceedings of the 1994 {EUROSIM} Conference on Massively Parallel Processing Applications and Develompent, 21-23 June 1994, Delft, The Netherlands}, pages = {609--616}, publisher = {Elsevier}, year = {1994}, timestamp = {Mon, 30 Jun 2008 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosim/OkC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ChenT94, author = {C.{-}S. Chen and Chien{-}Chao Tseng}, editor = {Lionel M. Ni}, title = {Integrated Support to Improve Inter-Thread Communication and Synchronization in a Multithreaded Processor}, booktitle = {Proceedings 1994 International Conference on Parallel and Distributed Systems, December 19-21, 1994, Hsinchu, Taiwan, Republic of China}, pages = {481--487}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICPADS.1994.590359}, doi = {10.1109/ICPADS.1994.590359}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ChenT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/EshraghiFF94, author = {Aria Eshraghi and Terri S. Fiez and Thomas R. Fischer}, title = {Asynchronus Implementation for the Add Compare Select Processor for Communication Systems}, booktitle = {1994 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1994, London, England, UK, May 30 - June 2, 1994}, pages = {253--256}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/ISCAS.1994.409156}, doi = {10.1109/ISCAS.1994.409156}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/EshraghiFF94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/TanakaCMK94, author = {Toyohisa Tanaka and Isamu Chiba and Ryu Miura and Yoshio Karasawa}, title = {Digital signal processor for digital multi-beam forming antenna in mobile communication}, booktitle = {Proceedings of 44th {IEEE} Vehicular Technology Conference: Creating Tomorrow's Mobile Systems, {VTC} 1994, 8-10 June 1994, Stockholm, Sweden}, pages = {1507--1511}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/VETEC.1994.345347}, doi = {10.1109/VETEC.1994.345347}, timestamp = {Mon, 20 Dec 2021 11:29:29 +0100}, biburl = {https://dblp.org/rec/conf/vtc/TanakaCMK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/Steenkiste93, author = {Peter Steenkiste}, title = {Analysing communication latency using the Nectar communication processor}, journal = {Comput. Commun.}, volume = {16}, number = {8}, pages = {472--483}, year = {1993}, url = {https://doi.org/10.1016/0140-3664(93)90062-W}, doi = {10.1016/0140-3664(93)90062-W}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/Steenkiste93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/SongHC93, author = {Q. Wang Song and Salim Hariri and Alok N. Choudhary}, title = {Design and Analysis of an Optical Communications Processor}, journal = {J. Parallel Distributed Comput.}, volume = {17}, number = {3}, pages = {222--229}, year = {1993}, url = {https://doi.org/10.1006/jpdc.1993.1021}, doi = {10.1006/JPDC.1993.1021}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/SongHC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/ChoiBS93, author = {Joongho Choi and Sa Hyun Bang and Bing J. Sheu}, title = {A programmable analog {VLSI} neural network processor for communication receivers}, journal = {{IEEE} Trans. Neural Networks}, volume = {4}, number = {3}, pages = {484--495}, year = {1993}, url = {https://doi.org/10.1109/72.217191}, doi = {10.1109/72.217191}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/ChoiBS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/ChouK93, author = {W. H. Chou and Sun{-}Yuan Kung}, title = {Scheduling partitioned algorithms on processor arrays with limited communication supports}, booktitle = {International Conference on Application-Specific Array Processors, {ASAP} 1993, Proceedings, Venice, Italy, 25-27 October, 1993}, pages = {53--64}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/ASAP.1993.397120}, doi = {10.1109/ASAP.1993.397120}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asap/ChouK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/OlkC93, author = {J. G. E. Olk and Henk Corporaal}, editor = {Gerhard R. Joubert and Denis Trystram and Frans J. Peters and David J. Evans}, title = {The {OSI} Model Applied to {MIMD} Communication Processor Design}, booktitle = {Parallel Computing: Trends and Applications, {PARCO} 1993, Grenoble, France}, pages = {403--410}, publisher = {Elsevier}, year = {1993}, timestamp = {Tue, 13 Mar 2018 14:43:53 +0100}, biburl = {https://dblp.org/rec/conf/parco/OlkC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosl/Kleuker93, author = {Stephan Kleuker}, editor = {D. J. Andrews and Jan Friso Groote and Cornelis A. Middelburg}, title = {Case Study: Stepwise Development of a Communication Processor Using Trace Logic}, booktitle = {Semantics of Specification Languages (SoSL), Proceedings of the International Workshop on Semantics of Specification Languages, Utrecht, The Netherlands, 25-27 October 1993}, series = {Workshops in Computing}, pages = {252--269}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/978-1-4471-3229-5\_14}, doi = {10.1007/978-1-4471-3229-5\_14}, timestamp = {Mon, 02 Dec 2019 18:09:28 +0100}, biburl = {https://dblp.org/rec/conf/sosl/Kleuker93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/GusevE92, author = {Marjan Gusev and David J. Evans}, title = {{VLSI} processor array {IPS} cells (Short communication)}, journal = {Parallel Comput.}, volume = {18}, number = {9}, pages = {997--1007}, year = {1992}, url = {https://doi.org/10.1016/0167-8191(92)90013-W}, doi = {10.1016/0167-8191(92)90013-W}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/GusevE92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/Gillis92, author = {Colin W. Gillis}, title = {{MADCAPP:} Measurement and analysis of high-level communications of asynchronous distributed algorithms on parallel processors}, journal = {Simul.}, volume = {59}, number = {2}, pages = {127--133}, year = {1992}, url = {https://doi.org/10.1177/003754979205900208}, doi = {10.1177/003754979205900208}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/Gillis92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/FranklinS92, author = {Manoj Franklin and Gurindar S. Sohi}, editor = {Wen{-}mei W. Hwu}, title = {Register traffic analysis for streamlining inter-operation communication in fine-grain parallel processors}, booktitle = {Proceedings of the 25th Annual International Symposium on Microarchitecture, Portland, Oregon, USA, November 1992}, pages = {236--245}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/MICRO.1992.697025}, doi = {10.1109/MICRO.1992.697025}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/FranklinS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networks/KurapatiK92, author = {Srikrishna Kurapati and Anurag Kumar}, editor = {S. V. Raghavan and Gregor von Bochmann and Guy Pujolle}, title = {Optimal Scheduling of a Processor Executing a Communication Protocol Stack}, booktitle = {Computer Networks, Architecture and Applications, Proceedings of the {IFIP} {TC6} Working Conference on Computer Networks, Architecture and Applications, {NETWORKS} '92, Trivandrum, India, 28-29 October, 1992}, series = {{IFIP} Transactions}, volume = {{C-13}}, pages = {117--128}, publisher = {North-Holland}, year = {1992}, timestamp = {Sat, 20 Apr 2013 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/networks/KurapatiK92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/Fague92, author = {Daniel E. Fague}, title = {An integrated baseband processor for universal personal communications applications}, booktitle = {The Third {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1992, Boston, MA, USA, October 19-21, 1992}, pages = {32--35}, publisher = {{IEEE}}, year = {1992}, url = {https://doi.org/10.1109/PIMRC.1992.279968}, doi = {10.1109/PIMRC.1992.279968}, timestamp = {Wed, 10 Jun 2020 17:17:13 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/Fague92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/Steenkiste92, author = {Peter Steenkiste}, editor = {Deepinder P. Sidhu and David Oran}, title = {Analyzing Communication Latency Using the Nectar Communication Processor}, booktitle = {Proceedings of the Conference on Communications Architecture {\&} Protocols, {SIGCOMM} 1992, Baltimore, Maryland, USA, August 17-20, 1992}, pages = {199--209}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/144179.144278}, doi = {10.1145/144179.144278}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/Steenkiste92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/SchersonC91, author = {Isaac D. Scherson and Peter F. Corbett}, title = {Communications Overhead and the Expected Speedup of Multidimensional Mesh-Connected Parallel Processors}, journal = {J. Parallel Distributed Comput.}, volume = {11}, number = {1}, pages = {86--96}, year = {1991}, url = {https://doi.org/10.1016/0743-7315(91)90073-I}, doi = {10.1016/0743-7315(91)90073-I}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/SchersonC91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/KabemotoY91, author = {Akira Kabemoto and Hiroshi Yoshida}, title = {The architecture of the Sure System 2000 communications processor}, journal = {{IEEE} Micro}, volume = {11}, number = {4}, pages = {28--31}, year = {1991}, url = {https://doi.org/10.1109/40.85724}, doi = {10.1109/40.85724}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/KabemotoY91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmg/GhannadT91, author = {Hossein H. Ghannad and William H. Tetzlaff}, editor = {Leo F. Zimmerman and John P. Pilch and Linda J. Carroll and Mark Sorkin and Daniel Kaberon and Doug McBride and Frank M. Bereznay and Dale Doolittle and Joel Goldstein and Dave Thorn and Harry Zimmer and Ellen E. Robertson and Peggy De Rossett and Bernard Domanski and Philip Clark and Robert L. Morrison and Edgar A. Ortiz}, title = {Analysis of Queued Message Communication Between Processors}, booktitle = {17th International Computer Measurement Group Conference, Nashville, TN, USA, December 9-13, 1991, Proceedings}, pages = {271--281}, publisher = {Computer Measurement Group}, year = {1991}, url = {http://www.cmg.org/?s2member\_file\_download=/proceedings/1991/91INT033.pdf}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cmg/GhannadT91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edmcc/CorporaalO91, author = {Henk Corporaal and J. G. E. Olk}, editor = {Arndt Bode}, title = {A Scalable Communication Processor Design supporting Systolic Communication}, booktitle = {Distributed Memory Computing, 2nd European Conference, EDMCC2, Munich, FRG, April 22-24, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {487}, pages = {213--223}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/BFb0032938}, doi = {10.1007/BFB0032938}, timestamp = {Fri, 17 Nov 2023 09:27:30 +0100}, biburl = {https://dblp.org/rec/conf/edmcc/CorporaalO91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/MatsunagaOUHK91, author = {Tohru Matsunaga and Ikuro Oyaizu and E. Uozumi and T. Hoshiko and Y. Kimura}, editor = {V. K. Prasanna Kumar}, title = {Modeling and Performance Analysis for Processor-to-Processor Communications Unit Using a 100 Mb/s Optical Token Ring}, booktitle = {The Fifth International Parallel Processing Symposium, Proceedings, Anaheim, California, USA, April 30 - May 2, 1991}, pages = {495--498}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/IPPS.1991.153826}, doi = {10.1109/IPPS.1991.153826}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/MatsunagaOUHK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdsicn/Leung91, author = {Kin K. Leung}, editor = {Toshiharu Hasegawa and Hideaki Takagi and Yutaka Takahashi}, title = {Performance analysis of a processor sharing policy with interactive and background jobs}, booktitle = {Performance of Distributed Systems and Integrated Communication Networks, Proceedings of the {IFIP} {WG} 7.3 International Conference on Performance of Distributed Systems and Integrated Communication Networks, Kyoto, Japan, 10-12 September, 1991}, series = {{IFIP} Transactions}, volume = {{C-5}}, pages = {189--207}, publisher = {North-Holland}, year = {1991}, timestamp = {Fri, 10 Jul 2015 17:21:29 +0200}, biburl = {https://dblp.org/rec/conf/pdsicn/Leung91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/NielsenC90, author = {Kjell W. Nielsen and Harald Carlsson}, title = {Inter-processor communication and Ada in distributed real-time systems}, journal = {Comput. Commun.}, volume = {13}, number = {8}, pages = {451--459}, year = {1990}, url = {https://doi.org/10.1016/0140-3664(90)90128-4}, doi = {10.1016/0140-3664(90)90128-4}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/NielsenC90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/Al-Mouhamed90, author = {Mayez A. Al{-}Mouhamed}, title = {Lower Bound on the Number of Processors and Time for Scheduling Precedence Graphs with Communication Costs}, journal = {{IEEE} Trans. Software Eng.}, volume = {16}, number = {12}, pages = {1390--1401}, year = {1990}, url = {https://doi.org/10.1109/32.62447}, doi = {10.1109/32.62447}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/Al-Mouhamed90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/MabbsF90, author = {Stephen A. Mabbs and Kevin E. Forward}, editor = {Benjamin W. Wah}, title = {Optimizing the Communication Architecture of a Hierarchical Parallel Processor}, booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 1: Architecture}, pages = {516--520}, publisher = {Pennsylvania State University Press}, year = {1990}, timestamp = {Mon, 28 Jul 2014 17:06:01 +0200}, biburl = {https://dblp.org/rec/conf/icpp/MabbsF90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/NakajimaI90, author = {Katsuto Nakajima and Nobuyuki Ichiyoshi}, editor = {Benjamin W. Wah}, title = {Evaluation of Inter-processor Communication in the {KL1} Implementation on the Multi-PSI}, booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 1: Architecture}, pages = {613--614}, publisher = {Pennsylvania State University Press}, year = {1990}, timestamp = {Wed, 30 Oct 2002 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/NakajimaI90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SihL90, author = {Gilbert C. Sih and Edward A. Lee}, editor = {Benjamin W. Wah}, title = {Scheduling to Account for Interprocessor Communication within Interconnection-Constrained Processor Networks}, booktitle = {Proceedings of the 1990 International Conference on Parallel Processing, Urbana-Champaign, IL, USA, August 1990. Volume 1: Architecture}, pages = {9--16}, publisher = {Pennsylvania State University Press}, year = {1990}, timestamp = {Wed, 30 Oct 2002 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SihL90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YutaI90, author = {Shin'ichi Yuta and Jun'ichi Iijima}, title = {State information panel for inter-processor communication in an autonomous mobile robot controller-a proposal of the system architecture for autonomous mobile robot}, booktitle = {{IEEE} International Workshop on Intelligent Robots and Systems '90, Towards a New Frontier of Applications, Proceedings. {IROS} 1990, July 3-6, 1990, Ibaraki, Japan}, pages = {1--7}, publisher = {{IEEE}}, year = {1990}, url = {https://doi.org/10.1109/IROS.1990.262535}, doi = {10.1109/IROS.1990.262535}, timestamp = {Mon, 15 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/YutaI90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/WillisSH90, author = {John C. Willis and Arthur C. Sanderson and Charles R. Hill}, editor = {Joanne L. Martin and Daniel V. Pryor and Gary R. Montry}, title = {Cache coherence in systems with parallel communication channels many processors}, booktitle = {Proceedings Supercomputing '90, New York, NY, USA, November 12-16, 1990}, pages = {554--563}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/SUPERC.1990.130069}, doi = {10.1109/SUPERC.1990.130069}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/WillisSH90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/CooperSSZ90, author = {Eric C. Cooper and Peter Steenkiste and Robert D. Sansom and Brian Zill}, editor = {Phil Karn}, title = {Protocol Implementation on the Nectar Communication Processor}, booktitle = {Proceedings of the {ACM} Symposium on Communications Architectures {\&} Protocols, {SIGCOMM} 1990, Philadelpia, PA, USA, September 24-27, 1990}, pages = {135--144}, publisher = {{ACM}}, year = {1990}, url = {https://doi.org/10.1145/99508.99545}, doi = {10.1145/99508.99545}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/CooperSSZ90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/Fleischer89, author = {Rudolf Fleischer}, title = {Communication Complexity of Multi-Processor Systems}, journal = {Inf. Process. Lett.}, volume = {30}, number = {2}, pages = {57--65}, year = {1989}, url = {https://doi.org/10.1016/0020-0190(89)90110-5}, doi = {10.1016/0020-0190(89)90110-5}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/Fleischer89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/Annot89, author = {J. K. Annot}, title = {A deadlock free and starvation free network of packet switching communication processors}, journal = {Parallel Comput.}, volume = {9}, number = {2}, pages = {147--162}, year = {1989}, url = {https://doi.org/10.1016/0167-8191(89)90125-7}, doi = {10.1016/0167-8191(89)90125-7}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/Annot89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FangLN89, author = {Zhixi Fang and Xiaobo Li and Lionel M. Ni}, title = {On the Communication Complexity of Generalized 2-D Convolution on Array Processors}, journal = {{IEEE} Trans. Computers}, volume = {38}, number = {2}, pages = {184--194}, year = {1989}, url = {https://doi.org/10.1109/12.16495}, doi = {10.1109/12.16495}, timestamp = {Wed, 31 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FangLN89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/BungardLR89, author = {D. R. Bungard and L. Lau and T. L. Rorabaugh}, title = {Programmable {FFT} processors for wide-bandwidth {HF} spread-spectrum communications and radar signal processing}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '89, Glasgow, Scotland, May 23-26, 1989}, pages = {1357--1359}, publisher = {{IEEE}}, year = {1989}, url = {https://doi.org/10.1109/ICASSP.1989.266689}, doi = {10.1109/ICASSP.1989.266689}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icassp/BungardLR89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AnnaratonePR89, author = {Marco Annaratone and Claude Pommerell and Roland R{\"{u}}hl}, editor = {Jean{-}Claude Syre}, title = {Interprocessor Communication Speed and Performance in Distributed-memory Parallel Processors}, booktitle = {Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989}, pages = {315--324}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/74925.74961}, doi = {10.1145/74925.74961}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/AnnaratonePR89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/EzhilchelvanST89, author = {Paul D. Ezhilchelvan and Santosh K. Shrivastava and Alan Tully}, editor = {Jean{-}Claude Syre}, title = {Constructing Replicated Systems Using Processors with Point-to-Point Communication Links}, booktitle = {Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989}, pages = {177--184}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/74925.74946}, doi = {10.1145/74925.74946}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/EzhilchelvanST89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/JesshopeMY89, author = {Chris R. Jesshope and P. R. Miller and Jay T. Yantchev}, editor = {Jean{-}Claude Syre}, title = {High Performance Communications in Processor Networks}, booktitle = {Proceedings of the 16th Annual International Symposium on Computer Architecture. Jerusalem, Israel, June 1989}, pages = {150--157}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/74925.74943}, doi = {10.1145/74925.74943}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/JesshopeMY89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/Mooij89, author = {W. G. P. Mooij}, editor = {Eddy Odijk and Martin Rem and Jean{-}Claude Syre}, title = {Architecture of a Communication Network Processor}, booktitle = {{PARLE} '89: Parallel Architectures and Languages Europe, Volume {I:} Parallel Architectures, Eindhoven, The Netherlands, June 12-16, 1989, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {365}, pages = {238--250}, publisher = {Springer}, year = {1989}, url = {https://doi.org/10.1007/3540512845\_43}, doi = {10.1007/3540512845\_43}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/parle/Mooij89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/plisp/Murakami89, author = {Ken{-}ichiro Murakami}, editor = {Takayasu Ito and Robert H. Halstead Jr.}, title = {A Pseudo Network Approach to Inter-processor Communication on a Shared-memory Multi-processor MacELIS}, booktitle = {Parallel Lisp: Languages and Systems, US/Japan Workshop on Parallel Lisp, Sendai, Japan, June 5-8, 1989, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {441}, pages = {300--305}, publisher = {Springer}, year = {1989}, url = {https://doi.org/10.1007/BFb0024162}, doi = {10.1007/BFB0024162}, timestamp = {Tue, 14 May 2019 10:00:55 +0200}, biburl = {https://dblp.org/rec/conf/plisp/Murakami89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/SadayappanR89, author = {Ponnuswamy Sadayappan and Sailesh K. Rao}, editor = {F. Ron Bailey}, title = {Communication reduction for distributed sparse matrix factorization on a processor mesh}, booktitle = {Proceedings Supercomputing '89, Reno, NV, USA, November 12-17, 1989}, pages = {371--379}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/76263.76304}, doi = {10.1145/76263.76304}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/sc/SadayappanR89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BarbosaF88, author = {Valmir C. Barbosa and Felipe M. G. Fran{\c{c}}a}, title = {Specification of a communication virtual processor for parallel processing systems}, journal = {Microprocess. Microprogramming}, volume = {24}, number = {1-5}, pages = {511--518}, year = {1988}, url = {https://doi.org/10.1016/0165-6074(88)90103-2}, doi = {10.1016/0165-6074(88)90103-2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/BarbosaF88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/Eberle88, author = {Hans Eberle}, title = {Analysis of processor-memory communication by the {NS} 32000 processor family}, journal = {Microprocess. Microprogramming}, volume = {22}, number = {5}, pages = {367--377}, year = {1988}, url = {https://doi.org/10.1016/0165-6074(88)90405-X}, doi = {10.1016/0165-6074(88)90405-X}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/Eberle88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/SmitJ88, author = {Gerard J. M. Smit and Pierre G. Jansen}, title = {The communication processor of {TUMULT-64}}, journal = {Microprocess. Microprogramming}, volume = {24}, number = {1-5}, pages = {519--524}, year = {1988}, url = {https://doi.org/10.1016/0165-6074(88)90104-4}, doi = {10.1016/0165-6074(88)90104-4}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/SmitJ88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/Wyland88, author = {David C. Wyland}, title = {Dual-port RAMs simplify processor communications}, journal = {Microprocess. Microsystems}, volume = {12}, number = {10}, pages = {585--594}, year = {1988}, url = {https://doi.org/10.1016/0141-9331(88)90007-5}, doi = {10.1016/0141-9331(88)90007-5}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/Wyland88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/c3p/Baillie88, author = {Clive F. Baillie}, editor = {Geoffrey C. Fox}, title = {Comparing communication in concurrent processor operating systems}, booktitle = {Proceedings of the Third Conference on Hypercube Concurrent Computers and Applications - Architecture, Software, Computer Systems, and General Issues, C{\({^3}\)}P, Pasadena, California, USA, January 19-20, 1988}, pages = {167--172}, publisher = {{ACM}}, year = {1988}, url = {https://doi.org/10.1145/62297.62318}, doi = {10.1145/62297.62318}, timestamp = {Fri, 29 Apr 2022 13:57:54 +0200}, biburl = {https://dblp.org/rec/conf/c3p/Baillie88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/SreetharanSS88, author = {M. Sreetharan and R. Stubblefield and S. Subramaniam}, title = {Architecture of a multiprocessor-based high speed communications processor}, booktitle = {Seventh Annual Joint Conference of the {IEEE} Computer and Communcations Societies. Networks: Evolution or Revolution?, {INFOCOM} 1988, New Orleans, LA, {USA}}, pages = {1072--1081}, publisher = {{IEEE}}, year = {1988}, url = {https://doi.org/10.1109/INFCOM.1988.13025}, doi = {10.1109/INFCOM.1988.13025}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/infocom/SreetharanSS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/FrietmanR87, author = {Edward E. E. Frietman and Anthonie B. Ruighaver}, title = {An electro-optic data communication system for the Delft parallel processor}, journal = {{SIGARCH} Comput. Archit. News}, volume = {15}, number = {6}, pages = {2--8}, year = {1987}, url = {https://doi.org/10.1145/41458.41459}, doi = {10.1145/41458.41459}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/FrietmanR87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/HoshikoKT87, author = {T. Hoshiko and Y. Kimura and S. Tomita}, title = {A 100Mb/s Optical Token Ring Network Suitable for High-Speed Inter-Processor Communications}, booktitle = {Proceedings of the 7th International Conference on Distributed Computing Systems, Berlin, Germany, September 1987}, pages = {382--389}, publisher = {{IEEE} Computer Society}, year = {1987}, timestamp = {Wed, 27 Nov 2013 16:54:32 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/HoshikoKT87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/AnnotT87, author = {J. K. Annot and Rob A. H. van Twist}, editor = {J. W. de Bakker and A. J. Nijman and Philip C. Treleaven}, title = {A Novel Deadlock Free and Starvation Free Packet Switching Communication Processor}, booktitle = {PARLE, Parallel Architectures and Languages Europe, Volume {I:} Parallel Architectures, Eindhoven, The Netherlands, June 15-19, 1987, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {258}, pages = {68--85}, publisher = {Springer}, year = {1987}, url = {https://doi.org/10.1007/3-540-17943-7\_121}, doi = {10.1007/3-540-17943-7\_121}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/parle/AnnotT87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stacs/DoshiV87, author = {Kshitij A. Doshi and Peter J. Varman}, editor = {Franz{-}Josef Brandenburg and Guy Vidal{-}Naquet and Martin Wirsing}, title = {Efficient Graph Algorithm Using Limited Communication on a Fixed-Size Array of Processors}, booktitle = {{STACS} 87, 4th Annual Symposium on Theoretical Aspects of Computer Science, Passau, Germany, February 19-21, 1987, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {247}, pages = {76--87}, publisher = {Springer}, year = {1987}, url = {https://doi.org/10.1007/BFb0039596}, doi = {10.1007/BFB0039596}, timestamp = {Sun, 29 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/stacs/DoshiV87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Polychronopoulos86, author = {Constantine D. Polychronopoulos}, title = {On Program Restructuring, Scheduling, and Communication for Parallel Processor Systems}, school = {University of Illinois Urbana-Champaign, {USA}}, year = {1986}, url = {https://hdl.handle.net/2142/69563}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Polychronopoulos86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/FrenetteMPC86, author = {Normand Frenette and Peter J. McLane and Lloyd E. Peppard and Francis Cotter}, title = {Implementation of a Viterbi Processor for a Digital Communications System with a Time-Dispersive Channel}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {4}, number = {1}, pages = {160--167}, year = {1986}, url = {https://doi.org/10.1109/JSAC.1986.1146284}, doi = {10.1109/JSAC.1986.1146284}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/FrenetteMPC86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/NiwaY86, author = {Akio Niwa and Takahiko Yamada}, title = {A 32-Bit Custom {VLSI} Processor for Communications Network Nodes}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {4}, number = {1}, pages = {192--199}, year = {1986}, url = {https://doi.org/10.1109/JSAC.1986.1146288}, doi = {10.1109/JSAC.1986.1146288}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/NiwaY86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/HoustisW86, author = {Catherine E. Houstis and Mike C. Wescott}, title = {Modeling and Analysis of a Communication Switching Processor}, journal = {Perform. Evaluation}, volume = {6}, number = {1}, pages = {11--19}, year = {1986}, url = {https://doi.org/10.1016/0166-5316(86)90003-9}, doi = {10.1016/0166-5316(86)90003-9}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/HoustisW86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/PerryT86, author = {Kenneth J. Perry and Sam Toueg}, title = {Distributed Agreement in the Presence of Processor and Communication Faults}, journal = {{IEEE} Trans. Software Eng.}, volume = {12}, number = {3}, pages = {477--482}, year = {1986}, url = {https://doi.org/10.1109/TSE.1986.6312888}, doi = {10.1109/TSE.1986.6312888}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/PerryT86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/GambeIMTF85, author = {Hirohisa Gambe and Toshi Ikezawa and Toshihiko Matsumura and Toshitaka Tsuda and Toshitaka Fujii}, title = {On the Design of a High-Performance {LSI} Circuit Digital Signal Processor for Communication}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {3}, number = {2}, pages = {357--368}, year = {1985}, url = {https://doi.org/10.1109/JSAC.1985.1146206}, doi = {10.1109/JSAC.1985.1146206}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/GambeIMTF85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/MochidaMTGF85, author = {Yukou Mochida and Kazuo Murano and Toshitaka Tsuda and Hirohisa Gambe and Shigeru Fujii}, title = {A High Performance {LSI} Digital Signal Processor for Communication}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {3}, number = {2}, pages = {347--356}, year = {1985}, url = {https://doi.org/10.1109/JSAC.1985.1146209}, doi = {10.1109/JSAC.1985.1146209}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/MochidaMTGF85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candc/HoffmanE83, author = {P. A. Hoffman and Christine G. Enke}, title = {Inter-processor communications software for a hierarchical system of intelligent laboratory instrumentation}, journal = {Comput. Chem.}, volume = {7}, number = {2}, pages = {47--50}, year = {1983}, url = {https://doi.org/10.1016/0097-8485(83)80019-9}, doi = {10.1016/0097-8485(83)80019-9}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/candc/HoffmanE83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/CoxCLP83, author = {George W. Cox and William M. Corwin and Konrad K. Lai and Fred J. Pollack}, title = {Interprocess Communication and Processor Dispatching on the Intel 432}, journal = {{ACM} Trans. Comput. Syst.}, volume = {1}, number = {1}, pages = {45--66}, year = {1983}, url = {https://doi.org/10.1145/357353.357358}, doi = {10.1145/357353.357358}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/CoxCLP83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/Woodside83, author = {C. Murray Woodside}, editor = {Kenneth J. Thurber and William Lidinsky}, title = {Performance potential of communications interface processors}, booktitle = {Proceedings of the Eighth Symposium on Data Communications, {SIGCOMM} 1983, North Falmouth, Massachusetts, USA, October 3-6, 1983}, pages = {245--253}, publisher = {{ACM}}, year = {1983}, url = {https://doi.org/10.1145/800034.800926}, doi = {10.1145/800034.800926}, timestamp = {Wed, 21 Jul 2021 16:09:54 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/Woodside83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/sigmetrics/Anderson82, author = {Gordon E. Anderson}, editor = {Herb Schwetman}, title = {Bernoulli Methods for Predicting Communication Processor Performance}, booktitle = {Selected papers of the 1982 {ACM} {SIGMETRICS} Workshop on Software Metrics - Part 2, {SCORE} 1982, Seattle, Washington, USA, August 30 - September 1, 1982}, pages = {25--29}, publisher = {{ACM}}, year = {1982}, url = {https://doi.org/10.1145/800201.807793}, doi = {10.1145/800201.807793}, timestamp = {Thu, 24 Mar 2022 09:21:59 +0100}, biburl = {https://dblp.org/rec/journals/sigmetrics/Anderson82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmg/ThillM81, author = {John F. Thill and Randy E. Moore}, editor = {Donald R. Deese and Robert J. Bishop and Jeffrey M. Mohr and H. Pat Artis}, title = {Measuring {NCR} Comten Communications Processors with Hardware Monitors}, booktitle = {Seventh International Computer Measurement Group Conference, New Orleans, LA, USA, December 1-4, 1981, Proceedings}, pages = {228--232}, publisher = {Computer Measurement Group}, year = {1981}, url = {http://www.cmg.org/?s2member\_file\_download=/proceedings/1981/81INT028.pdf}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cmg/ThillM81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmsj/LeachC80, author = {Jack R. Leach and Robert D. Campenni}, title = {A Sidestream Approach Using a Small Processor as a Tool for Managing Communications Systems}, journal = {{IBM} Syst. J.}, volume = {19}, number = {1}, pages = {120--139}, year = {1980}, url = {https://doi.org/10.1147/sj.191.0120}, doi = {10.1147/SJ.191.0120}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmsj/LeachC80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/NishitaniKMS80, author = {Takao Nishitani and Yuichi Kawakami and Rikio Maruta and Akira Sawai}, title = {{LSI} signal processor development for communications equipment}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '80, Denver, Colorado, USA, April 9-11, 1980}, pages = {386--389}, publisher = {{IEEE}}, year = {1980}, url = {https://doi.org/10.1109/ICASSP.1980.1171063}, doi = {10.1109/ICASSP.1980.1171063}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/NishitaniKMS80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/SeifertC80, author = {Elwood L. Seifert and Frank Cornett}, title = {Architecture analysis for a communications signal processor}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '80, Denver, Colorado, USA, April 9-11, 1980}, pages = {924--926}, publisher = {{IEEE}}, year = {1980}, url = {https://doi.org/10.1109/ICASSP.1980.1171056}, doi = {10.1109/ICASSP.1980.1171056}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/SeifertC80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/Gallacher79c, author = {Joe Gallacher}, title = {Processor-processor communication}, journal = {Microprocess. Microsystems}, volume = {3}, number = {7}, pages = {317--320}, year = {1979}, url = {https://doi.org/10.1016/0141-9331(79)90264-3}, doi = {10.1016/0141-9331(79)90264-3}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/Gallacher79c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/Smith79, author = {Caldwell P. Smith}, title = {Talker variance and phonetic feature variance in diagnostic intelligibility scores for digital voice communications processors}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '79, Washington, D. C., USA, April 2-4, 1979}, pages = {456--459}, publisher = {{IEEE}}, year = {1979}, url = {https://doi.org/10.1109/ICASSP.1979.1170714}, doi = {10.1109/ICASSP.1979.1170714}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/Smith79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/Reghbati79, author = {Hassan K. Reghbati}, editor = {Barry R. Borgerson and E. Douglas Jensen and Harold W. Lawson Jr. and Hideo Aiso and Garold S. Tjaden and Richard F. Welch and Philip Holmer and Len Haynes and Jerry Hommes and Ted Jones and Winifred Grelis and Rosalie Ashenfelter}, title = {An Efficient Time-Shared Link Processor for Supporting Communication in Parallel Systems with Dynamic Structure}, booktitle = {Proceedings of the 6th Annual Symposium on Computer Architecture, Philadelphia, PA, USA, April 1979}, pages = {147--159}, publisher = {{ACM}}, year = {1979}, url = {https://doi.org/10.1145/800090.802904}, doi = {10.1145/800090.802904}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/Reghbati79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/OnoUSMTS78, author = {Kinji Ono and Yoshiyori Urano and Kenji Suzuki and Hiroshi Matsunaga and Yuzo Tanaka and Shun{-}ich Sakurai}, title = {Interface communication processor for public packet switching network}, journal = {Comput. Commun. Rev.}, volume = {8}, number = {2}, pages = {10--28}, year = {1978}, url = {https://doi.org/10.1145/1015902.1015903}, doi = {10.1145/1015902.1015903}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/OnoUSMTS78.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/AgrawalFW78, author = {Dharma P. Agrawal and Tse{-}Yun Feng and Chuan{-}lin Wu}, title = {A survey of communication processor systems}, booktitle = {The {IEEE} Computer Society's Second International Computer Software and Applications Conference, {COMPSAC} 1978, 13-16 November, 1978, Chicago, Illinois, {USA}}, pages = {668--673}, publisher = {{IEEE}}, year = {1978}, url = {https://doi.org/10.1109/CMPSAC.1978.810522}, doi = {10.1109/CMPSAC.1978.810522}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/compsac/AgrawalFW78.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/Pettibone78, author = {Tim Pettibone}, title = {{FORTRAN} subroutine initialization and communication in a two processor environment}, booktitle = {The {IEEE} Computer Society's Second International Computer Software and Applications Conference, {COMPSAC} 1978, 13-16 November, 1978, Chicago, Illinois, {USA}}, pages = {177--182}, publisher = {{IEEE}}, year = {1978}, url = {https://doi.org/10.1109/CMPSAC.1978.810372}, doi = {10.1109/CMPSAC.1978.810372}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compsac/Pettibone78.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/ChowW77, author = {We{-}Min Chow and Lin S. Woo}, title = {Buffer Performance Analysis of Communication Processors During Slowdown at Network Control}, journal = {{IBM} J. Res. Dev.}, volume = {21}, number = {3}, pages = {264--272}, year = {1977}, url = {https://doi.org/10.1147/rd.213.0264}, doi = {10.1147/RD.213.0264}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/ChowW77.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/HagstromB77, author = {Kenneth L. Hagstrom and Boris Beizer}, title = {Communications Processor System Study}, journal = {{IEEE} Trans. Commun.}, volume = {25}, number = {6}, pages = {604--608}, year = {1977}, url = {https://doi.org/10.1109/TCOM.1977.1093871}, doi = {10.1109/TCOM.1977.1093871}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/HagstromB77.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/FordH76, author = {Warwick S. Ford and V. Carl Hamacher}, editor = {Michael J. Flynn and Oscar N. Garcia and Daniel P. Siewiorek}, title = {Hardware Support for Inter-Process Communication and Processor Sharing}, booktitle = {Proceedings of the 3rd Annual Symposium on Computer Architecture, Clearwater, FL, USA, January 1976}, pages = {113--118}, publisher = {{ACM}}, year = {1976}, url = {https://doi.org/10.1145/800110.803559}, doi = {10.1145/800110.803559}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/FordH76.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/Steen74, author = {Robert F. Steen}, title = {Error Correction for Voice Grade Data Communication Using a Communication Processor}, journal = {{IEEE} Trans. Commun.}, volume = {22}, number = {10}, pages = {1595--1606}, year = {1974}, url = {https://doi.org/10.1109/TCOM.1974.1092103}, doi = {10.1109/TCOM.1974.1092103}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/Steen74.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/afips/PrykeM74, author = {John T. M. Pryke and Lawrence J. Munini}, title = {Interfacing communication network to {IBM} system/360 and system/370 host processors: an end users viewpoint}, booktitle = {American Federation of Information Processing Societies: 1974 National Computer Conference, 6-10 May 1974, Chicago, Illinois, {USA}}, series = {{AFIPS} Conference Proceedings}, volume = {43}, pages = {299--302}, publisher = {{AFIPS} Press}, year = {1974}, url = {https://doi.org/10.1145/1500175.1500237}, doi = {10.1145/1500175.1500237}, timestamp = {Wed, 14 Apr 2021 16:50:07 +0200}, biburl = {https://dblp.org/rec/conf/afips/PrykeM74.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/Spragins72a, author = {John D. Spragins}, title = {Simulation of a Communication Processor Under Extreme Loading Conditions}, journal = {{IEEE} Trans. Commun.}, volume = {20}, number = {3}, pages = {609--619}, year = {1972}, url = {https://doi.org/10.1109/TCOM.1972.1091192}, doi = {10.1109/TCOM.1972.1091192}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/Spragins72a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WaldP64, author = {B. Wald and F. A. Polkinghorn Jr.}, title = {Simple Instructions Which Enhance the Error Control Capabilities of a Programmed Communications Processor}, journal = {{IEEE} Trans. Electron. Comput.}, volume = {13}, number = {6}, pages = {747--748}, year = {1964}, url = {https://doi.org/10.1109/PGEC.1964.263935}, doi = {10.1109/PGEC.1964.263935}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/WaldP64.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.