Search dblp for Publications

export results for "micron technology"

 download as .bib file

@inproceedings{DBLP:conf/vlsit/GeumLJAKSKPBJK22,
  author       = {Dae{-}Myeong Geum and
                  Jinha Lim and
                  Junho Jang and
                  Seungyeop Ahn and
                  SeongKwang Kim and
                  Joonsup Shim and
                  Bong Ho Kim and
                  Juhyuk Park and
                  Woo Jin Baek and
                  Jaeyong Jeong and
                  Sanghyeon Kim},
  title        = {A sub-micron-thick InGaAs broadband {(400-1700} nm) photodetectors
                  with a high external quantum efficiency ({\textgreater}70{\%})},
  booktitle    = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology
                  and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022},
  pages        = {413--414},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830388},
  doi          = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830388},
  timestamp    = {Thu, 04 Aug 2022 10:53:40 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsit/GeumLJAKSKPBJK22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsit/KitamuraKHBKKTT22,
  author       = {Shota Kitamura and
                  Naohiko Kimizuka and
                  Akiko Honjo and
                  Koichi Baba and
                  Toshihiro Kurobe and
                  Hideomi Kumano and
                  Takuya Toyofuku and
                  Kouhei Takeuchi and
                  Shota Nishimura and
                  Akihiko Kato and
                  Tomoyuki Hirano and
                  Yusuke Oike},
  title        = {Low-Noise Multi-Gate Pixel Transistor for Sub-Micron Pixel {CMOS}
                  Image Sensors},
  booktitle    = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology
                  and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022},
  pages        = {347--348},
  publisher    = {{IEEE}},
  year         = {2022},
  url          = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830386},
  doi          = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830386},
  timestamp    = {Thu, 04 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vlsit/KitamuraKHBKKTT22.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ejisdc/Ilavarasan19,
  author       = {P. Vigneswara Ilavarasan},
  title        = {Present and future of the use and impact of information and communication
                  technology in informal microenterprises: Insights from India},
  journal      = {Electron. J. Inf. Syst. Dev. Ctries.},
  volume       = {85},
  number       = {3},
  year         = {2019},
  url          = {https://doi.org/10.1002/isd2.12091},
  doi          = {10.1002/ISD2.12091},
  timestamp    = {Tue, 02 Jan 2024 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/ejisdc/Ilavarasan19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vdat/Majumdar19,
  author       = {Swatilekha Majumdar},
  editor       = {Anirban Sengupta and
                  Sudeb Dasgupta and
                  Virendra Singh and
                  Rohit Sharma and
                  Santosh Kumar Vishvakarma},
  title        = {A Novel Gate-Level On-Chip Crosstalk Noise Reduction Circuit for Deep
                  Sub-micron Technology},
  booktitle    = {{VLSI} Design and Test - 23rd International Symposium, {VDAT} 2019,
                  Indore, India, July 4-6, 2019, Revised Selected Papers},
  series       = {Communications in Computer and Information Science},
  volume       = {1066},
  pages        = {171--179},
  publisher    = {Springer},
  year         = {2019},
  url          = {https://doi.org/10.1007/978-981-32-9767-8\_15},
  doi          = {10.1007/978-981-32-9767-8\_15},
  timestamp    = {Thu, 05 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vdat/Majumdar19.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/miipop/JacksonHPKS18,
  author       = {Pamela R. Jackson and
                  Andrea Hawkins{-}Daarud and
                  Savannah C. Partridge and
                  Paul E. Kinahan and
                  Kristin R. Swanson},
  editor       = {Robert M. Nishikawa and
                  Frank W. Samuelson},
  title        = {Simulating magnetic resonance images based on a model of tumor growth
                  incorporating microenvironment},
  booktitle    = {Medical Imaging 2018: Image Perception, Observer Performance, and
                  Technology Assessment, Houston, Texas, United States, 10-15 February
                  2018},
  series       = {{SPIE} Proceedings},
  volume       = {10577},
  pages        = {105771D},
  publisher    = {{SPIE}},
  year         = {2018},
  url          = {https://doi.org/10.1117/12.2293645},
  doi          = {10.1117/12.2293645},
  timestamp    = {Wed, 25 Sep 2019 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/miipop/JacksonHPKS18.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/OhyamaNMSNSS16,
  author       = {Masaki Ohyama and
                  Masatsugu Nimura and
                  Jun Mizuno and
                  Shuichi Shoji and
                  Toshihisa Nonaka and
                  Yoichi Shinba and
                  Akitsu Shigetou},
  title        = {Evaluation of hybrid bonding technology of single-micron pitch with
                  planar structure for 3D interconnection},
  journal      = {Microelectron. Reliab.},
  volume       = {59},
  pages        = {134--139},
  year         = {2016},
  url          = {https://doi.org/10.1016/j.microrel.2015.12.033},
  doi          = {10.1016/J.MICROREL.2015.12.033},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/OhyamaNMSNSS16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/glvlsi/ChakrabortyKASM16,
  author       = {Tuhin Subhra Chakraborty and
                  Santanu Kundu and
                  Deepak Agrawal and
                  Sanjay Tanaji Shinde and
                  Jacob Mathews and
                  Rekha K. James},
  editor       = {Ayse K. Coskun and
                  Martin Margala and
                  Laleh Behjat and
                  Jie Han},
  title        = {Leakage Power Minimization in Deep Sub-Micron Technology by Exploiting
                  Positive Slacks of Dependent Paths},
  booktitle    = {Proceedings of the 26th edition on Great Lakes Symposium on VLSI,
                  {GLVLSI} 2016, Boston, MA, USA, May 18-20, 2016},
  pages        = {365--368},
  publisher    = {{ACM}},
  year         = {2016},
  url          = {https://doi.org/10.1145/2902961.2902991},
  doi          = {10.1145/2902961.2902991},
  timestamp    = {Wed, 10 Mar 2021 14:55:38 +0100},
  biburl       = {https://dblp.org/rec/conf/glvlsi/ChakrabortyKASM16.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/basesearch/Roy15a,
  author       = {Indranil Roy},
  title        = {Algorithmic techniques for the micron automata processor},
  school       = {Georgia Institute of Technology, Atlanta, GA, {USA}},
  year         = {2015},
  url          = {https://hdl.handle.net/1853/53845},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/basesearch/Roy15a.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/integration/Asyaei15,
  author       = {Mohammad Asyaei},
  title        = {A new leakage-tolerant domino circuit using voltage-comparison for
                  wide fan-in gates in deep sub-micron technology},
  journal      = {Integr.},
  volume       = {51},
  pages        = {61--71},
  year         = {2015},
  url          = {https://doi.org/10.1016/j.vlsi.2015.06.003},
  doi          = {10.1016/J.VLSI.2015.06.003},
  timestamp    = {Thu, 20 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/integration/Asyaei15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/nems/PattanasakP15,
  author       = {Satjana Pattanasak and
                  Wibool Piyawattanametha},
  title        = {Light microendoscopy with {MEMS} technology},
  booktitle    = {10th {IEEE} International Conference on Nano/Micro Engineered and
                  Molecular Systems, {NEMS} 2015, Xi'an, China, April 7-11, 2015},
  pages        = {550--552},
  publisher    = {{IEEE}},
  year         = {2015},
  url          = {https://doi.org/10.1109/NEMS.2015.7147489},
  doi          = {10.1109/NEMS.2015.7147489},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/nems/PattanasakP15.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mixdes/BugielDGIK14,
  author       = {Szymon Bugiel and
                  Roma Dasgupta and
                  Sebastian Glab and
                  Marek Idzik and
                  Piotr Kapusta},
  title        = {Development of pixel detector in Novel sub-micron technology {SOI}
                  {CMOS} 200 nm},
  booktitle    = {Proceedings of the 21st International Conference Mixed Design of Integrated
                  Circuits and Systems, {MIXDES} 2014, Lviv, Ukraine, June 19-21, 2014},
  pages        = {205--208},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/MIXDES.2014.6872186},
  doi          = {10.1109/MIXDES.2014.6872186},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mixdes/BugielDGIK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/mixdes/DasguptaBGIMK14,
  author       = {Roma Dasgupta and
                  Szymon Bugiel and
                  Sebastian Glab and
                  Marek Idzik and
                  Jakub Moron and
                  Piotr Kapusta},
  title        = {Design and simulations of the 10-bit {SAR} {ADC} in novel sub-micron
                  technology 200 nm {SOI} {CMOS}},
  booktitle    = {Proceedings of the 21st International Conference Mixed Design of Integrated
                  Circuits and Systems, {MIXDES} 2014, Lviv, Ukraine, June 19-21, 2014},
  pages        = {175--179},
  publisher    = {{IEEE}},
  year         = {2014},
  url          = {https://doi.org/10.1109/MIXDES.2014.6872180},
  doi          = {10.1109/MIXDES.2014.6872180},
  timestamp    = {Tue, 07 May 2024 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/mixdes/DasguptaBGIMK14.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/ndltd/Tekin13,
  author       = {Halil Tekin},
  title        = {Microengineered responsive platforms for spatial and geometrical control
                  of multicellular organizations},
  school       = {Massachusetts Institute of Technology, Cambridge, MA, {USA}},
  year         = {2013},
  url          = {https://hdl.handle.net/1721.1/79222},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/ndltd/Tekin13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ieiceee/ZhangDZ13,
  author       = {Qi{-}Sheng Zhang and
                  Ming Deng and
                  Qimao Zhang},
  title        = {A high DC-gain low-power current recycling amplifier in deep sub-micron
                  technology},
  journal      = {{IEICE} Electron. Express},
  volume       = {10},
  number       = {19},
  pages        = {20130624},
  year         = {2013},
  url          = {https://doi.org/10.1587/elex.10.20130624},
  doi          = {10.1587/ELEX.10.20130624},
  timestamp    = {Thu, 14 Oct 2021 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ieiceee/ZhangDZ13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/ijbis/Khattab13,
  author       = {Ishraga Khattab},
  title        = {Microenterprises marketing problems and technology prospective},
  journal      = {Int. J. Bus. Inf. Syst.},
  volume       = {12},
  number       = {3},
  pages        = {259--270},
  year         = {2013},
  url          = {https://doi.org/10.1504/IJBIS.2013.052450},
  doi          = {10.1504/IJBIS.2013.052450},
  timestamp    = {Sat, 25 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/ijbis/Khattab13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vdat/MaheshwariRKG13,
  author       = {Sachin Maheshwari and
                  Rameez Raza and
                  Pramod Kumar and
                  Anu Gupta},
  editor       = {Manoj Singh Gaur and
                  Mark Zwolinski and
                  Vijay Laxmi and
                  Dharmendar Boolchandani and
                  Virendra Singh and
                  Adit D. Singh},
  title        = {Convex Optimization of Energy and Delay Using Logical Effort Method
                  in Deep Sub-micron Technology},
  booktitle    = {{VLSI} Design and Test, 17th International Symposium, {VDAT} 2013,
                  Jaipur, India, July 27-30, 2013, Revised Selected Papers},
  series       = {Communications in Computer and Information Science},
  volume       = {382},
  pages        = {185--193},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-42024-5\_23},
  doi          = {10.1007/978-3-642-42024-5\_23},
  timestamp    = {Tue, 21 Mar 2023 20:02:59 +0100},
  biburl       = {https://dblp.org/rec/conf/vdat/MaheshwariRKG13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vdat/RaghavMS13,
  author       = {Himadri Singh Raghav and
                  Sachin Maheshwari and
                  B. Prasad Singh},
  editor       = {Manoj Singh Gaur and
                  Mark Zwolinski and
                  Vijay Laxmi and
                  Dharmendar Boolchandani and
                  Virendra Singh and
                  Adit D. Singh},
  title        = {Performance Analysis of Subthreshold 32-Bit Kogge-Stone Adder for
                  Worst-Case-Delay and Power in Sub-micron Technology},
  booktitle    = {{VLSI} Design and Test, 17th International Symposium, {VDAT} 2013,
                  Jaipur, India, July 27-30, 2013, Revised Selected Papers},
  series       = {Communications in Computer and Information Science},
  volume       = {382},
  pages        = {100--107},
  publisher    = {Springer},
  year         = {2013},
  url          = {https://doi.org/10.1007/978-3-642-42024-5\_13},
  doi          = {10.1007/978-3-642-42024-5\_13},
  timestamp    = {Wed, 17 May 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/conf/vdat/RaghavMS13.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/basesearch/Irobi11,
  author       = {Ijeoma Sandra Irobi},
  title        = {Analysis and Test Development for Parasitic Fails in Deep Sub-Micron
                  Memory Devices},
  school       = {Delft University of Technology, Netherlands},
  year         = {2011},
  url          = {http://resolver.tudelft.nl/uuid:e141272c-80aa-40b3-8856-49ba0e7f35c4},
  timestamp    = {Tue, 18 Apr 2017 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/basesearch/Irobi11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/async/YangJG11,
  author       = {Suwen Yang and
                  Ian W. Jones and
                  Mark R. Greenstreet},
  title        = {Synchronizer Performance in Deep Sub-Micron Technology},
  booktitle    = {17th {IEEE} International Symposium on Asynchronous Circuits and Systems,
                  {ASYNC} 2011, Cornell University, Ithaca, New York, USA, 27-29 April
                  2011},
  pages        = {33--42},
  publisher    = {{IEEE} Computer Society},
  year         = {2011},
  url          = {https://doi.org/10.1109/ASYNC.2011.19},
  doi          = {10.1109/ASYNC.2011.19},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/async/YangJG11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isocc/ZhaoPZMCC11,
  author       = {Chun Zhao and
                  W. Pan and
                  C. Z. Zhao and
                  Ka Lok Man and
                  J. Choi and
                  J. Chang},
  title        = {Performance-effective compaction of standard cell library for edge-triggered
                  latches utilizing 0.5 micron technology},
  booktitle    = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea,
                  November 17-18, 2011},
  pages        = {313--316},
  publisher    = {{IEEE}},
  year         = {2011},
  url          = {https://doi.org/10.1109/ISOCC.2011.6138773},
  doi          = {10.1109/ISOCC.2011.6138773},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isocc/ZhaoPZMCC11.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/JavaheriS10,
  author       = {M. Reza Javaheri and
                  Reza Sedaghat},
  title        = {Strength violation effect on soft-error detection in sub-micron technology},
  journal      = {Microelectron. Reliab.},
  volume       = {50},
  number       = {7},
  pages        = {971--977},
  year         = {2010},
  url          = {https://doi.org/10.1016/j.microrel.2010.03.002},
  doi          = {10.1016/J.MICROREL.2010.03.002},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/JavaheriS10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/amcis/GoodKQJ10,
  author       = {Travis Good and
                  Mehruz Kamal and
                  Sajda Qureshi and
                  Nancy Jimenez},
  editor       = {Martin Santana and
                  Jerry N. Luftman and
                  Ajay S. Vinze},
  title        = {Information Technology Adoption in Latin American Microenterprises},
  booktitle    = {Sustainable {IT} Collaboration Around the Globe. 16th Americas Conference
                  on Information Systems, {AMCIS} 2010, Lima, Peru, August 12-15, 2010},
  pages        = {498},
  publisher    = {Association for Information Systems},
  year         = {2010},
  url          = {http://aisel.aisnet.org/amcis2010/498},
  timestamp    = {Mon, 02 Jan 2012 14:47:22 +0100},
  biburl       = {https://dblp.org/rec/conf/amcis/GoodKQJ10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/igarss/Heaps10,
  author       = {William S. Heaps},
  title        = {One micron laser technology advancements at {GSFC}},
  booktitle    = {{IEEE} International Geoscience {\&} Remote Sensing Symposium,
                  {IGARSS} 2010, July 25-30, 2010, Honolulu, Hawaii, USA, Proceedings},
  pages        = {3110--3113},
  publisher    = {{IEEE}},
  year         = {2010},
  url          = {https://doi.org/10.1109/IGARSS.2010.5649173},
  doi          = {10.1109/IGARSS.2010.5649173},
  timestamp    = {Wed, 16 Oct 2019 14:14:53 +0200},
  biburl       = {https://dblp.org/rec/conf/igarss/Heaps10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/DasGuptaM10,
  author       = {Samiran DasGupta and
                  Pradip Mandal},
  title        = {An Improvised {MOS} Transistor Model Suitable for Geometric Program
                  Based Analog Circuit Sizing in Sub-micron Technology},
  booktitle    = {{VLSI} Design 2010: 23rd International Conference on {VLSI} Design,
                  9th International Conference on Embedded Systems, Bangalore, India,
                  3-7 January 2010},
  pages        = {294--299},
  publisher    = {{IEEE} Computer Society},
  year         = {2010},
  url          = {https://doi.org/10.1109/VLSI.Design.2010.31},
  doi          = {10.1109/VLSI.DESIGN.2010.31},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/DasGuptaM10.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/jssc/OjeforsPLR09,
  author       = {Erik {\"{O}}jefors and
                  Ullrich R. Pfeiffer and
                  Alvydas Lisauskas and
                  Hartmut G. Roskos},
  title        = {A 0.65 THz Focal-Plane Array in a Quarter-Micron {CMOS} Process Technology},
  journal      = {{IEEE} J. Solid State Circuits},
  volume       = {44},
  number       = {7},
  pages        = {1968--1976},
  year         = {2009},
  url          = {https://doi.org/10.1109/JSSC.2009.2021911},
  doi          = {10.1109/JSSC.2009.2021911},
  timestamp    = {Sat, 05 Sep 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/jssc/OjeforsPLR09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/GalyDVREJPB09,
  author       = {Philippe Galy and
                  Sylvain Dudit and
                  Michel Vallet and
                  Corinne Richier and
                  Christophe Entringer and
                  Frank Jezequel and
                  E. Petit and
                  J. Beltritti},
  title        = {Impact and damage on deep sub-micron {CMOS} technology induced by
                  substrate current due to {ESD} stress},
  journal      = {Microelectron. Reliab.},
  volume       = {49},
  number       = {9-11},
  pages        = {1107--1110},
  year         = {2009},
  url          = {https://doi.org/10.1016/j.microrel.2009.07.039},
  doi          = {10.1016/J.MICROREL.2009.07.039},
  timestamp    = {Wed, 08 Nov 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/GalyDVREJPB09.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mr/LinCCWC07,
  author       = {Hung{-}Sung Lin and
                  Chun{-}Ming Chen and
                  Kuo{-}Hsiung Chen and
                  Afung Wang and
                  C. H. Chao},
  title        = {A case study of defects due to process marginalities in deep sub-micron
                  technology},
  journal      = {Microelectron. Reliab.},
  volume       = {47},
  number       = {9-11},
  pages        = {1604--1608},
  year         = {2007},
  url          = {https://doi.org/10.1016/j.microrel.2007.07.075},
  doi          = {10.1016/J.MICROREL.2007.07.075},
  timestamp    = {Sat, 22 Feb 2020 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/journals/mr/LinCCWC07.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/ccece/RoyNRD06,
  author       = {Niladri Roy and
                  Mani Najmabadi and
                  Rabin Raut and
                  Vijay Kumar Devabhaktuni},
  title        = {A Systematic Approach Towards the Implementation of a Low-Noise Amplifier
                  in Sub-Micron {CMOS} Technology},
  booktitle    = {Proceedings of the Canadian Conference on Electrical and Computer
                  Engineering, {CCECE} 2006, May 7-10, 2006, Ottawa Congress Centre,
                  Ottawa, Canada},
  pages        = {1909--1913},
  publisher    = {{IEEE}},
  year         = {2006},
  url          = {https://doi.org/10.1109/CCECE.2006.277499},
  doi          = {10.1109/CCECE.2006.277499},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/ccece/RoyNRD06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/vlsid/JainA06,
  author       = {Sanjeev K. Jain and
                  Pankaj Agarwal},
  title        = {A Low Leakage and {SNM} Free {SRAM} Cell Design in Deep Sub Micron
                  {CMOS} Technology},
  booktitle    = {19th International Conference on {VLSI} Design {(VLSI} Design 2006),
                  3-7 January 2006, Hyderabad, India},
  pages        = {495--498},
  publisher    = {{IEEE} Computer Society},
  year         = {2006},
  url          = {https://doi.org/10.1109/VLSID.2006.12},
  doi          = {10.1109/VLSID.2006.12},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/vlsid/JainA06.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@article{DBLP:journals/mj/WilkeMYM05,
  author       = {Nicolle Wilke and
                  A. Mulcahy and
                  S.{-}R. Ye and
                  A. Morrissey},
  title        = {Process optimization and characterization of silicon microneedles
                  fabricated by wet etch technology},
  journal      = {Microelectron. J.},
  volume       = {36},
  number       = {7},
  pages        = {650--656},
  year         = {2005},
  url          = {https://doi.org/10.1016/j.mejo.2005.04.044},
  doi          = {10.1016/J.MEJO.2005.04.044},
  timestamp    = {Mon, 06 Apr 2020 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/journals/mj/WilkeMYM05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/patmos/WelligZ05,
  author       = {Armin Wellig and
                  Julien Zory},
  editor       = {Vassilis Paliouras and
                  Johan Vounckx and
                  Diederik Verkest},
  title        = {Static Noise Margin Analysis of Sub-threshold {SRAM} Cells in Deep
                  Sub-micron Technology},
  booktitle    = {Integrated Circuit and System Design, Power and Timing Modeling, Optimization
                  and Simulation, 15th International Workshop, {PATMOS} 2005, Leuven,
                  Belgium, September 21-23, 2005, Proceedings},
  series       = {Lecture Notes in Computer Science},
  volume       = {3728},
  pages        = {488--497},
  publisher    = {Springer},
  year         = {2005},
  url          = {https://doi.org/10.1007/11556930\_50},
  doi          = {10.1007/11556930\_50},
  timestamp    = {Tue, 14 May 2019 10:00:54 +0200},
  biburl       = {https://dblp.org/rec/conf/patmos/WelligZ05.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/date/BernardiniPM04,
  author       = {Sandrine Bernardini and
                  Jean{-}Michel Portal and
                  Pascal Masson},
  title        = {A Tunneling Model for Gate Oxide Failure in Deep Sub-Micron Technology},
  booktitle    = {2004 Design, Automation and Test in Europe Conference and Exposition
                  {(DATE} 2004), 16-20 February 2004, Paris, France},
  pages        = {1404--1405},
  publisher    = {{IEEE} Computer Society},
  year         = {2004},
  url          = {https://doi.org/10.1109/DATE.2004.1269108},
  doi          = {10.1109/DATE.2004.1269108},
  timestamp    = {Fri, 24 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/date/BernardiniPM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iscas/PanceMM04,
  author       = {Aleksandar Pance and
                  Madan Mohan and
                  Paul Master},
  title        = {Power-aware implementation of {ASIC/SOC} in 0.13 micron {CMOS} technology},
  booktitle    = {Proceedings of the 2004 International Symposium on Circuits and Systems,
                  {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004},
  pages        = {457--460},
  publisher    = {{IEEE}},
  year         = {2004},
  timestamp    = {Wed, 16 Oct 2019 14:14:49 +0200},
  biburl       = {https://dblp.org/rec/conf/iscas/PanceMM04.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/isqed/Alavi03,
  author       = {Mohsen Alavi},
  title        = {Overview of Reliability Issues in Deep Sub-Micron Digital {CMOS} Technology
                  and Their Interaction with Circuit Design Considerations},
  booktitle    = {4th International Symposium on Quality of Electronic Design {(ISQED}
                  2003), 24-26 March 2003, San Jose, CA, {USA}},
  pages        = {12},
  publisher    = {{IEEE} Computer Society},
  year         = {2003},
  timestamp    = {Wed, 16 Oct 2019 14:14:55 +0200},
  biburl       = {https://dblp.org/rec/conf/isqed/Alavi03.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/ndltd/Sotiriadis02,
  author       = {Paul{-}Peter Sotiriadis},
  title        = {Interconnect modeling and optimization in deep sub-micron technologies},
  school       = {Massachusetts Institute of Technology, Cambridge, MA, {USA}},
  year         = {2002},
  url          = {https://hdl.handle.net/1721.1/29230},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/ndltd/Sotiriadis02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/cicc/SchmitWTMLT02,
  author       = {Herman Schmit and
                  David Whelihan and
                  Andrew Tsai and
                  Matthew Moe and
                  Benjamin A. Levine and
                  R. Reed Taylor},
  title        = {PipeRench: {A} virtualized programmable datapath in 0.18 micron technology},
  booktitle    = {Proceedings of the {IEEE} 2002 Custom Integrated Circuits Conference,
                  {CICC} 2002, Orlando, FL, USA, May 12-15, 2002},
  pages        = {63--66},
  publisher    = {{IEEE}},
  year         = {2002},
  url          = {https://doi.org/10.1109/CICC.2002.1012767},
  doi          = {10.1109/CICC.2002.1012767},
  timestamp    = {Tue, 04 Oct 2022 22:39:17 +0200},
  biburl       = {https://dblp.org/rec/conf/cicc/SchmitWTMLT02.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/GautamRMSUMC99,
  author       = {Avinash K. Gautam and
                  Jagdish C. Rao and
                  Karthikeyan Madathil and
                  Vilesh Shah and
                  H. Udayakumar and
                  Amitabh Menon and
                  Subash Chandar G.},
  title        = {A Design Methodology for a Fully Synthesized High Speed {DSP} Core
                  in a Deep Sub-Micron Technology},
  booktitle    = {Proceedings of the {IEEE} International Conference On Computer Design,
                  {VLSI} in Computers and Processors, {ICCD} '99, Austin, Texas, USA,
                  October 10-13, 1999},
  pages        = {340--347},
  publisher    = {{IEEE} Computer Society},
  year         = {1999},
  url          = {https://doi.org/10.1109/ICCD.1999.808564},
  doi          = {10.1109/ICCD.1999.808564},
  timestamp    = {Thu, 23 Mar 2023 00:00:00 +0100},
  biburl       = {https://dblp.org/rec/conf/iccd/GautamRMSUMC99.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/aspdac/LouSP98,
  author       = {Jinan Lou and
                  Amir H. Salek and
                  Massoud Pedram},
  title        = {An Integrated Flow for Technology Remapping and Placement of Sub-half-micron
                  Circuits},
  booktitle    = {Proceedings of the {ASP-DAC} '98, Asia and South Pacific Design Automation
                  Conference 1998, Pacifico Yokohama, Yokohama, Japan, February 10-13,
                  1998},
  pages        = {295--300},
  publisher    = {{IEEE}},
  year         = {1998},
  url          = {https://doi.org/10.1109/ASPDAC.1998.669472},
  doi          = {10.1109/ASPDAC.1998.669472},
  timestamp    = {Wed, 16 Oct 2019 14:14:52 +0200},
  biburl       = {https://dblp.org/rec/conf/aspdac/LouSP98.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/islped/ChenH97,
  author       = {Kai Chen and
                  Chenming Hu},
  editor       = {Brock Barton and
                  Massoud Pedram and
                  Anantha P. Chandrakasan and
                  Sayfe Kiaei},
  title        = {Device and technology optimizations for low power design in deep sub-micron
                  regime},
  booktitle    = {Proceedings of the 1997 International Symposium on Low Power Electronics
                  and Design, 1997, Monterey, California, USA, August 18-20, 1997},
  pages        = {312--316},
  publisher    = {{ACM}},
  year         = {1997},
  url          = {https://doi.org/10.1145/263272.263363},
  doi          = {10.1145/263272.263363},
  timestamp    = {Mon, 27 Sep 2021 11:47:11 +0200},
  biburl       = {https://dblp.org/rec/conf/islped/ChenH97.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/ndltd/Lenz96,
  author       = {Gadi Lenz},
  title        = {Femtosecond sources at 1.5 microns and their application for time-resolved
                  spectroscopic studies of semiconductor devices},
  school       = {Massachusetts Institute of Technology, Cambridge, MA, {USA}},
  year         = {1996},
  url          = {https://hdl.handle.net/1721.1/40580},
  timestamp    = {Wed, 04 May 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/ndltd/Lenz96.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@phdthesis{DBLP:phd/us/Shahidi89,
  author       = {Ghavam G. Shahidi},
  title        = {Non-stationary transport effects in deep sub-micron channel Si mosfets},
  school       = {Massachusetts Institute of Technology, {USA}},
  year         = {1989},
  url          = {http://hdl.handle.net/1721.1/13831},
  timestamp    = {Fri, 05 Aug 2022 01:00:00 +0200},
  biburl       = {https://dblp.org/rec/phd/us/Shahidi89.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
@inproceedings{DBLP:conf/iccd/BoudonWM89,
  author       = {Gerard Boudon and
                  Frank Wallart and
                  Eric Maillart},
  title        = {Internal ECL-BiCMOS translator circuits in half micron technology},
  booktitle    = {Computer Design: {VLSI} in Computers and Processors, {ICCD} 1989.
                  Proceedings., 1989 {IEEE} International Conference on, Cambridge,
                  MA, USA, October 2-4, 1989},
  pages        = {314--317},
  publisher    = {{IEEE}},
  year         = {1989},
  url          = {https://doi.org/10.1109/ICCD.1989.63379},
  doi          = {10.1109/ICCD.1989.63379},
  timestamp    = {Mon, 09 Aug 2021 14:54:02 +0200},
  biburl       = {https://dblp.org/rec/conf/iccd/BoudonWM89.bib},
  bibsource    = {dblp computer science bibliography, https://dblp.org}
}
a service of  Schloss Dagstuhl - Leibniz Center for Informatics