Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "mar workload"
@article{DBLP:journals/jssc/TangCHBZCLZTWMYYKFCTSZ24, author = {Wei Tang and Sung{-}Gun Cho and Tim Tri Hoang and Jacob Botimer and Wei Qiang Zhu and Ching{-}Chi Chang and Cheng{-}Hsun Lu and Junkang Zhu and Yaoyu Tao and Tianyu Wei and Naomi Kavi Motwani and Mani Yalamanchi and Ramya Yarlagadda and Sirisha Rani Kale and Mark Flanigan and Allen Chan and Thungoc Tran and Sergey Y. Shumarayev and Zhengya Zhang}, title = {Arvon: {A} Heterogeneous System-in-Package Integrating {FPGA} and {DSP} Chiplets for Versatile Workload Acceleration}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1235--1245}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3343457}, doi = {10.1109/JSSC.2023.3343457}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TangCHBZCLZTWMYYKFCTSZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/SunCCAMFNCCWC24, author = {Xiaoyu Sun and Weidong Cao and Brian Crafton and Kerem Akarvardar and Haruki Mori and Hidehiro Fujiwara and Hiroki Noguchi and Yu{-}Der Chih and Meng{-}Fan Chang and Yih Wang and Tsung{-}Yung Jonathan Chang}, title = {Efficient Processing of MLPerf Mobile Workloads Using Digital Compute-In-Memory Macros}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {4}, pages = {1191--1205}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2023.3333290}, doi = {10.1109/TCAD.2023.3333290}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/SunCCAMFNCCWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpgpu/WagleyMCWB24, author = {Benjamin Wagley and Pak Markthub and James Crea and Bo Wu and Mehmet Esat Belviranli}, title = {Exploring Page-based {RDMA} for Irregular {GPU} Workloads. {A} case study on NVMe-backed {GNN} Execution}, booktitle = {16th Workshop on General Purpose Processing Using GPU, {GPGPU} 2024, Edinburgh, United Kingdom, 2 March 2024}, pages = {7--12}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3649411.3649413}, doi = {10.1145/3649411.3649413}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gpgpu/WagleyMCWB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/GiolandoA24, author = {Mark{-}Robin Giolando and Julie A. Adams}, editor = {Dan Grollman and Elizabeth Broadbent and Wendy Ju and Harold Soh and Tom Williams}, title = {Predicting Human Teammate's Workload}, booktitle = {Companion of the 2024 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2024, Boulder, CO, USA, March 11-15, 2024}, pages = {492--496}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3610978.3640700}, doi = {10.1145/3610978.3640700}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/GiolandoA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/ShirakuraYMDO24, author = {Naoki Shirakura and Natsuki Yamanobe and Tsubasa Maruyama and Yukiyasu Domae and Tetsuya Ogata}, editor = {Dan Grollman and Elizabeth Broadbent and Wendy Ju and Harold Soh and Tom Williams}, title = {Work Tempo Instruction Framework for Balancing Human Workload and Productivity in Repetitive Task}, booktitle = {Companion of the 2024 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2024, Boulder, CO, USA, March 11-15, 2024}, pages = {980--984}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3610978.3640756}, doi = {10.1145/3610978.3640756}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hri/ShirakuraYMDO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-12103, author = {Shaikh Quader and Andres Jaramillo and Sumona Mukhopadhyay and Ghadeer Abuoda and Calisto Zuzarte and David Kalmuk and Marin Litoiu and Manos Papagelis}, title = {LearnedWMP: Workload Memory Prediction Using Distribution of Query Templates}, journal = {CoRR}, volume = {abs/2401.12103}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.12103}, doi = {10.48550/ARXIV.2401.12103}, eprinttype = {arXiv}, eprint = {2401.12103}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-12103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-00729, author = {Ahmad Maroof Karimi and Naw Safrin Sattar and Woong Shin and Feiyi Wang}, title = {Profiling and Modeling of Power Characteristics of Leadership-Scale {HPC} System Workloads}, journal = {CoRR}, volume = {abs/2402.00729}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.00729}, doi = {10.48550/ARXIV.2402.00729}, eprinttype = {arXiv}, eprint = {2402.00729}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-00729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06906, author = {Jean V. Alves and Diogo Leit{\~{a}}o and S{\'{e}}rgio M. Jesus and Marco O. P. Sampaio and Javier Li{\'{e}}bana and Pedro Saleiro and M{\'{a}}rio A. T. Figueiredo and Pedro Bizarro}, title = {Cost-Sensitive Learning to Defer to Multiple Experts with Workload Constraints}, journal = {CoRR}, volume = {abs/2403.06906}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06906}, doi = {10.48550/ARXIV.2403.06906}, eprinttype = {arXiv}, eprint = {2403.06906}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06906.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-19042, author = {Marco Barletta and Marcello Cinque and Davide De Vita}, title = {Orchestrating Mixed-Criticality Cloud Workloads in Reconfigurable Manufacturing Systems}, journal = {CoRR}, volume = {abs/2403.19042}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.19042}, doi = {10.48550/ARXIV.2403.19042}, eprinttype = {arXiv}, eprint = {2403.19042}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-19042.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MihaiMCT23, author = {Darius Mihai and Maria{-}Elena Mihailescu and Mihai Carabas and Nicolae Tapus}, title = {Integrated High-Workload Services for E-Learning}, journal = {{IEEE} Access}, volume = {11}, pages = {8441--8454}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3238967}, doi = {10.1109/ACCESS.2023.3238967}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MihaiMCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkPJKK23, author = {Soohyun Park and Chanyoung Park and Soyi Jung and Jae{-}Hyun Kim and Joongheon Kim}, title = {Workload-Aware Scheduling Using Markov Decision Process for Infrastructure-Assisted Learning-Based Multi-UAV Surveillance Networks}, journal = {{IEEE} Access}, volume = {11}, pages = {16533--16548}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3245829}, doi = {10.1109/ACCESS.2023.3245829}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkPJKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmbbeiv/ZhangBMA23, author = {Gesiren Zhang and Jan Bartels and Alejandro Martin{-}Gomez and Mehran Armand}, title = {Towards reducing visual workload in surgical navigation: proof-of-concept of an augmented reality haptic guidance system}, journal = {Comput. methods Biomech. Biomed. Eng. Imaging Vis.}, volume = {11}, number = {4}, pages = {1073--1080}, year = {2023}, url = {https://doi.org/10.1080/21681163.2022.2152372}, doi = {10.1080/21681163.2022.2152372}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmbbeiv/ZhangBMA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MarquesRLBL23, author = {Sandro Matheus V. N. Marques and F{\'{a}}bio Diniz Rossi and Marcelo Caggiani Luizelli and Antonio Carlos Schneider Beck and Arthur Francisco Lorenzon}, title = {Seamless Thermal Optimization of Parallel Workloads}, journal = {{IEEE} Des. Test}, volume = {40}, number = {5}, pages = {34--41}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3286336}, doi = {10.1109/MDAT.2023.3286336}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MarquesRLBL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/PereiraR23, author = {Jordi Pereira and Marcus Ritt}, title = {Exact and heuristic methods for a workload allocation problem with chain precedence constraints}, journal = {Eur. J. Oper. Res.}, volume = {309}, number = {1}, pages = {387--398}, year = {2023}, url = {https://doi.org/10.1016/j.ejor.2022.12.035}, doi = {10.1016/J.EJOR.2022.12.035}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/PereiraR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/SagawaOMLM23, author = {Juliana Keiko Sagawa and Allan Freitas Oliveira and Gasper Music and Martin J. Land and Arthur Sarro Maluf}, title = {Smart workload input-output control of production systems: {A} proof of concept}, journal = {Eur. J. Oper. Res.}, volume = {309}, number = {1}, pages = {286--305}, year = {2023}, url = {https://doi.org/10.1016/j.ejor.2022.12.034}, doi = {10.1016/J.EJOR.2022.12.034}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/SagawaOMLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KonigHB23, author = {Jemma L. K{\"{o}}nig and Annika Hinze and Judy Bowen}, title = {Workload categorization for hazardous industries: The semantic modelling of multi-modal physiological data}, journal = {Future Gener. Comput. Syst.}, volume = {141}, pages = {369--381}, year = {2023}, url = {https://doi.org/10.1016/j.future.2022.11.019}, doi = {10.1016/J.FUTURE.2022.11.019}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/KonigHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/PonsFSGPPH23, author = {Lucia Pons and Josu{\'{e}} Feliu and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Salvador Petit and Julio Pons and Chaoyi Huang}, title = {Cloud White: Detecting and Estimating QoS Degradation of Latency-Critical Workloads in the Public Cloud}, journal = {Future Gener. Comput. Syst.}, volume = {138}, pages = {13--25}, year = {2023}, url = {https://doi.org/10.1016/j.future.2022.08.012}, doi = {10.1016/J.FUTURE.2022.08.012}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/PonsFSGPPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/ZahabiNRPMM23, author = {Maryam Zahabi and Vanessa Nasr and Ashiq Mohammed Abdul Razak and Ben Patranella and Logan McCanless and Azima Maredia}, title = {Effect of Secondary Tasks on Police Officer Cognitive Workload and Performance Under Normal and Pursuit Driving Situations}, journal = {Hum. Factors}, volume = {65}, number = {5}, pages = {809--822}, year = {2023}, url = {https://doi.org/10.1177/00187208211010956}, doi = {10.1177/00187208211010956}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/ZahabiNRPMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcssport/KeysRFM23, author = {Georgia Keys and Lisa Ryan and Maria Faulkner and Michael McCann}, title = {Workload Monitoring Tools in Field-Based Team Sports, the Emerging Technology and Analytics used for Performance and Injury Prediction: {A} Systematic Review}, journal = {Int. J. Comput. Sci. Sport}, volume = {22}, number = {2}, pages = {26--48}, year = {2023}, url = {https://doi.org/10.2478/ijcss-2023-0008}, doi = {10.2478/IJCSS-2023-0008}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcssport/KeysRFM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/XiCGRH23, author = {Nannan Xi and Juan Chen and Filipe Gama and Marc Riar and Juho Hamari}, title = {The challenges of entering the metaverse: An experiment on the effect of extended reality on workload}, journal = {Inf. Syst. Frontiers}, volume = {25}, number = {2}, pages = {659--680}, year = {2023}, url = {https://doi.org/10.1007/s10796-022-10244-x}, doi = {10.1007/S10796-022-10244-X}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/XiCGRH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbd/AvarguesLPGYZWS23, author = {Miguel A. Avargues and Manel Lurbe and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Rui Yang and Xiaoping Zhu and Guanhao Wang and Julio Sahuquillo}, title = {Main memory controller with multiple media technologies for big data workloads}, journal = {J. Big Data}, volume = {10}, number = {1}, pages = {75}, year = {2023}, url = {https://doi.org/10.1186/s40537-023-00761-0}, doi = {10.1186/S40537-023-00761-0}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbd/AvarguesLPGYZWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/UmaM23, author = {V. Uma and Ramalatha Marimuthu}, title = {D-wash - {A} dynamic workload aware adaptive cache coherance protocol for multi-core processor system}, journal = {Microelectron. J.}, volume = {132}, pages = {105675}, year = {2023}, url = {https://doi.org/10.1016/j.mejo.2022.105675}, doi = {10.1016/J.MEJO.2022.105675}, timestamp = {Sat, 25 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/UmaM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/publications/RamirezMontoyaCMR23, author = {Mar{\'{\i}}a Soledad Ram{\'{\i}}rez{-}Montoya and Hector G. Ceballos and Sandra Mart{\'{\i}}nez{-}P{\'{e}}rez and Luis M. Romero{-}Rodriguez}, title = {Impact of Teaching Workload on Scientific Productivity: Multidimensional Analysis in the Complexity of a Mexican Private University}, journal = {Publ.}, volume = {11}, number = {2}, pages = {27}, year = {2023}, url = {https://doi.org/10.3390/publications11020027}, doi = {10.3390/PUBLICATIONS11020027}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/publications/RamirezMontoyaCMR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/NegiWKTMMKA23, author = {Parimarjan Negi and Ziniu Wu and Andreas Kipf and Nesime Tatbul and Ryan Marcus and Sam Madden and Tim Kraska and Mohammad Alizadeh}, title = {Robust Query Driven Cardinality Estimation under Changing Workloads}, journal = {Proc. {VLDB} Endow.}, volume = {16}, number = {6}, pages = {1520--1533}, year = {2023}, url = {https://www.vldb.org/pvldb/vol16/p1520-negi.pdf}, doi = {10.14778/3583140.3583164}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/NegiWKTMMKA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AlvesCKS23, author = {Marcos G. Alves and Genlang Chen and Xi Kang and Guanghui Song}, title = {Reduced {CPU} Workload for Human Pose Detection with the Aid of a Low-Resolution Infrared Array Sensor on Embedded Systems}, journal = {Sensors}, volume = {23}, number = {23}, pages = {9403}, year = {2023}, url = {https://doi.org/10.3390/s23239403}, doi = {10.3390/S23239403}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AlvesCKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MastropietroPMPR23, author = {Alfonso Mastropietro and Ileana Pirovano and Alessio Marciano and Simone Porcelli and Giovanna Rizzo}, title = {Reliability of Mental Workload Index Assessed by {EEG} with Different Electrode Configurations and Signal Pre-Processing Pipelines}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1367}, year = {2023}, url = {https://doi.org/10.3390/s23031367}, doi = {10.3390/S23031367}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MastropietroPMPR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/SchlosserWHN23, author = {Rainer Schlosser and Marcel Weisgut and Leonardo H{\"{u}}bscher and Oliver Nordemann}, title = {Robust Index Selection for Stochastic Dynamic Workloads}, journal = {{SN} Comput. Sci.}, volume = {4}, number = {1}, pages = {59}, year = {2023}, url = {https://doi.org/10.1007/s42979-022-01473-7}, doi = {10.1007/S42979-022-01473-7}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sncs/SchlosserWHN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhangMSLKTGANR23, author = {Tianqi Zhang and Justin Morris and Kenneth Michael Stewart and Hin Wai Lui and Behnam Khaleghi and Anthony Thomas and Thiago Goncalves{-}Marback and Baris Aksanli and Emre O. Neftci and Tajana Rosing}, title = {HyperSpikeASIC: Accelerating Event-Based Workloads With HyperDimensional Computing and Spiking Neural Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {11}, pages = {3997--4010}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2023.3264167}, doi = {10.1109/TCAD.2023.3264167}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ZhangMSLKTGANR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SeguraAG23, author = {Albert Segura and Jos{\'{e}}{-}Mar{\'{\i}}a Arnau and Antonio Gonz{\'{a}}lez}, title = {Irregular accesses reorder unit: improving {GPGPU} memory coalescing for graph-based workloads}, journal = {J. Supercomput.}, volume = {79}, number = {1}, pages = {762--787}, year = {2023}, url = {https://doi.org/10.1007/s11227-022-04621-1}, doi = {10.1007/S11227-022-04621-1}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/SeguraAG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/SaeedALPTCSAAL23, author = {Taqwa Saeed and Sergi Abadal and Christos Liaskos and Andreas Pitsillides and Hamidreza Taghvaee and Albert Cabellos{-}Aparicio and Vassos Soteriou and Eduard Alarc{\'{o}}n and Ian F. Akyildiz and Marios Lestas}, title = {Workload Characterization and Traffic Analysis for Reconfigurable Intelligent Surfaces Within 6G Wireless Systems}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {22}, number = {5}, pages = {3079--3094}, year = {2023}, url = {https://doi.org/10.1109/TMC.2021.3124638}, doi = {10.1109/TMC.2021.3124638}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/SaeedALPTCSAAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/AddyaSGCGD23, author = {Sourav Kanti Addya and Anurag Satpathy and Bishakh Chandra Ghosh and Sandip Chakraborty and Soumya K. Ghosh and Sajal K. Das}, title = {Geo-Distributed Multi-Tier Workload Migration Over Multi-Timescale Electricity Markets}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {16}, number = {5}, pages = {3385--3396}, year = {2023}, url = {https://doi.org/10.1109/TSC.2023.3270921}, doi = {10.1109/TSC.2023.3270921}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsc/AddyaSGCGD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/FilippiniLCJAA23, author = {Federica Filippini and Marco Lattuada and Michele Ciavotta and Arezoo Jahani and Danilo Ardagna and Edoardo Amaldi}, title = {A Path Relinking Method for the Joint Online Scheduling and Capacity Allocation of {DL} Training Workloads in {GPU} as a Service Systems}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {16}, number = {3}, pages = {1630--1646}, year = {2023}, url = {https://doi.org/10.1109/TSC.2022.3188440}, doi = {10.1109/TSC.2022.3188440}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsc/FilippiniLCJAA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/GhammamFAKH23, author = {Anwar Ghammam and Thiago do Nascimento Ferreira and Wajdi Aljedaani and Marouane Kessentini and Ali Husain}, title = {Dynamic Software Containers Workload Balancing via Many-Objective Search}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {16}, number = {4}, pages = {2575--2591}, year = {2023}, url = {https://doi.org/10.1109/TSC.2023.3237755}, doi = {10.1109/TSC.2023.3237755}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsc/GhammamFAKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/SutherlandFD23, author = {Mark Sutherland and Babak Falsafi and Alexandros Daglis}, editor = {Tor M. Aamodt and Natalie D. Enright Jerger and Michael M. Swift}, title = {Cooperative Concurrency Control for Write-Intensive Key-Value Workloads}, booktitle = {Proceedings of the 28th {ACM} International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 1, {ASPLOS} 2023, Vancouver, BC, Canada, March 25-29, 2023}, pages = {30--46}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3567955.3567957}, doi = {10.1145/3567955.3567957}, timestamp = {Thu, 22 Dec 2022 11:43:49 +0100}, biburl = {https://dblp.org/rec/conf/asplos/SutherlandFD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/VerhagenNPVT23, author = {Ruben S. Verhagen and Mark A. Neerincx and Can Parlar and Marin Vogel and Myrthe L. Tielman}, editor = {Noa Agmon and Bo An and Alessandro Ricci and William Yeoh}, title = {Personalized Agent Explanations for Human-Agent Teamwork: Adapting Explanations to User Trust, Workload, and Performance}, booktitle = {Proceedings of the 2023 International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2023, London, United Kingdom, 29 May 2023 - 2 June 2023}, pages = {2316--2318}, publisher = {{ACM}}, year = {2023}, url = {https://dl.acm.org/doi/10.5555/3545946.3598919}, doi = {10.5555/3545946.3598919}, timestamp = {Tue, 23 May 2023 14:27:14 +0200}, biburl = {https://dblp.org/rec/conf/atal/VerhagenNPVT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/automotiveUI/FigalovaPCPC023, author = {Nikol Figalov{\'{a}} and J{\"{u}}rgen Pichen and Vanchha Chandrayan and Olga Pollatos and Lewis L. Chuang and Martin Baumann}, title = {Manipulating Drivers' Mental Workload: Neuroergonomic Evaluation of the Speed Regulation N-Back Task Using {NASA-TLX} and Auditory P3a}, booktitle = {Adjunct Proceedings of the 15th International Conference on Automotive User Interfaces and Interactive Vehicular Applications, AutomotiveUI 2023, Ingolstadt, Germany, September 18-22, 2023}, pages = {145--149}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581961.3609887}, doi = {10.1145/3581961.3609887}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/automotiveUI/FigalovaPCPC023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/btw/BurrellCZZM23, author = {David Burrell and Xenofon Chatziliadis and Eleni Tzirita Zacharatou and Steffen Zeuch and Volker Markl}, editor = {Birgitta K{\"{o}}nig{-}Ries and Stefanie Scherzinger and Wolfgang Lehner and Gottfried Vossen}, title = {Workload Prediction for IoT Data Management Systems}, booktitle = {Datenbanksysteme f{\"{u}}r Business, Technologie und Web {(BTW} 2023), 20. Fachtagung des GI-Fachbereichs ,,Datenbanken und Informationssysteme" (DBIS), 06.-10, M{\"{a}}rz 2023, Dresden, Germany, Proceedings}, series = {{LNI}}, volume = {{P-331}}, pages = {943--950}, publisher = {Gesellschaft f{\"{u}}r Informatik e.V.}, year = {2023}, url = {https://doi.org/10.18420/BTW2023-64}, doi = {10.18420/BTW2023-64}, timestamp = {Tue, 04 Jul 2023 17:43:13 +0200}, biburl = {https://dblp.org/rec/conf/btw/BurrellCZZM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/btw/HurdelheyW023, author = {Ben Hurdelhey and Marcel Weisgut and Martin Boissier}, editor = {Birgitta K{\"{o}}nig{-}Ries and Stefanie Scherzinger and Wolfgang Lehner and Gottfried Vossen}, title = {Workload-Driven Data Placement for Tierless In-Memory Database Systems}, booktitle = {Datenbanksysteme f{\"{u}}r Business, Technologie und Web {(BTW} 2023), 20. Fachtagung des GI-Fachbereichs ,,Datenbanken und Informationssysteme" (DBIS), 06.-10, M{\"{a}}rz 2023, Dresden, Germany, Proceedings}, series = {{LNI}}, volume = {{P-331}}, pages = {47--70}, publisher = {Gesellschaft f{\"{u}}r Informatik e.V.}, year = {2023}, url = {https://doi.org/10.18420/BTW2023-02}, doi = {10.18420/BTW2023-02}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/btw/HurdelheyW023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/btw/LutschSMMB23, author = {Adrian Lutsch and Gagandeep Singh and Martin Mundt and Ragnar Mogk and Carsten Binnig}, editor = {Birgitta K{\"{o}}nig{-}Ries and Stefanie Scherzinger and Wolfgang Lehner and Gottfried Vossen}, title = {Benchmarking the Second Generation of Intel {SGX} for Machine Learning Workloads}, booktitle = {Datenbanksysteme f{\"{u}}r Business, Technologie und Web {(BTW} 2023), 20. Fachtagung des GI-Fachbereichs ,,Datenbanken und Informationssysteme" (DBIS), 06.-10, M{\"{a}}rz 2023, Dresden, Germany, Proceedings}, series = {{LNI}}, volume = {{P-331}}, pages = {711--717}, publisher = {Gesellschaft f{\"{u}}r Informatik e.V.}, year = {2023}, url = {https://doi.org/10.18420/BTW2023-44}, doi = {10.18420/BTW2023-44}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/btw/LutschSMMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/btw/WellenzohnBHR23, author = {Kevin Wellenzohn and Michael H. B{\"{o}}hlen and Sven Helmer and Marcel Reutegger}, editor = {Birgitta K{\"{o}}nig{-}Ries and Stefanie Scherzinger and Wolfgang Lehner and Gottfried Vossen}, title = {Workload-Aware Contention-Management in Indexes for Hierarchical Data}, booktitle = {Datenbanksysteme f{\"{u}}r Business, Technologie und Web {(BTW} 2023), 20. Fachtagung des GI-Fachbereichs ,,Datenbanken und Informationssysteme" (DBIS), 06.-10, M{\"{a}}rz 2023, Dresden, Germany, Proceedings}, series = {{LNI}}, volume = {{P-331}}, pages = {71--92}, publisher = {Gesellschaft f{\"{u}}r Informatik e.V.}, year = {2023}, url = {https://doi.org/10.18420/BTW2023-03}, doi = {10.18420/BTW2023-03}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/btw/WellenzohnBHR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloud/JoosenHASDWB23, author = {Artjom Joosen and Ahmed Hassan and Martin Asenov and Rajkarn Singh and Luke Nicholas Darlow and Jianfeng Wang and Adam Barker}, title = {How Does It Function?: Characterizing Long-term Trends in Production Serverless Workloads}, booktitle = {Proceedings of the 2023 {ACM} Symposium on Cloud Computing, SoCC 2023, Santa Cruz, CA, USA, 30 October 2023 - 1 November 2023}, pages = {443--458}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3620678.3624783}, doi = {10.1145/3620678.3624783}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloud/JoosenHASDWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ShenZWLDWCG23, author = {Guan Shen and Jieru Zhao and Zeke Wang and Zhe Lin and Wenchao Ding and Chentao Wu and Quan Chen and Minyi Guo}, title = {{MARS:} Exploiting Multi-Level Parallelism for {DNN} Workloads on Adaptive Multi-Accelerator Systems}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247992}, doi = {10.1109/DAC56929.2023.10247992}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/ShenZWLDWCG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-avm/JagannathanPVDM23, author = {Shyam Jagannathan and Vijay Pothukuchi and Jesse Villarreal and Kumar Desappan and Manu Mathew and Rahul Ravikumar and Aniket Limaye and Mihir Mody and Pramod Swami and Piyali Goswami and Carlos Rodriguez and Emmanuel Madrigal and Marco Herrera}, title = {OpTIFlow - An optimized end-to-end dataflow for accelerating deep learning workloads on heterogeneous SoCs}, booktitle = {Autonomous Vehicles and Machines 2023, San Francisco, CA, USA, January 15-19, 2023}, pages = {113--1}, publisher = {Society for Imaging Science and Technology}, year = {2023}, url = {https://doi.org/10.2352/EI.2023.35.16.AVM-113}, doi = {10.2352/EI.2023.35.16.AVM-113}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-avm/JagannathanPVDM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/CanonLPPR23, author = {Louis{-}Claude Canon and Damien Landr{\'{e}} and Laurent Philippe and Jean{-}Marc Pierson and Paul Renaud{-}Goud}, editor = {Jos{\'{e}} Cano and Marios D. Dikaiakos and George A. Papadopoulos and Miquel Peric{\`{a}}s and Rizos Sakellariou}, title = {Assessing Power Needs to Run a Workload with Quality of Service on Green Datacenters}, booktitle = {Euro-Par 2023: Parallel Processing - 29th International Conference on Parallel and Distributed Computing, Limassol, Cyprus, August 28 - September 1, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14100}, pages = {229--242}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-39698-4\_16}, doi = {10.1007/978-3-031-39698-4\_16}, timestamp = {Tue, 12 Sep 2023 07:57:22 +0200}, biburl = {https://dblp.org/rec/conf/europar/CanonLPPR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/BoumannHBCS23, author = {Hilke Boumann and Anneke Hamann and Marcus Biella and Nils Carstengerdes and Stefan Sammito}, editor = {Don Harris and Wen{-}Chin Li}, title = {Suitability of Physiological, Self-report and Behavioral Measures for Assessing Mental Workload in Pilots}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 20th International Conference, {EPCE} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14017}, pages = {3--20}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35392-5\_1}, doi = {10.1007/978-3-031-35392-5\_1}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/BoumannHBCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iait/JiangMC23, author = {Haoyan Jiang and Sachi Mizobuchi and Mark H. Chignell}, title = {Scenario Fidelity and Perceived Driver Mental Workload: Can Workload Assessment be Crowdsourced?}, booktitle = {Proceedings of the 13th International Conference on Advances in Information Technology, {IAIT} 2023, Bangkok, Thailand, December 6-9, 2023}, pages = {4:1--4:6}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3628454.3628458}, doi = {10.1145/3628454.3628458}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iait/JiangMC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/BonczCFHL0NSSZ23, author = {Peter A. Boncz and Yannis Chronis and Jan Finis and Stefan Halfpap and Viktor Leis and Thomas Neumann and Anisoara Nica and Caetano Sauer and Knut Stolze and Marcin Zukowski}, title = {{SPA:} Economical and Workload-Driven Indexing for Data Analytics in the Cloud}, booktitle = {39th {IEEE} International Conference on Data Engineering, {ICDE} 2023, Anaheim, CA, USA, April 3-7, 2023}, pages = {3740--3746}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDE55515.2023.00302}, doi = {10.1109/ICDE55515.2023.00302}, timestamp = {Thu, 27 Jul 2023 14:59:05 +0200}, biburl = {https://dblp.org/rec/conf/icde/BonczCFHL0NSSZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/EizaguirreA23, author = {Germ{\'{a}}n T. Eizaguirre and Marc S{\'{a}}nchez Artigas}, title = {Is Performance of Object Storage Predictable for Serverless {I/O} Workloads? {A} Comparative Study}, booktitle = {31st {IEEE} International Conference on Network Protocols, {ICNP} 2023, Reykjavik, Iceland, October 10-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICNP59255.2023.10355617}, doi = {10.1109/ICNP59255.2023.10355617}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnp/EizaguirreA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icslt/FaccioGM23, author = {Maurizio Faccio and Irene Granata and Leonardo Maretto}, title = {The impact of augmented reality on learning curves and mental workload: a preliminary experimental study}, booktitle = {Proceedings of the 2023 9th International Conference on e-Society, e-Learning and e-Technologies, {ICSLT} 2023, Portsmouth, United Kingdom, June 9-11, 2023}, pages = {35--39}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3613944.3613950}, doi = {10.1145/3613944.3613950}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icslt/FaccioGM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsoft/GuervosGC23, author = {Juan Juli{\'{a}}n Merelo Guerv{\'{o}}s and Mario Garc{\'{\i}}a{-}Valdez and Pedro A. Castillo}, editor = {Hans{-}Georg Fill and Francisco Jos{\'{e}} Dom{\'{\i}}nguez Mayo and Marten van Sinderen and Leszek A. Maciaszek}, title = {An Analysis of Energy Consumption of JavaScript Interpreters with Evolutionary Algorithm Workloads}, booktitle = {Proceedings of the 18th International Conference on Software Technologies, {ICSOFT} 2023, Rome, Italy, July 10-12, 2023}, pages = {175--184}, publisher = {{SCITEPRESS}}, year = {2023}, url = {https://doi.org/10.5220/0012128100003538}, doi = {10.5220/0012128100003538}, timestamp = {Mon, 31 Jul 2023 15:39:29 +0200}, biburl = {https://dblp.org/rec/conf/icsoft/GuervosGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/SalehPCHPL23, author = {Majd Saleh and St{\'{e}}phane Paquelet and Pierre Castel and Marc Hoarau and Nico Pallamin and Daniel Lewkowicz}, title = {An Efficient Deep-Learning-Based Solution for the Recognition of Relative Changes in Mental Workload Using Wearable Sensors}, booktitle = {2023 {IEEE} SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SENSORS56945.2023.10324874}, doi = {10.1109/SENSORS56945.2023.10324874}, timestamp = {Thu, 14 Dec 2023 11:20:49 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/SalehPCHPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/SaupeGT23, author = {Jonas Saupe and Mario Gn{\"{a}}gi and Norbert Trautmann}, title = {Workload-based Extensions of Mixed-integer Programming Models for Resource-constrained Project Scheduling}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2023, Singapore, December 18-21, 2023}, pages = {645--649}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IEEM58616.2023.10406963}, doi = {10.1109/IEEM58616.2023.10406963}, timestamp = {Mon, 29 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieem/SaupeGT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/GomesH23, author = {Cesar Gomes and Mark Hempstead}, title = {CInC: Workload Characterization In Context of Resource Contention}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2023, Ghent, Belgium, October 1-3, 2023}, pages = {201--205}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IISWC59245.2023.00035}, doi = {10.1109/IISWC59245.2023.00035}, timestamp = {Sat, 11 Nov 2023 13:55:39 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/GomesH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/IoveneCFPRFM23, author = {Elisa Iovene and Alessandro Casella and Junling Fu and Federico Pessina and Marco Riva and Giancarlo Ferrigno and Elena De Momi}, title = {Reducing Workload During Brain Surgery with Robot-Assisted Autonomous Exoscope}, booktitle = {{IROS}}, pages = {4615--4620}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341799}, doi = {10.1109/IROS55552.2023.10341799}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/IoveneCFPRFM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ism/DasWSS23, author = {Sanghamitra Das and Mario Wolf and Philippe Schmidt and Heinrich S{\"{o}}bke}, title = {Mental Workload in Augmented Reality-based Urban Planning Education}, booktitle = {{IEEE} International Symposium on Multimedia, {ISM} 2023, Laguna Hills, CA, USA, December 11-13, 2023}, pages = {303--308}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISM59092.2023.00058}, doi = {10.1109/ISM59092.2023.00058}, timestamp = {Mon, 08 Apr 2024 20:48:43 +0200}, biburl = {https://dblp.org/rec/conf/ism/DasWSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iti2/PleskachKKT23, author = {Mariia Pleskach and Oksana Karpenko and Olha Kravchenko and Iryna Tarnovska}, editor = {Anatoly Anisimov and Vitaliy Snytyuk and Aldrich Chris and Andreas Pester and Fr{\'{e}}d{\'{e}}ric Mallet and Hiroshi Tanaka and Iurii Krak and Karsten Henke and Oleg Chertov and Oleksandr Marchenko and S{\'{a}}ndor Boz{\'{o}}ki and Vitaliy Tsyganok and Vladimir Vovk}, title = {Information System "Workload Assignment at a University Department"}, booktitle = {Selected Papers of the {X} International Scientific Conference "Information Technology and Implementation" (IT{\&}I-2023). Workshop Proceedings, Kyiv, Ukraine, November 20-21, 2023}, series = {{CEUR} Workshop Proceedings}, volume = {3646}, pages = {86--95}, publisher = {CEUR-WS.org}, year = {2023}, url = {https://ceur-ws.org/Vol-3646/Paper\_9.pdf}, timestamp = {Thu, 11 Apr 2024 17:00:52 +0200}, biburl = {https://dblp.org/rec/conf/iti2/PleskachKKT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwbbio/DiasKRREAGZ23, author = {Roger D. Dias and Lauren R. Kennedy{-}Metz and Srey Rithy and Geoffrey Rance and Mahdi Ebnali and David Arney and Matthew C. Gombolay and Marco A. Zenati}, editor = {Ignacio Rojas and Olga Valenzuela and Fernando Rojas Ruiz and Luis Javier Herrera and Francisco M. Ortu{\~{n}}o}, title = {Using Digital Biomarkers for Objective Assessment of Perfusionists' Workload and Acute Stress During Cardiac Surgery}, booktitle = {Bioinformatics and Biomedical Engineering - 10th International Work-Conference, {IWBBIO} 2023, Meloneras, Gran Canaria, Spain, July 12-14, 2023 Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13919}, pages = {443--454}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-34953-9\_35}, doi = {10.1007/978-3-031-34953-9\_35}, timestamp = {Tue, 26 Dec 2023 17:13:20 +0100}, biburl = {https://dblp.org/rec/conf/iwbbio/DiasKRREAGZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwmm/Carpen-AmarieVT23, author = {Maria Carpen{-}Amarie and Georgios Vavouliotis and Konstantinos Tovletoglou and Boris Grot and Ren{\'{e}} M{\"{u}}ller}, editor = {Stephen M. Blackburn and Erez Petrank}, title = {Concurrent GCs and Modern Java Workloads: {A} Cache Perspective}, booktitle = {Proceedings of the 2023 {ACM} {SIGPLAN} International Symposium on Memory Management, {ISMM} 2023, Orlando, FL, USA, 18 June 2023}, pages = {71--84}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3591195.3595269}, doi = {10.1145/3591195.3595269}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwmm/Carpen-AmarieVT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/DjebrouniRBCFMS23, author = {Yasmine Djebrouni and Isabelly Rocha and Sara Bouchenak and Lydia Y. Chen and Pascal Felber and Vania Marangozova and Valerio Schiavoni}, title = {Characterizing Distributed Machine Learning Workloads on Apache Spark: (Experimentation and Deployment Paper)}, booktitle = {Proceedings of the 24th International Middleware Conference, Middleware 2023, Bologna, Italy, December 11-15, 2023}, pages = {151--164}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3590140.3629112}, doi = {10.1145/3590140.3629112}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/middleware/DjebrouniRBCFMS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mum/ColleySFR23, author = {Mark Colley and Annika Stampf and William Fischer and Enrico Rukzio}, editor = {Florian Michahelles and Pascal Knierim and Jonna H{\"{a}}kkil{\"{a}}}, title = {Effects of 3D Displays on Mental Workload, Situation Awareness, Trust, and Performance Assessment in Automated Vehicles}, booktitle = {Proceedings of the 22nd International Conference on Mobile and Ubiquitous Multimedia, {MUM} 2023, Vienna, Austria, December 3-6, 2023}, pages = {128--138}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3626705.3627786}, doi = {10.1145/3626705.3627786}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mum/ColleySFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mum/FenoglioGL23, author = {Dario Fenoglio and Martin Gjoreski and Marc Langheinrich}, editor = {Florian Michahelles and Pascal Knierim and Jonna H{\"{a}}kkil{\"{a}}}, title = {A Federated Unsupervised Personalisation for Cognitive Workload Estimation}, booktitle = {Proceedings of the 22nd International Conference on Mobile and Ubiquitous Multimedia, {MUM} 2023, Vienna, Austria, December 3-6, 2023}, pages = {520--522}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3626705.3631796}, doi = {10.1145/3626705.3631796}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mum/FenoglioGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mum/FenoglioJGFGGL23, author = {Dario Fenoglio and Daniel Josifovski and Alessandro Gobbetti and Mattias Formo and Hristijan Gjoreski and Martin Gjoreski and Marc Langheinrich}, editor = {Florian Michahelles and Pascal Knierim and Jonna H{\"{a}}kkil{\"{a}}}, title = {Federated Learning for Privacy-aware Cognitive Workload Estimation}, booktitle = {Proceedings of the 22nd International Conference on Mobile and Ubiquitous Multimedia, {MUM} 2023, Vienna, Austria, December 3-6, 2023}, pages = {19--30}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3626705.3627783}, doi = {10.1145/3626705.3627783}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mum/FenoglioJGFGGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/SudvargBCGBC23, author = {Marion Sudvarg and Jeremy Buhler and Roger D. Chamberlain and Christopher D. Gill and James H. Buckley and Wenlei Chen}, title = {Parameterized Workload Adaptation for Fork-Join Tasks with Dynamic Workloads and Deadlines}, booktitle = {29th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2023, Niigata, Japan, August 30 - Sept. 1, 2023}, pages = {232--242}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/RTCSA58653.2023.00035}, doi = {10.1109/RTCSA58653.2023.00035}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtcsa/SudvargBCGBC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/KunasRLCNL23, author = {Cristiano A. K{\"{u}}nas and F{\'{a}}bio D. Rossi and Marcelo Caggiani Luizelli and Rodrigo N. Calheiros and Philippe O. A. Navaux and Arthur Francisco Lorenzon}, title = {NeurOPar, {A} Neural Network-Driven {EDP} Optimization Strategy for Parallel Workloads}, booktitle = {35th {IEEE} International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2023, Porto Alegre, Brazil, October 17-20, 2023}, pages = {170--180}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SBAC-PAD59825.2023.00026}, doi = {10.1109/SBAC-PAD59825.2023.00026}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbac-pad/KunasRLCNL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/MunhozBCM23, author = {Vanderlei Munhoz and Antoine Bonfils and M{\'{a}}rcio Castro and Odorico M. Mendizabal}, title = {A Performance Comparison of {HPC} Workloads on Traditional and Cloud-Based {HPC} Clusters}, booktitle = {International Symposium on Computer Architecture and High Performance Computing Workshops , {SBAC-PADW} 2023, Porto Alegre, Brazil, October 17-20, 2023}, pages = {108--114}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SBAC-PADW60351.2023.00026}, doi = {10.1109/SBAC-PADW60351.2023.00026}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/MunhozBCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/BestaGFPBEMCMNM23, author = {Maciej Besta and Robert Gerstenberger and Marc Fischer and Michal Podstawski and Nils Blach and Berke Egeli and George Mitenkov and Wojciech Chlapek and Marek T. Michalewicz and Hubert Niewiadomski and J{\"{u}}rgen M{\"{u}}ller and Torsten Hoefler}, editor = {Dorian Arnold and Rosa M. Badia and Kathryn M. Mohror}, title = {The Graph Database Interface: Scaling Online Transactional and Analytical Graph Workloads to Hundreds of Thousands of Cores}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2023, Denver, CO, USA, November 12-17, 2023}, pages = {22:1--22:18}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581784.3607068}, doi = {10.1145/3581784.3607068}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/BestaGFPBEMCMNM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/SaxenaRCLCCMKPN23, author = {Gaurav Saxena and Mohammad Rahman and Naresh Chainani and Chunbin Lin and George Caragea and Fahim Chowdhury and Ryan Marcus and Tim Kraska and Ippokratis Pandis and Balakrishnan (Murali) Narayanaswamy}, editor = {Sudipto Das and Ippokratis Pandis and K. Sel{\c{c}}uk Candan and Sihem Amer{-}Yahia}, title = {Auto-WLM: Machine Learning Enhanced Workload Management in Amazon Redshift}, booktitle = {Companion of the 2023 International Conference on Management of Data, {SIGMOD/PODS} 2023, Seattle, WA, USA, June 18-23, 2023}, pages = {225--237}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555041.3589677}, doi = {10.1145/3555041.3589677}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/SaxenaRCLCCMKPN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ParkMDBDLRHKZ23, author = {Junho Park and Austin Music and Daniel Delgado and Joseph Berman and Albert Dodson and Yunmei Liu and Jaime Ruiz and He Huang and David Kaber and Maryam Zahabi}, title = {Cognitive Workload and Usability of Virtual Reality Simulation for Prosthesis Training}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2023, Honolulu, Oahu, HI, USA, October 1-4, 2023}, pages = {1567--1572}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SMC53992.2023.10394286}, doi = {10.1109/SMC53992.2023.10394286}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ParkMDBDLRHKZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/softcom/MarinoRB23, author = {Jacopo Marino and Fulvio Risso and Mauro Bighi}, title = {Dynamic Optimization of Provider-Based Scheduling for {HPC} Workloads}, booktitle = {International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2023, Split, Croatia, September 21-23, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/SoftCOM58365.2023.10271608}, doi = {10.23919/SOFTCOM58365.2023.10271608}, timestamp = {Tue, 24 Oct 2023 14:47:31 +0200}, biburl = {https://dblp.org/rec/conf/softcom/MarinoRB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/ChazapisNMB23, author = {Antony Chazapis and Fotis Nikolaidis and Manolis Marazakis and Angelos Bilas}, editor = {Amanda Bienz and Mich{\`{e}}le Weiland and Marc Baboulin and Carola Kruse}, title = {Running Kubernetes Workloads on {HPC}}, booktitle = {High Performance Computing - {ISC} High Performance 2023 International Workshops, Hamburg, Germany, May 21-25, 2023, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13999}, pages = {181--192}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-40843-4\_14}, doi = {10.1007/978-3-031-40843-4\_14}, timestamp = {Wed, 30 Aug 2023 14:45:01 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/ChazapisNMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/TangCHBZCLZTWMY23, author = {Wei Tang and Sung{-}Gun Cho and Tim Tri Hoang and Jacob Botimer and Wei Qiang Zhu and Ching{-}Chi Chang and Cheng{-}Hsun Lu and Junkang Zhu and Yaoyu Tao and Tianyu Wei and Naomi Kavi Motwani and Mani Yalamanchi and Ramya Yarlagadda and Sirisha Kale and Mark Flannigan and Allen Chan and Thungoc Tran and Sergey Y. Shumarayev and Zhengya Zhang}, title = {Arvon: {A} Heterogeneous SiP Integrating a 14nm {FPGA} and Two 22nm 1.8TFLOPS/W DSPs with 1.7Tbps/mm\({}^{\mbox{2}}\) {AIB} 2.0 Interface to Provide Versatile Workload Acceleration}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185388}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185388}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/TangCHBZCLZTWMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-07307, author = {Soohyun Park and Chanyoung Park and Soyi Jung and Jae{-}Hyun Kim and Joongheon Kim}, title = {Workload-Aware Scheduling using Markov Decision Process for Infrastructure-Assisted Learning-Based Multi-UAV Surveillance Networks}, journal = {CoRR}, volume = {abs/2301.07307}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.07307}, doi = {10.48550/ARXIV.2301.07307}, eprinttype = {arXiv}, eprint = {2301.07307}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-07307.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15053, author = {Eric Wulff and Maria Girone and David Southwick and Juan Pablo Garc{\'{\i}}a Amboage and Eduard Cuba}, title = {Hyperparameter optimization, quantum-assisted model performance prediction, and benchmarking of AI-based High Energy Physics workloads using {HPC}}, journal = {CoRR}, volume = {abs/2303.15053}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15053}, doi = {10.48550/ARXIV.2303.15053}, eprinttype = {arXiv}, eprint = {2303.15053}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15053.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06696, author = {Alexandre Valentin Jamet and Lluc Alvarez and Marc Casas}, title = {Characterizing the impact of last-level cache replacement policies on big-data workloads}, journal = {CoRR}, volume = {abs/2305.06696}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06696}, doi = {10.48550/ARXIV.2305.06696}, eprinttype = {arXiv}, eprint = {2305.06696}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06696.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-12234, author = {Guan Shen and Jieru Zhao and Zeke Wang and Zhe Lin and Wenchao Ding and Chentao Wu and Quan Chen and Minyi Guo}, title = {{MARS:} Exploiting Multi-Level Parallelism for {DNN} Workloads on Adaptive Multi-Accelerator Systems}, journal = {CoRR}, volume = {abs/2307.12234}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.12234}, doi = {10.48550/ARXIV.2307.12234}, eprinttype = {arXiv}, eprint = {2307.12234}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-12234.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-09619, author = {Evy van Weelden and Carl W. E. van Beek and Maryam Alimardani and Travis J. Wiltshire and Wietse D. Ledegang and Eric L. Groen and Max M. Louwerse}, title = {Differentiating Workload using Pilot's Stick Input in a Virtual Reality Flight Task}, journal = {CoRR}, volume = {abs/2309.09619}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.09619}, doi = {10.48550/ARXIV.2309.09619}, eprinttype = {arXiv}, eprint = {2309.09619}, timestamp = {Fri, 22 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-09619.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10127, author = {Artjom Joosen and Ahmed Hassan and Martin Asenov and Rajkarn Singh and Luke Nicholas Darlow and Jianfeng Wang and Adam Barker}, title = {How Does It Function? Characterizing Long-term Trends in Production Serverless Workloads}, journal = {CoRR}, volume = {abs/2312.10127}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10127}, doi = {10.48550/ARXIV.2312.10127}, eprinttype = {arXiv}, eprint = {2312.10127}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10127.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Hildebrand22, author = {Mark Hildebrand}, title = {A Framework for Managing Heterogeneous Memory for Large Scale Machine Learning Workloads}, school = {University of California, Davis, {USA}}, year = {2022}, url = {https://www.escholarship.org/uc/item/7k32s3tv}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Hildebrand22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AbadaSS22a, author = {Ahmed Abada and Marc St{-}Hilaire and Wei Shi}, title = {Rebate Auction Mechanisms for Bidirectional Grid Balancing Using Cloud Workload Migrations}, journal = {{IEEE} Access}, volume = {10}, pages = {78910--78927}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3192038}, doi = {10.1109/ACCESS.2022.3192038}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AbadaSS22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AbuibaidGSYYS22, author = {Mohammed A. Abuibaid and Amirhossein Ghorab and Aidan Seguin{-}Mcpeake and Owen Yuen and Thomas Yungblut and Marc St{-}Hilaire}, title = {Edge Workloads Monitoring and Failover: a StarlingX-Based Testbed Implementation and Measurement Study}, journal = {{IEEE} Access}, volume = {10}, pages = {97101--97116}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3204976}, doi = {10.1109/ACCESS.2022.3204976}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AbuibaidGSYYS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RodriguezSHJL22, author = {Catherine S. Rodriguez and Melissa N. Stolar and Simon G. Hosking and Dawei Jia and Margaret Lech}, title = {Real-Time Team Performance and Workload Prediction From Voice Communications}, journal = {{IEEE} Access}, volume = {10}, pages = {78484--78492}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3193694}, doi = {10.1109/ACCESS.2022.3193694}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/RodriguezSHJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/FiratMMG22, author = {Murat Firat and Julie De Meyere and Tugce G. Martagan and Laura Genga}, title = {Optimizing the workload of production units of a make-to-order manufacturing system}, journal = {Comput. Oper. Res.}, volume = {138}, pages = {105530}, year = {2022}, url = {https://doi.org/10.1016/j.cor.2021.105530}, doi = {10.1016/J.COR.2021.105530}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cor/FiratMMG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/SunDJD22, author = {Yu Sun and Yi Ding and Junyi Jiang and Vincent G. Duffy}, title = {Measuring Mental Workload Using ERPs Based on FIR, ICA, and {MARA}}, journal = {Comput. Syst. Sci. Eng.}, volume = {41}, number = {2}, pages = {781--794}, year = {2022}, url = {https://doi.org/10.32604/csse.2022.016387}, doi = {10.32604/CSSE.2022.016387}, timestamp = {Mon, 12 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csse/SunDJD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/KanaanM22, author = {Dina Kanaan and Nadine Marie Moacdieh}, title = {Eye Tracking to Evaluate the Effects of Interruptions and Workload in a Complex Task}, journal = {Hum. Factors}, volume = {64}, number = {7}, pages = {1168--1180}, year = {2022}, url = {https://doi.org/10.1177/0018720821990487}, doi = {10.1177/0018720821990487}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hf/KanaanM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/FernandesTS22, author = {Nuno O. Fernandes and Matthias Th{\"{u}}rer and Mark Stevenson}, title = {Direct Workload Control: simplifying continuous order release}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {4}, pages = {1424--1437}, year = {2022}, url = {https://doi.org/10.1080/00207543.2020.1857451}, doi = {10.1080/00207543.2020.1857451}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/FernandesTS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnsm/TorquatoMV22, author = {Matheus Torquato and Paulo R. M. Maciel and Marco Vieira}, title = {Model-Based Performability and Dependability Evaluation of a System with {VM} Migration as Rejuvenation in the Presence of Bursty Workloads}, journal = {J. Netw. Syst. Manag.}, volume = {30}, number = {1}, pages = {3}, year = {2022}, url = {https://doi.org/10.1007/s10922-021-09619-3}, doi = {10.1007/S10922-021-09619-3}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnsm/TorquatoMV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeASZKVCFGCMOL22, author = {Sae Kyu Lee and Ankur Agrawal and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matthew Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and Monodeep Kar and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Kailash Gopalakrishnan and Leland Chang}, title = {A 7-nm Four-Core Mixed-Precision {AI} Chip With 26.2-TFLOPS Hybrid-FP8 Training, 104.9-TOPS {INT4} Inference, and Workload-Aware Throttling}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {182--197}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3120113}, doi = {10.1109/JSSC.2021.3120113}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeASZKVCFGCMOL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mti/FigalovaCPBP22, author = {Nikol Figalov{\'{a}} and Lewis L. Chuang and J{\"{u}}rgen Pichen and Martin Baumann and Olga Pollatos}, title = {Ambient Light Conveying Reliability Improves Drivers' Takeover Performance without Increasing Mental Workload}, journal = {Multimodal Technol. Interact.}, volume = {6}, number = {9}, pages = {73}, year = {2022}, url = {https://doi.org/10.3390/mti6090073}, doi = {10.3390/MTI6090073}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mti/FigalovaCPBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/KarimiPW22, author = {Ahmad Maroof Karimi and Arnab K. Paul and Feiyi Wang}, title = {{I/O} performance analysis of machine learning workloads on leadership scale supercomputer}, journal = {Perform. Evaluation}, volume = {157-158}, pages = {102318}, year = {2022}, url = {https://doi.org/10.1016/j.peva.2022.102318}, doi = {10.1016/J.PEVA.2022.102318}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/KarimiPW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/CaoGZ22, author = {Jun Cao and Enara Martin Garro and Yifan Zhao}, title = {EEG/fNIRS Based Workload Classification Using Functional Brain Connectivity and Machine Learning}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7623}, year = {2022}, url = {https://doi.org/10.3390/s22197623}, doi = {10.3390/S22197623}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/CaoGZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/ConlonM22, author = {Mary Conlon and Owen Molloy}, title = {Learning to See: Using Mixed or Methods to Model Radiology Staff Workload and Support Decision Making in {CT}}, journal = {{SN} Comput. Sci.}, volume = {3}, number = {5}, pages = {361}, year = {2022}, url = {https://doi.org/10.1007/s42979-022-01244-4}, doi = {10.1007/S42979-022-01244-4}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sncs/ConlonM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FeliuNSPQE22, author = {Josu{\'{e}} Feliu and Ajeya Naithani and Julio Sahuquillo and Salvador Petit and Moinuddin K. Qureshi and Lieven Eeckhout}, title = {{VMT:} Virtualized Multi-Threading for Accelerating Graph Workloads on Commodity Processors}, journal = {{IEEE} Trans. Computers}, volume = {71}, number = {6}, pages = {1386--1398}, year = {2022}, url = {https://doi.org/10.1109/TC.2021.3086069}, doi = {10.1109/TC.2021.3086069}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FeliuNSPQE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MayahiniaTKZCMP22, author = {Mahta Mayahinia and Mehdi B. Tahoori and Manu Perumkunnil Komalan and Houman Zahedmanesh and Kristof Croes and Tommaso Marinelli and Jos{\'{e}} Ignacio G{\'{o}}mez P{\'{e}}rez and Timon Evenblij and Gouri Sankar Kar and Francky Catthoor}, title = {Time-Dependent Electromigration Modeling for Workload-Aware Design-Space Exploration in {STT-MRAM}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {12}, pages = {5327--5332}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3158249}, doi = {10.1109/TCAD.2022.3158249}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/MayahiniaTKZCMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/KimWQH22, author = {In Kee Kim and Wei Wang and Yanjun Qi and Marty Humphrey}, title = {Forecasting Cloud Application Workloads With CloudInsight for Predictive Resource Management}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {10}, number = {3}, pages = {1848--1863}, year = {2022}, url = {https://doi.org/10.1109/TCC.2020.2998017}, doi = {10.1109/TCC.2020.2998017}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcc/KimWQH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/te/LucasMPPR22, author = {Luis C. Herrero{-}de Lucas and Fernando Mart{\'{\i}}nez{-}Rodrigo and Santiago De Pablo and Dionisio Ram{\'{\i}}rez Prieto and Alexis B. Rey{-}Bou{\'{e}}}, title = {Procedure for the Determination of the Student Workload and the Learning Environment Created in the Power Electronics Course Taught Through Project-Based Learning}, journal = {{IEEE} Trans. Educ.}, volume = {65}, number = {3}, pages = {428--439}, year = {2022}, url = {https://doi.org/10.1109/TE.2021.3126694}, doi = {10.1109/TE.2021.3126694}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/te/LucasMPPR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thms/ParkZ22, author = {Junho Park and Maryam Zahabi}, title = {Cognitive Workload Assessment of Prosthetic Devices: {A} Review of Literature and Meta-Analysis}, journal = {{IEEE} Trans. Hum. Mach. Syst.}, volume = {52}, number = {2}, pages = {181--195}, year = {2022}, url = {https://doi.org/10.1109/THMS.2022.3143998}, doi = {10.1109/THMS.2022.3143998}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thms/ParkZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/transci/WangZSW22, author = {Yang Wang and Lei Zhao and Martin W. P. Savelsbergh and Shengnan Wu}, title = {Multi-Period Workload Balancing in Last-Mile Urban Delivery}, journal = {Transp. Sci.}, volume = {56}, number = {5}, pages = {1348--1368}, year = {2022}, url = {https://doi.org/10.1287/trsc.2022.1132}, doi = {10.1287/TRSC.2022.1132}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/transci/WangZSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/Karimi-Haghighi22, author = {Marzieh Karimi{-}Haghighi and Carlos Castillo and Davinia Hern{\'{a}}ndez{-}Leo}, editor = {Maria Mercedes T. Rodrigo and Noboru Matsuda and Alexandra I. Cristea and Vania Dimitrova}, title = {A Causal Inference Study on the Effects of First Year Workload on the Dropout Rate of Undergraduates}, booktitle = {Artificial Intelligence in Education - 23rd International Conference, {AIED} 2022, Durham, UK, July 27-31, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13355}, pages = {15--27}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-11644-5\_2}, doi = {10.1007/978-3-031-11644-5\_2}, timestamp = {Fri, 16 Feb 2024 09:01:51 +0100}, biburl = {https://dblp.org/rec/conf/aied/Karimi-Haghighi22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/AlrifaiDSMRF22, author = {Mhd Wael Alrifai and Mary Eva Dye and Theresa Scott and Allison B. McCoy and Patti Runyan and Daniel J. France}, title = {A Real-time Model for Neonatal Provider Workload Measurement}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f008-1.4640715/f008-1.4640716/171-1.4641716/177-1.4641713}, timestamp = {Wed, 17 Apr 2024 11:46:45 +0200}, biburl = {https://dblp.org/rec/conf/amia/AlrifaiDSMRF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/automotiveUI/MeiserASMGR22, author = {Elena Meiser and Alexandra Alles and Samuel Selter and Marco Molz and Amr Gomaa and Guillermo Reyes}, title = {In-Vehicle Interface Adaptation to Environment-Induced Cognitive Workload}, booktitle = {AutomotiveUI '22: 14th International Conference on Automotive User Interfaces and Interactive Vehicular Applications - Adjunct Proceedings, Seoul, Republic of Korea, September 17 - 20, 2022}, pages = {83--86}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3544999.3552533}, doi = {10.1145/3544999.3552533}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/automotiveUI/MeiserASMGR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/HaghshenasSA22, author = {Kawsar Haghshenas and Brian Setz and Marco Aiello}, editor = {Shusaku Tsumoto and Yukio Ohsawa and Lei Chen and Dirk Van den Poel and Xiaohua Hu and Yoichi Motomura and Takuya Takagi and Lingfei Wu and Ying Xie and Akihiro Abe and Vijay Raghavan}, title = {{CO2} Emission Aware Scheduling for Deep Neural Network Training Workloads}, booktitle = {{IEEE} International Conference on Big Data, Big Data 2022, Osaka, Japan, December 17-20, 2022}, pages = {1542--1549}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BigData55660.2022.10020544}, doi = {10.1109/BIGDATA55660.2022.10020544}, timestamp = {Fri, 18 Aug 2023 17:39:03 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/HaghshenasSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParsaMTWHE22, author = {Soran Parsa and Horia A. Maior and Alex Reeve Elliott Thumwood and Max L. Wilson and Marc Hanheide and Amir Ghalamzan Esfahani}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {The Impact of Motion Scaling and Haptic Guidance on Operators' Workload and Performance in Teleoperation}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {253:1--253:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3519814}, doi = {10.1145/3491101.3519814}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ParsaMTWHE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsima/Kennedy-MetzAKA22, author = {Lauren R. Kennedy{-}Metz and Maria Arshanskiy and Sandra Keller and David Arney and Roger D. Dias and Marco A. Zenati}, editor = {Galya Rogova and Alicia Ruvinsky and Tom Ziemke and Giancarlo Fortino and Mary Freiman}, title = {Association Between Operating Room Noise and Team Cognitive Workload in Cardiac Surgery}, booktitle = {{IEEE} Conference on Cognitive and Computational Aspects of Situation Management, CogSIMA 2022, Salerno, Italy, June 6-10, 2022}, pages = {89--93}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CogSIMA54611.2022.9830675}, doi = {10.1109/COGSIMA54611.2022.9830675}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsima/Kennedy-MetzAKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/debs/SladojevicFEPM22, author = {Vladimir Sladojevic and Sebastian Frischbier and Alexander Echler and Mario Paic and Alessandro Margara}, editor = {Yongluan Zhou and Panos K. Chrysanthis and Vincenzo Gulisano and Eleni Tzirita Zacharatou}, title = {Deriving a realistic workload model to simulate high-volume financial data feeds for performance benchmarking}, booktitle = {16th {ACM} International Conference on Distributed and Event-based Systems, {DEBS} 2022, Copenhagen, Denmark, June 27 - 30, 2022}, pages = {126--131}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524860.3539653}, doi = {10.1145/3524860.3539653}, timestamp = {Sun, 02 Oct 2022 15:59:00 +0200}, biburl = {https://dblp.org/rec/conf/debs/SladojevicFEPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/CiaperoniAGM22, author = {Martino Ciaperoni and {\c{C}}igdem Aslay and Aristides Gionis and Michael Mathioudakis}, editor = {Julia Stoyanovich and Jens Teubner and Paolo Guagliardo and Milos Nikolic and Andreas Pieris and Jan M{\"{u}}hlig and Fatma {\"{O}}zcan and Sebastian Schelter and H. V. Jagadish and Meihui Zhang}, title = {Workload-Aware Materialization of Junction Trees}, booktitle = {Proceedings of the 25th International Conference on Extending Database Technology, {EDBT} 2022, Edinburgh, UK, March 29 - April 1, 2022}, pages = {1:65--1:77}, publisher = {OpenProceedings.org}, year = {2022}, url = {https://doi.org/10.5441/002/edbt.2022.06}, doi = {10.5441/002/EDBT.2022.06}, timestamp = {Tue, 11 Oct 2022 14:23:40 +0200}, biburl = {https://dblp.org/rec/conf/edbt/CiaperoniAGM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fedcsis/ZimniakB0F22, author = {Marcin Zimniak and Marta Burzanska and Piotr Wisniewski and Bogdan Franczyk}, editor = {Maria Ganzha and Leszek A. Maciaszek and Marcin Paprzycki and Dominik Slezak}, title = {Heuristic algorithm for periodic patterns discovery in a database workload reconstruction}, booktitle = {Communication Papers of the 17th Conference on Computer Science and Intelligence Systems, FedCSIS 2022, Sofia, Bulgaria, September 4-7, 2022}, series = {Annals of Computer Science and Information Systems}, volume = {32}, pages = {139--142}, year = {2022}, url = {https://doi.org/10.15439/2022F257}, doi = {10.15439/2022F257}, timestamp = {Wed, 24 Apr 2024 14:55:54 +0200}, biburl = {https://dblp.org/rec/conf/fedcsis/ZimniakB0F22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/BoroujenyME22, author = {Massieh Kordi Boroujeny and Brian L. Mark and Yariv Ephraim}, title = {Traffic Workload Envelope for Network Performance Guarantees with Multiplexing Gain}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {6325--6330}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001056}, doi = {10.1109/GLOBECOM48099.2022.10001056}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/BoroujenyME22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KnobenASA22, author = {Andreas Knoben and Maryam Alimardani and Arash Saghafi and Amin K. Amiri}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Cognitive Workload Associated with Different Conceptual Modeling Approaches in Information Systems}, booktitle = {{HCI} International 2022 Posters - 24th International Conference on Human-Computer Interaction, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1581}, pages = {156--163}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06388-6\_21}, doi = {10.1007/978-3-031-06388-6\_21}, timestamp = {Sun, 02 Oct 2022 16:02:13 +0200}, biburl = {https://dblp.org/rec/conf/hci/KnobenASA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/RogisterMRDV22, author = {Fabien Rogister and Marie{-}Anne Pungu Mwange and Luka Rukonic and Olivier Delbeke and Richard Virlouvet}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Fast Detection and Classification of Drivers' Responses to Stressful Events and Cognitive Workload}, booktitle = {{HCI} International 2022 Posters - 24th International Conference on Human-Computer Interaction, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1581}, pages = {210--217}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06388-6\_28}, doi = {10.1007/978-3-031-06388-6\_28}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/RogisterMRDV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/PaulCKW22, author = {Arnab K. Paul and Jong Youl Choi and Ahmad Maroof Karimi and Feiyi Wang}, editor = {Jon B. Weissman and Abhishek Chandra and Ada Gavrilovska and Devesh Tiwari}, title = {Machine Learning Assisted {HPC} Workload Trace Generation for Leadership Scale Storage Systems}, booktitle = {{HPDC} '22: The 31st International Symposium on High-Performance Parallel and Distributed Computing, Minneapolis, MN, USA, 27 June 2022 - 1 July 2022}, pages = {199--212}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3502181.3531457}, doi = {10.1145/3502181.3531457}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/PaulCKW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icchp/KaramCHLKWF22, author = {Maria Karam and Christie Christelis and Evan Hibbard and Jenny Leung and Tatyana Kumarasamy and Margot Whitfield and Deborah I. Fels}, editor = {Klaus Miesenberger and Georgios Kouroupetroglou and Katerina Mavrou and Roberto Manduchi and Mario Covarrubias Rodriguez and Petr Pen{\'{a}}z}, title = {Workload Evaluations for Closed Captioners}, booktitle = {Computers Helping People with Special Needs - 18th International Conference, {ICCHP-AAATE} 2022, Lecco, Italy, July 11-15, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13341}, pages = {529--535}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-08648-9\_62}, doi = {10.1007/978-3-031-08648-9\_62}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icchp/KaramCHLKWF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icores/WeisgutHNS22, author = {Marcel Weisgut and Leonardo H{\"{u}}bscher and Oliver Nordemann and Rainer Schlosser}, editor = {Federico Liberatore and Greg H. Parlier and Marc Demange}, title = {Solver-based Approaches for Robust Multi-index Selection Problems with Stochastic Workloads and Reconfiguration Costs}, booktitle = {Proceedings of the 11th International Conference on Operations Research and Enterprise Systems, {ICORES} 2022, Online Streaming, February 3-5, 2022}, pages = {28--39}, publisher = {{SCITEPRESS}}, year = {2022}, url = {https://doi.org/10.5220/0010800600003117}, doi = {10.5220/0010800600003117}, timestamp = {Mon, 26 Jun 2023 20:47:12 +0200}, biburl = {https://dblp.org/rec/conf/icores/WeisgutHNS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/DornerBP22, author = {Andreas D{\"{o}}rner and Marek Bures and Gerald Pirkl}, title = {Searching for the Gaps in Mental Workload Assessment of Assistive Technologies}, booktitle = {{IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2022, Kuala Lumpur, Malaysia, December 7-10, 2022}, pages = {67--70}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IEEM55944.2022.9989752}, doi = {10.1109/IEEM55944.2022.9989752}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieem/DornerBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/CaminalCWPM22, author = {Helena Caminal and Yannis Chronis and Tianshu Wu and Jignesh M. Patel and Jos{\'{e}} F. Mart{\'{\i}}nez}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {Accelerating database analytic query workloads using an associative processor}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {623--637}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3527435}, doi = {10.1145/3470496.3527435}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/CaminalCWPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metroxraine/ManghisiMEGMDGU22, author = {Vito Modesto Manghisi and Francesco Martellotta and Alessandro Evangelista and Claudia Giliberti and Raffaele Mariconte and Maurizio Diano and Valerio Galasso and Antonio Emmanuele Uva}, title = {Investigating the Effects on User Performance and Perceived Workload of Environmental Noise in Immersive Virtual Reality}, booktitle = {{IEEE} International Conference on Metrology for Extended Reality, Artificial Intelligence and Neural Engineering, MetroXRAINE 2022, Rome, Italy, October 26-28, 2022}, pages = {46--51}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MetroXRAINE54828.2022.9967541}, doi = {10.1109/METROXRAINE54828.2022.9967541}, timestamp = {Mon, 11 Sep 2023 17:03:34 +0200}, biburl = {https://dblp.org/rec/conf/metroxraine/ManghisiMEGMDGU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nids/GhaderiAF22, author = {Maryam Ghaderi and Hamdi Ben Abdessalem and Claude Frasson}, editor = {Akrivi Krouska and Christos Troussas and Jaime D. L. Caro}, title = {An Analysis of Mental Workload Involved in Piloting Tasks}, booktitle = {Novel {\&} Intelligent Digital Systems: Proceedings of the 2nd International Conference, NiDS 2022, Athens, Greece, 29-30 September 2022}, series = {Lecture Notes in Networks and Systems}, volume = {556}, pages = {211--220}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-17601-2\_21}, doi = {10.1007/978-3-031-17601-2\_21}, timestamp = {Tue, 27 Sep 2022 17:12:41 +0200}, biburl = {https://dblp.org/rec/conf/nids/GhaderiAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pawatm-ws/BudanazWB22, author = {Yakup Budanaz and Mario Wille and Michael Bader}, title = {Asynchronous Workload Balancing through Persistent Work-Stealing and Offloading for a Distributed Actor Model Library}, booktitle = {{IEEE/ACM} Parallel Applications Workshop: Alternatives To MPI+X, PAW-ATM@SC 2022, Dallas, TX, USA, November 13-18, 2022}, pages = {39--51}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PAW-ATM56565.2022.00009}, doi = {10.1109/PAW-ATM56565.2022.00009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pawatm-ws/BudanazWB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/FariborzY22, author = {Marjan Fariborz and S. J. Ben Yoo}, title = {High Throughput Memory with Silicon Photonics in Chiplet-based Architectures for Irregular Workloads}, booktitle = {2022 27th OptoElectronics and Communications Conference {(OECC)} and 2022 International Conference on Photonics in Switching and Computing (PSC), Toyama, Japan, July 3-6, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/OECC/PSC53152.2022.9849864}, doi = {10.23919/OECC/PSC53152.2022.9849864}, timestamp = {Tue, 23 Aug 2022 10:41:19 +0200}, biburl = {https://dblp.org/rec/conf/ps/FariborzY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/PerronetMCB22, author = {Marco Perronet and Marco Maida and C{\'{e}}dric Courtaud and Bj{\"{o}}rn B. Brandenburg}, title = {Work in Progress: Automatic Response-Time Analysis for Arbitrary Real-Time Linux Workloads}, booktitle = {28th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2022, Milano, Italy, May 4-6, 2022}, pages = {317--320}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RTAS54340.2022.00037}, doi = {10.1109/RTAS54340.2022.00037}, timestamp = {Mon, 04 Jul 2022 17:06:22 +0200}, biburl = {https://dblp.org/rec/conf/rtas/PerronetMCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtns/Sudvarg022, author = {Marion Sudvarg and Chris Gill}, editor = {Yasmina Abdedda{\"{\i}}m and Liliana Cucu{-}Grosjean and Geoffrey Nelissen and Laurent Pautet}, title = {Analysis of Federated Scheduling for Integer-Valued Workloads}, booktitle = {{RTNS} 2022: The 30th International Conference on Real-Time Networks and Systems, Paris, France, June 7 - 8, 2022}, pages = {12--23}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3534879.3534892}, doi = {10.1145/3534879.3534892}, timestamp = {Mon, 13 Jun 2022 16:41:40 +0200}, biburl = {https://dblp.org/rec/conf/rtns/Sudvarg022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/MunhozCM22, author = {Vanderlei Munhoz and M{\'{a}}rcio Castro and Odorico M. Mendizabal}, title = {Strategies for Fault-Tolerant Tightly-Coupled {HPC} Workloads Running on Low-Budget Spot Cloud Infrastructures}, booktitle = {2022 {IEEE} 34th International Symposium on Computer Architecture and High Performance Computing (SBAC-PAD), Bordeaux, France, November 2-5, 2022}, pages = {263--272}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SBAC-PAD55451.2022.00037}, doi = {10.1109/SBAC-PAD55451.2022.00037}, timestamp = {Thu, 01 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/MunhozCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/CamilleriMP22, author = {Daryl Camilleri and Mark Micallef and Chris Porter}, editor = {Rong Peng and Carlos Eduardo Pantoja and Pankaj Kamthan}, title = {Investigating Cognitive Workload during Comprehension and Application Tasks in Software Testing}, booktitle = {The 34th International Conference on Software Engineering and Knowledge Engineering, {SEKE} 2022, {KSIR} Virtual Conference Center, USA, July 1 - July 10, 2022}, pages = {237--242}, publisher = {{KSI} Research Inc.}, year = {2022}, url = {https://doi.org/10.18293/SEKE2022-155}, doi = {10.18293/SEKE2022-155}, timestamp = {Wed, 21 Sep 2022 17:47:56 +0200}, biburl = {https://dblp.org/rec/conf/seke/CamilleriMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/AleyasenMASKPMD22, author = {Amirhossein Aleyasen and Mark Morcos and Lyublena Antova and Marc Sugiyama and Dmitri Korablev and Jozsef Patvarczki and Rima Mutreja and Michael Duller and Florian M. Waas and Marianne Winslett}, editor = {Zachary G. Ives and Angela Bonifati and Amr El Abbadi}, title = {Intelligent Automated Workload Analysis for Database Replatforming}, booktitle = {{SIGMOD} '22: International Conference on Management of Data, Philadelphia, PA, USA, June 12 - 17, 2022}, pages = {2273--2285}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3514221.3526050}, doi = {10.1145/3514221.3526050}, timestamp = {Thu, 16 Mar 2023 09:51:25 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/AleyasenMASKPMD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/CaspartZWORSSTN22, author = {Ren{\'{e}} Caspart and Sebastian Ziegler and Arvid Weyrauch and Holger Obermaier and Simon Raffeiner and Leon Pascal Schuhmacher and Jan Scholtyssek and Darya Trofimova and Marco Nolden and Ines Reinartz and Fabian Isensee and Markus G{\"{o}}tz and Charlotte Debus}, editor = {Hartwig Anzt and Amanda Bienz and Piotr Luszczek and Marc Baboulin}, title = {Precise Energy Consumption Measurements of Heterogeneous Artificial Intelligence Workloads}, booktitle = {High Performance Computing. {ISC} High Performance 2022 International Workshops - Hamburg, Germany, May 29 - June 2, 2022, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13387}, pages = {108--121}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-23220-6\_8}, doi = {10.1007/978-3-031-23220-6\_8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/CaspartZWORSSTN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/FariborzSFPYALP22, author = {Marjan Fariborz and Mahyar Samani and Pouya Fotouhi and Roberto Proietti and Il{-}Min Yi and Venkatesh Akella and Jason Lowe{-}Power and Samuel Palermo and S. J. Ben Yoo}, editor = {Ana Lucia Varbanescu and Abhinav Bhatele and Piotr Luszczek and Marc Baboulin}, title = {{LLM:} Realizing Low-Latency Memory by Exploiting Embedded Silicon Photonics for Irregular Workloads}, booktitle = {High Performance Computing - 37th International Conference, {ISC} High Performance 2022, Hamburg, Germany, May 29 - June 2, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13289}, pages = {44--64}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-07312-0\_3}, doi = {10.1007/978-3-031-07312-0\_3}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/supercomputer/FariborzSFPYALP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/BalsamoMM22, author = {Simonetta Balsamo and Andrea Marin and Isi Mitrani}, editor = {Dan Feng and Steffen Becker and Nikolas Herbst and Philipp Leitner}, title = {A Mixed {PS-FCFS} Policy for {CPU} Intensive Workloads}, booktitle = {{ICPE} '22: {ACM/SPEC} International Conference on Performance Engineering, Bejing, China, April 9 - 13, 2022}, pages = {199--210}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489525.3511678}, doi = {10.1145/3489525.3511678}, timestamp = {Wed, 30 Mar 2022 16:00:26 +0200}, biburl = {https://dblp.org/rec/conf/wosp/BalsamoMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/LeznikGKBSEKD22, author = {Mark Leznik and Johannes Grohmann and Nina Kliche and Andr{\'{e}} Bauer and Daniel Seybold and Simon Eismann and Samuel Kounev and J{\"{o}}rg Domaschka}, editor = {Dan Feng and Steffen Becker and Nikolas Herbst and Philipp Leitner}, title = {Same, Same, but Dissimilar: Exploring Measurements for Workload Time-series Similarity}, booktitle = {{ICPE} '22: {ACM/SPEC} International Conference on Performance Engineering, Bejing, China, April 9 - 13, 2022}, pages = {89--96}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489525.3511699}, doi = {10.1145/3489525.3511699}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wosp/LeznikGKBSEKD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/NasariLLHYKTTCP22, author = {Abhinand Nasari and Hieu Le and Richard Lawrence and Zhenhua He and Xin Yang and Mario Krell and Alex Tsyplikhin and Mahidhar Tatineni and Tim Cockerill and Lisa M. Perez and Dhruva Chakravorty and Honggao Liu}, editor = {Julie Wernert and Alan Chalker and Shava Smallen and Tabitha K. Samuel and John{-}Paul Navarro}, title = {Benchmarking the Performance of Accelerators on National Cyberinfrastructure Resources for Artificial Intelligence / Machine Learning Workloads}, booktitle = {{PEARC} '22: Practice and Experience in Advanced Research Computing, Boston, MA, USA, July 10 - 14, 2022}, pages = {19:1--19:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491418.3530772}, doi = {10.1145/3491418.3530772}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/xsede/NasariLLHYKTTCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-04322, author = {Nodir Kodirov and Shane Bergsma and Syed M. Iqbal and Alan J. Hu and Ivan Beschastnikh and Margo I. Seltzer}, title = {Gridiron: {A} Technique for Augmenting Cloud Workloads with Network Bandwidth Requirements}, journal = {CoRR}, volume = {abs/2201.04322}, year = {2022}, url = {https://arxiv.org/abs/2201.04322}, eprinttype = {arXiv}, eprint = {2201.04322}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-04322.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-04748, author = {Thanh Nguyen{-}Duc and Peter Y. Chan and Andrew Tay and David Chen and John Tan Nguyen and Jessica Lyall and Maria De Freitas}, title = {Estimation of Clinical Workload and Patient Activity using Deep Learning and Optical Flow}, journal = {CoRR}, volume = {abs/2202.04748}, year = {2022}, url = {https://arxiv.org/abs/2202.04748}, eprinttype = {arXiv}, eprint = {2202.04748}, timestamp = {Fri, 18 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-04748.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-07848, author = {Dharma Shukla and Muthian Sivathanu and Srinidhi Viswanatha and Bhargav S. Gulavani and Rimma Nehme and Amey Agrawal and Chen Chen and Nipun Kwatra and Ramachandran Ramjee and Pankaj Sharma and Atul Katiyar and Vipul Modi and Vaibhav Sharma and Abhishek Singh and Shreshth Singhal and Kaustubh Welankar and Lu Xun and Ravi Anupindi and Karthik Elangovan and Hasibur Rahman and Zhou Lin and Rahul Seetharaman and Cheng Xu and Eddie Ailijiang and Suresh Krishnappa and Mark Russinovich}, title = {Singularity: Planet-Scale, Preemptive and Elastic Scheduling of {AI} Workloads}, journal = {CoRR}, volume = {abs/2202.07848}, year = {2022}, url = {https://arxiv.org/abs/2202.07848}, eprinttype = {arXiv}, eprint = {2202.07848}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-07848.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-12342, author = {Andreas Knoben and Maryam Alimardani and Arash Saghafi and Amin K. Amiri}, title = {Cognitive Workload Associated with Different Conceptual Modeling Approaches in Information Systems}, journal = {CoRR}, volume = {abs/2203.12342}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.12342}, doi = {10.48550/ARXIV.2203.12342}, eprinttype = {arXiv}, eprint = {2203.12342}, timestamp = {Tue, 29 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-12342.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-06820, author = {Sweta Singh and Vaibhav Kulkarni and Mario Briggs and Deepak Mahajan and Eitan Farchi}, title = {Using Fuzzy Matching of Queries to optimize Database workloads}, journal = {CoRR}, volume = {abs/2207.06820}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.06820}, doi = {10.48550/ARXIV.2207.06820}, eprinttype = {arXiv}, eprint = {2207.06820}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-06820.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-11271, author = {Elena Meiser and Alexandra Alles and Samuel Selter and Marco Molz and Amr Gomaa and Guillermo Reyes}, title = {In-Vehicle Interface Adaptation to Environment-Induced Cognitive Workload}, journal = {CoRR}, volume = {abs/2210.11271}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.11271}, doi = {10.48550/ARXIV.2210.11271}, eprinttype = {arXiv}, eprint = {2210.11271}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-11271.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-11928, author = {Marcella Medeiros Siqueira Coutinho de Almeida and Thiago Emmanuel Pereira and F{\'{a}}bio Morais}, title = {A case study of proactive auto-scaling for an ecommerce workload}, journal = {CoRR}, volume = {abs/2211.11928}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.11928}, doi = {10.48550/ARXIV.2211.11928}, eprinttype = {arXiv}, eprint = {2211.11928}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-11928.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-01698, author = {Ren{\'{e}} Caspart and Sebastian Ziegler and Arvid Weyrauch and Holger Obermaier and Simon Raffeiner and Leon Pascal Schuhmacher and Jan Scholtyssek and Darya Trofimova and Marco Nolden and Ines Reinartz and Fabian Isensee and Markus G{\"{o}}tz and Charlotte Debus}, title = {Precise Energy Consumption Measurements of Heterogeneous Artificial Intelligence Workloads}, journal = {CoRR}, volume = {abs/2212.01698}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.01698}, doi = {10.48550/ARXIV.2212.01698}, eprinttype = {arXiv}, eprint = {2212.01698}, timestamp = {Thu, 08 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-01698.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Bouzidi21, author = {E. L. Hocine Bouzidi}, title = {Data-driven Dynamic Optimization of Traffic Workload and Network Slicing for 5G Networks and beyond. (Optimisation dynamique ax{\'{e}}e sur les donn{\'{e}}es de la charge et des tranches r{\'{e}}seaux dans les r{\'{e}}seaux)}, school = {Gustave Eiffel University, Champs-sur-Marne, France}, year = {2021}, url = {https://tel.archives-ouvertes.fr/tel-03934663}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Bouzidi21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bdr/GrzegorowskiZJL21, author = {Marek Grzegorowski and Eftim Zdravevski and Andrzej Janusz and Petre Lameski and Cas Apanowicz and Dominik Slezak}, title = {Cost Optimization for Big Data Workloads Based on Dynamic Scheduling and Cluster-Size Tuning}, journal = {Big Data Res.}, volume = {25}, pages = {100203}, year = {2021}, url = {https://doi.org/10.1016/j.bdr.2021.100203}, doi = {10.1016/J.BDR.2021.100203}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bdr/GrzegorowskiZJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/HalbiniakOSKL21, author = {Kamil Halbiniak and Tomasz Olas and Lukasz Szustak and Adam Kulawik and Marco Lapegna}, title = {Dynamic workload prediction and distribution in numerical modeling of solidification on multi-/manycore architectures}, journal = {Concurr. Comput. Pract. Exp.}, volume = {33}, number = {11}, year = {2021}, url = {https://doi.org/10.1002/cpe.5905}, doi = {10.1002/CPE.5905}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/HalbiniakOSKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ManciniGH21, author = {Simona Mancini and Margaretha Gansterer and Richard F. Hartl}, title = {The collaborative consistent vehicle routing problem with workload balance}, journal = {Eur. J. Oper. Res.}, volume = {293}, number = {3}, pages = {955--965}, year = {2021}, url = {https://doi.org/10.1016/j.ejor.2020.12.064}, doi = {10.1016/J.EJOR.2020.12.064}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/ManciniGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcomp/MeteierSCWAKSM21, author = {Quentin Meteier and Emmanuel de Salis and Marine Capallera and Marino Widmer and Leonardo Angelini and Omar Abou Khaled and Andreas Sonderegger and Elena Mugellini}, title = {Relevant Physiological Indicators for Assessing Workload in Conditionally Automated Driving, Through Three-Class Classification and Regression}, journal = {Frontiers Comput. Sci.}, volume = {3}, pages = {775282}, year = {2021}, url = {https://doi.org/10.3389/fcomp.2021.775282}, doi = {10.3389/FCOMP.2021.775282}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fcomp/MeteierSCWAKSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/Kennedy-MetzDRR21, author = {Lauren R. Kennedy{-}Metz and Roger D. Dias and Srey Rithy and Geoffrey Rance and Heather M. Conboy and Miguel E. Haime and Jacquelyn A. Quin and Steven J. Yule and Marco A. Zenati}, title = {Analysis of Dynamic Changes in Cognitive Workload During Cardiac Surgery Perfusionists{\({'}\)} Interactions With the Cardiopulmonary Bypass Pump}, journal = {Hum. Factors}, volume = {63}, number = {5}, year = {2021}, url = {https://doi.org/10.1177/0018720820976297}, doi = {10.1177/0018720820976297}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hf/Kennedy-MetzDRR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/ShupskyLHZ21, author = {Taylor Shupsky and Adriana Lyman and Jibo He and Maryam Zahabi}, title = {Effects of Mobile Computer Terminal Configuration and Level of Driving Control on Police Officers' Performance and Workload}, journal = {Hum. Factors}, volume = {63}, number = {6}, year = {2021}, url = {https://doi.org/10.1177/0018720820908362}, doi = {10.1177/0018720820908362}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hf/ShupskyLHZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/DybvikLGSS21, author = {Henrikke Dybvik and Martin L{\o}land and Achim Gerstenberg and Kristoffer Bj{\o}rnerud Sl{\aa}ttsveen and Martin Steinert}, title = {A low-cost predictive display for teleoperation: Investigating effects on human performance and workload}, journal = {Int. J. Hum. Comput. Stud.}, volume = {145}, pages = {102536}, year = {2021}, url = {https://doi.org/10.1016/j.ijhcs.2020.102536}, doi = {10.1016/J.IJHCS.2020.102536}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmms/DybvikLGSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ThurerHS21, author = {Matthias Th{\"{u}}rer and Yuan Huang and Mark Stevenson}, title = {Workload control in additive manufacturing shops where post-processing is a constraint: an assessment by simulation}, journal = {Int. J. Prod. Res.}, volume = {59}, number = {14}, pages = {4268--4286}, year = {2021}, url = {https://doi.org/10.1080/00207543.2020.1761038}, doi = {10.1080/00207543.2020.1761038}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/ThurerHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ThurerMS21, author = {Matthias Th{\"{u}}rer and Lin Ma and Mark Stevenson}, title = {Workload Control order release in general and pure flow shops with limited buffer size induced blocking: an assessment by simulation}, journal = {Int. J. Prod. Res.}, volume = {59}, number = {8}, pages = {2558--2569}, year = {2021}, url = {https://doi.org/10.1080/00207543.2020.1735667}, doi = {10.1080/00207543.2020.1735667}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/ThurerMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbd/NowickiGB21, author = {Marek Nowicki and Lukasz G{\'{o}}rski and Piotr Bala}, title = {{PCJ} Java library as a solution to integrate HPC, Big Data and Artificial Intelligence workloads}, journal = {J. Big Data}, volume = {8}, number = {1}, pages = {1--21}, year = {2021}, url = {https://doi.org/10.1186/s40537-021-00454-6}, doi = {10.1186/S40537-021-00454-6}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbd/NowickiGB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/SammerSOS21, author = {Marla B. K. Sammer and Andrew Stahl and Eray Ozkan and Andrew C. Sher}, title = {Implementation of a Software Distribution Intervention to Improve Workload Balance in an Academic Pediatric Radiology Department}, journal = {J. Digit. Imaging}, volume = {34}, number = {3}, pages = {741--749}, year = {2021}, url = {https://doi.org/10.1007/s10278-021-00451-4}, doi = {10.1007/S10278-021-00451-4}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/SammerSOS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/RosciszewskiMS21, author = {Pawel Rosciszewski and Michal Martyniak and Filip Schodowski}, title = {TensorHive: Management of Exclusive {GPU} Access for Distributed Machine Learning Workloads}, journal = {J. Mach. Learn. Res.}, volume = {22}, pages = {215:1--215:5}, year = {2021}, url = {http://jmlr.org/papers/v22/20-225.html}, timestamp = {Mon, 31 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmlr/RosciszewskiMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/BattarraFTELF21, author = {Maria Battarra and Federico Fraboni and Oliver Thomasson and G{\"{u}}nes Erdogan and Gilbert Laporte and Marco Formentini}, title = {Algorithms for the Calzedonia workload allocation problem}, journal = {J. Oper. Res. Soc.}, volume = {72}, number = {9}, pages = {2004--2017}, year = {2021}, url = {https://doi.org/10.1080/01605682.2020.1755897}, doi = {10.1080/01605682.2020.1755897}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/BattarraFTELF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/FreitasPSCC21, author = {Vinicius Freitas and La{\'{e}}rcio Lima Pilla and Alexandre de Limas Santana and M{\'{a}}rcio Castro and Johanne Cohen}, title = {PackStealLB: {A} scalable distributed load balancer based on work stealing and workload discretization}, journal = {J. Parallel Distributed Comput.}, volume = {150}, pages = {34--45}, year = {2021}, url = {https://doi.org/10.1016/j.jpdc.2020.12.005}, doi = {10.1016/J.JPDC.2020.12.005}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/FreitasPSCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AntalCPCAASS21, author = {Marcel Antal and Andrei{-}Alexandru Cristea and Victor{-}Alexandru Padurean and Tudor Cioara and Ionut Anghel and Claudia Antal and Ioan Salomie and Nicolas Saintherant}, title = {Heating Homes with Servers: Workload Scheduling for Heat Reuse in Distributed Data Centers}, journal = {Sensors}, volume = {21}, number = {8}, pages = {2879}, year = {2021}, url = {https://doi.org/10.3390/s21082879}, doi = {10.3390/S21082879}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AntalCPCAASS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/JiZCSZML21, author = {Kaixuan Ji and Fa Zhang and Ce Chi and Penglei Song and Biyu Zhou and Avinab Marahatta and Zhiyong Liu}, title = {A joint energy efficiency optimization scheme based on marginal cost and workload prediction in data centers}, journal = {Sustain. Comput. Informatics Syst.}, volume = {32}, pages = {100596}, year = {2021}, url = {https://doi.org/10.1016/j.suscom.2021.100596}, doi = {10.1016/J.SUSCOM.2021.100596}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/JiZCSZML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/DellAgnolaPMAA21, author = {Fabio Dell'Agnola and Una Pale and Rodrigo Marino and Adriana Arza and David Atienza}, title = {MBioTracker: Multimodal Self-Aware Bio-Monitoring Wearable System for Online Workload Detection}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {15}, number = {5}, pages = {994--1007}, year = {2021}, url = {https://doi.org/10.1109/TBCAS.2021.3110317}, doi = {10.1109/TBCAS.2021.3110317}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/DellAgnolaPMAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcns/SunCGYY21, author = {Jun Sun and Shibo Chen and Georgios B. Giannakis and Qinmin Yang and Zaiyue Yang}, title = {Cross Service Providers Workload Balancing for Data Centers in Deregulated Electricity Markets}, journal = {{IEEE} Trans. Control. Netw. Syst.}, volume = {8}, number = {2}, pages = {803--815}, year = {2021}, url = {https://doi.org/10.1109/TCNS.2021.3053236}, doi = {10.1109/TCNS.2021.3053236}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcns/SunCGYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PardosASM21, author = {V{\'{\i}}ctor Soria Pardos and Adri{\`{a}} Armejach and Dar{\'{\i}}o Su{\'{a}}rez Gracia and Miquel Moret{\'{o}}}, title = {On the use of many-core Marvell ThunderX2 processor for {HPC} workloads}, journal = {J. Supercomput.}, volume = {77}, number = {4}, pages = {3315--3338}, year = {2021}, url = {https://doi.org/10.1007/s11227-020-03397-6}, doi = {10.1007/S11227-020-03397-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/PardosASM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/NestorovPMCY21, author = {Anna Maria Nestorov and Jord{\`{a}} Polo and Claudia Misale and David Carrera and Alaa Youssef}, editor = {Claudio Agostino Ardagna and Carl K. Chang and Ernesto Daminai and Rajiv Ranjan and Zhongjie Wang and Robert Ward and Jia Zhang and Wensheng Zhang}, title = {Performance Evaluation of Data-Centric Workloads in Serverless Environments}, booktitle = {14th {IEEE} International Conference on Cloud Computing, {CLOUD} 2021, Chicago, IL, USA, September 5-10, 2021}, pages = {491--496}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CLOUD53861.2021.00064}, doi = {10.1109/CLOUD53861.2021.00064}, timestamp = {Fri, 23 Jun 2023 11:36:46 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/NestorovPMCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/SfakianakisMB21, author = {Yannis Sfakianakis and Manolis Marazakis and Angelos Bilas}, editor = {Claudio Agostino Ardagna and Carl K. Chang and Ernesto Daminai and Rajiv Ranjan and Zhongjie Wang and Robert Ward and Jia Zhang and Wensheng Zhang}, title = {Skynet: Performance-driven Resource Management for Dynamic Workloads}, booktitle = {14th {IEEE} International Conference on Cloud Computing, {CLOUD} 2021, Chicago, IL, USA, September 5-10, 2021}, pages = {527--539}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CLOUD53861.2021.00069}, doi = {10.1109/CLOUD53861.2021.00069}, timestamp = {Mon, 15 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/SfakianakisMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/SaadatiNCWA21, author = {Marjan Saadati and Jill K. Nelson and Adrian Curtin and Lei Wang and Hasan Ayaz}, editor = {Hasan Ayaz and Umer Asgher and Lucas Paletta}, title = {Application of Recurrent Convolutional Neural Networks for Mental Workload Assessment Using Functional Near-Infrared Spectroscopy}, booktitle = {Advances in Neuroergonomics and Cognitive Engineering - Proceedings of the {AHFE} 2021 Virtual Conferences on Neuroergonomics and Cognitive Engineering, Industrial Cognitive Ergonomics and Engineering Psychology, and Cognitive Computing and Internet of Things, July 25-29, 2021, {USA}}, series = {Lecture Notes in Networks and Systems}, volume = {259}, pages = {106--113}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-80285-1\_13}, doi = {10.1007/978-3-030-80285-1\_13}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/SaadatiNCWA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/WangBWLSEAG21, author = {Shuang Wang and Amin Beheshti and Yufei Wang and Jianchao Lu and Quan Z. Sheng and Stephen Elbourn and Hamid Alinejad{-}Rokny and Elizabeth Galanis}, editor = {Ido Roll and Danielle S. McNamara and Sergey A. Sosnovsky and Rose Luckin and Vania Dimitrova}, title = {Assessment2Vec: Learning Distributed Representations of Assessments to Reduce Marking Workload}, booktitle = {Artificial Intelligence in Education - 22nd International Conference, {AIED} 2021, Utrecht, The Netherlands, June 14-18, 2021, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12749}, pages = {384--389}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78270-2\_68}, doi = {10.1007/978-3-030-78270-2\_68}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aied/WangBWLSEAG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/BoschVFJ0MA21, author = {Jaume Bosch and Miquel Vidal and Antonio Filgueras and Daniel Jim{\'{e}}nez{-}Gonz{\'{a}}lez and Carlos {\'{A}}lvarez and Xavier Martorell and Eduard Ayguad{\'{e}}}, editor = {Steven Derrien and Frank Hannig and Pedro C. Diniz and Daniel Chillet}, title = {Task-Based Programming Models for Heterogeneous Recurrent Workloads}, booktitle = {Applied Reconfigurable Computing. Architectures, Tools, and Applications - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12700}, pages = {108--122}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79025-7\_8}, doi = {10.1007/978-3-030-79025-7\_8}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/BoschVFJ0MA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/JuKAMC21, author = {Song Ju and Yeo Jin Kim and Markel Sanz Ausin and Maria E. Mayorga and Min Chi}, editor = {Yixin Chen and Heiko Ludwig and Yicheng Tu and Usama M. Fayyad and Xingquan Zhu and Xiaohua Hu and Suren Byna and Xiong Liu and Jianping Zhang and Shirui Pan and Vagelis Papalexakis and Jianwu Wang and Alfredo Cuzzocrea and Carlos Ordonez}, title = {To Reduce Healthcare Workload: Identify Critical Sepsis Progression Moments through Deep Reinforcement Learning}, booktitle = {2021 {IEEE} International Conference on Big Data (Big Data), Orlando, FL, USA, December 15-18, 2021}, pages = {1640--1646}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigData52589.2021.9671407}, doi = {10.1109/BIGDATA52589.2021.9671407}, timestamp = {Fri, 13 Jan 2023 17:06:49 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/JuKAMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/HerreraISB21, author = {Adri{\'{a}}n Herrera and Mario Ib{\'{a}}{\~{n}}ez and Esteban Stafford and Jos{\'{e}} Luis Bosque}, editor = {Laurent Lef{\`{e}}vre and Stacy Patterson and Young Choon Lee and Haiying Shen and Shashikant Ilager and Mohammad Goudarzi and Adel Nadjaran Toosi and Rajkumar Buyya}, title = {A Simulator for Intelligent Workload Managers in Heterogeneous Clusters}, booktitle = {21st {IEEE/ACM} International Symposium on Cluster, Cloud and Internet Computing, CCGrid 2021, Melbourne, Australia, May 10-13, 2021}, pages = {196--205}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CCGrid51090.2021.00029}, doi = {10.1109/CCGRID51090.2021.00029}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/HerreraISB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/SreepathiT21, author = {Sarat Sreepathi and Mark Taylor}, title = {Early Evaluation of Fugaku {A64FX} Architecture Using Climate Workloads}, booktitle = {{IEEE} International Conference on Cluster Computing, {CLUSTER} 2021, Portland, OR, USA, September 7-10, 2021}, pages = {719--727}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/Cluster48925.2021.00107}, doi = {10.1109/CLUSTER48925.2021.00107}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cluster/SreepathiT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cp/KovacsTKSG21, author = {Benjamin Kov{\'{a}}cs and Pierre Tassel and Wolfgang Kohlenbrein and Philipp Schrott{-}Kostwein and Martin Gebser}, editor = {Laurent D. Michel}, title = {Utilizing Constraint Optimization for Industrial Machine Workload Balancing}, booktitle = {27th International Conference on Principles and Practice of Constraint Programming, {CP} 2021, Montpellier, France (Virtual Conference), October 25-29, 2021}, series = {LIPIcs}, volume = {210}, pages = {36:1--36:17}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2021}, url = {https://doi.org/10.4230/LIPIcs.CP.2021.36}, doi = {10.4230/LIPICS.CP.2021.36}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cp/KovacsTKSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/AhonenLL21, author = {Ville Ahonen and Marko Leino and Tarmo Lipping}, title = {Electroencephalography in Evaluating Mental Workload of Gaming}, booktitle = {43rd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2021, Mexico, November 1-5, 2021}, pages = {845--848}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/EMBC46164.2021.9629772}, doi = {10.1109/EMBC46164.2021.9629772}, timestamp = {Wed, 22 Dec 2021 13:55:55 +0100}, biburl = {https://dblp.org/rec/conf/embc/AhonenLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/epew/MalakhovGMR21, author = {Ivan Malakhov and Carlo Gaetan and Andrea Marin and Sabina Rossi}, editor = {Paolo Ballarini and Hind Castel and Ioannis Dimitriou and Mauro Iacono and Tuan Phung{-}Duc and Joris Walraevens}, title = {Workload Prediction in {BTC} Blockchain and Application to the Confirmation Time Estimation}, booktitle = {Performance Engineering and Stochastic Modeling - 17th European Workshop, {EPEW} 2021, and 26th International Conference, {ASMTA} 2021, Virtual Event, December 9-10 and December 13-14, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13104}, pages = {3--21}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91825-5\_1}, doi = {10.1007/978-3-030-91825-5\_1}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/epew/MalakhovGMR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/SfakianakisKMB21, author = {Yannis Sfakianakis and Eleni Kanellou and Manolis Marazakis and Angelos Bilas}, editor = {Leonel Sousa and Nuno Roma and Pedro Tom{\'{a}}s}, title = {Trace-Based Workload Generation and Execution}, booktitle = {Euro-Par 2021: Parallel Processing - 27th International Conference on Parallel and Distributed Computing, Lisbon, Portugal, September 1-3, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12820}, pages = {37--54}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85665-6\_3}, doi = {10.1007/978-3-030-85665-6\_3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/SfakianakisKMB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/HaghiGGSH21, author = {Pouya Haghi and Anqi Guo and Tong Geng and Anthony Skjellum and Martin C. Herbordt}, title = {Workload Imbalance in {HPC} Applications: Effect on Performance of In-Network Processing}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622847}, doi = {10.1109/HPEC49654.2021.9622847}, timestamp = {Mon, 06 Dec 2021 17:33:19 +0100}, biburl = {https://dblp.org/rec/conf/hpec/HaghiGGSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/CardenasPK21, author = {Irvin Steve Cardenas and Kaleb Powlison and Jong{-}Hoon Kim}, editor = {Cindy L. Bethel and Ana Paiva and Elizabeth Broadbent and David Feil{-}Seifer and Daniel Szafir}, title = {Reducing Cognitive Workload in Telepresence Lunar - Martian Environments Through Audiovisual Feedback in Augmented Reality}, booktitle = {Companion of the 2021 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2021, Boulder, CO, USA, March 8-11, 2021}, pages = {463--466}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3434074.3447214}, doi = {10.1145/3434074.3447214}, timestamp = {Fri, 17 Dec 2021 11:39:34 +0100}, biburl = {https://dblp.org/rec/conf/hri/CardenasPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/KhanLC21, author = {Bilal Alam Khan and Maria Chiara Leva and Sam Cromie}, editor = {Luca Longo and Maria Chiara Leva}, title = {A Systematic Review of Older Drivers in a Level 3 Autonomous Vehicle: {A} Cognitive Load Perspective}, booktitle = {Human Mental Workload: Models and Applications - 5th International Symposium, {H-WORKLOAD} 2021, Virtual Event, November 24-26, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1493}, pages = {60--77}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91408-0\_5}, doi = {10.1007/978-3-030-91408-0\_5}, timestamp = {Tue, 18 Jan 2022 15:23:29 +0100}, biburl = {https://dblp.org/rec/conf/hworkload/KhanLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/SilvaMLRMCCJV21, author = {Mario Henrique de Oliveira Coutinho da Silva and Thiago Fontes Mac{\^{e}}do and Cinthia de Carvalho Louren{\c{c}}o and Ivan de Souza Rehder and Ana Ang{\'{e}}lica da Costa Marchiori and Mateus Pereira Cesare and Raphael Gomes Cortes and Moacyr Machado Cardoso Junior and Em{\'{\i}}lia Villani}, editor = {Luca Longo and Maria Chiara Leva}, title = {Mental Workload Assessment in Military Pilots Using Flight Simulators and Physiological Sensors}, booktitle = {Human Mental Workload: Models and Applications - 5th International Symposium, {H-WORKLOAD} 2021, Virtual Event, November 24-26, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1493}, pages = {99--115}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91408-0\_7}, doi = {10.1007/978-3-030-91408-0\_7}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hworkload/SilvaMLRMCCJV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/Young21, author = {Mark S. Young}, editor = {Luca Longo and Maria Chiara Leva}, title = {In Search of the Redline: Perspectives on Mental Workload and the 'Underload Problem'}, booktitle = {Human Mental Workload: Models and Applications - 5th International Symposium, {H-WORKLOAD} 2021, Virtual Event, November 24-26, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1493}, pages = {3--10}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91408-0\_1}, doi = {10.1007/978-3-030-91408-0\_1}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hworkload/Young21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/DaradkehAZS21, author = {Tariq Daradkeh and Anjali Agarwal and Marzia Zaman and Ricardo Manzano S.}, title = {Analytical Modeling and Prediction of Cloud Workload}, booktitle = {{IEEE} International Conference on Communications Workshops, {ICC} Workshops 2021, Montreal, QC, Canada, June 14-23, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCWorkshops50388.2021.9473619}, doi = {10.1109/ICCWORKSHOPS50388.2021.9473619}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/DaradkehAZS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/AslayCGM21, author = {{\c{C}}igdem Aslay and Martino Ciaperoni and Aristides Gionis and Michael Mathioudakis}, title = {Workload-aware Materialization for Efficient Variable Elimination on Bayesian Networks}, booktitle = {37th {IEEE} International Conference on Data Engineering, {ICDE} 2021, Chania, Greece, April 19-22, 2021}, pages = {1152--1163}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDE51399.2021.00104}, doi = {10.1109/ICDE51399.2021.00104}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/AslayCGM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/AsgariHDSMK21, author = {Bahar Asgari and Ramyad Hadidi and Joshua Dierberger and Charlotte Steinichen and Amaan Marfatia and Hyesoon Kim}, title = {Copernicus: Characterizing the Performance Implications of Compression Formats Used in Sparse Workloads}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2021, Storrs, CT, USA, November 7-9, 2021}, pages = {1--12}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IISWC53511.2021.00012}, doi = {10.1109/IISWC53511.2021.00012}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/AsgariHDSMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/DagostiniSPVGS21, author = {Jessica Imlau Dagostini and Henrique Corr{\^{e}}a Pereira da Silva and Vin{\'{\i}}cius Garcia Pinto and Roberto Machado Velho and Eduardo Simoes Lopes Gastal and Lucas Mello Schnorr}, title = {Improving Workload Balance of a Marine {CSEM} Inversion Application}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPS} Workshops 2021, Portland, OR, USA, June 17-21, 2021}, pages = {704--713}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IPDPSW52791.2021.00107}, doi = {10.1109/IPDPSW52791.2021.00107}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/DagostiniSPVGS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AgrawalLSZKVCFG21, author = {Ankur Agrawal and Sae Kyu Lee and Joel Silberman and Matthew M. Ziegler and Mingu Kang and Swagath Venkataramani and Nianzheng Cao and Bruce M. Fleischer and Michael Guillorn and Matt Cohen and Silvia M. Mueller and Jinwook Oh and Martin Lutz and Jinwook Jung and Siyu Koswatta and Ching Zhou and Vidhi Zalani and James Bonanno and Robert Casatuta and Chia{-}Yu Chen and Jungwook Choi and Howard Haynie and Alyssa Herbert and Radhika Jain and Monodeep Kar and Kyu{-}Hyoun Kim and Yulong Li and Zhibin Ren and Scot Rider and Marcel Schaal and Kerstin Schelm and Michael Scheuermann and Xiao Sun and Hung Tran and Naigang Wang and Wei Wang and Xin Zhang and Vinay Shah and Brian W. Curran and Vijayalakshmi Srinivasan and Pong{-}Fei Lu and Sunil Shukla and Leland Chang and Kailash Gopalakrishnan}, title = {A 7nm 4-Core {AI} Chip with 25.6TFLOPS Hybrid {FP8} Training, 102.4TOPS {INT4} Inference and Workload-Aware Throttling}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {144--146}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365791}, doi = {10.1109/ISSCC42613.2021.9365791}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AgrawalLSZKVCFG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/CorbalanD21, author = {Julita Corbal{\'{a}}n and Marco D'Amico}, editor = {Dalibor Klus{\'{a}}cek and Walfredo Cirne and Gonzalo P. Rodrigo}, title = {Modular Workload Format: Extending {SWF} for Modular Systems}, booktitle = {Job Scheduling Strategies for Parallel Processing - 24th International Workshop, {JSSPP} 2021, Virtual Event, May 21, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12985}, pages = {43--55}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-88224-2\_3}, doi = {10.1007/978-3-030-88224-2\_3}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jsspp/CorbalanD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/PaulKW21, author = {Arnab Kumar Paul and Ahmad Maroof Karimi and Feiyi Wang}, title = {Characterizing Machine Learning {I/O} Workloads on Leadership Scale {HPC} Systems}, booktitle = {29th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2021, Houston, TX, USA, November 3-5, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MASCOTS53633.2021.9614303}, doi = {10.1109/MASCOTS53633.2021.9614303}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mascots/PaulKW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metroi/TamantiniLLCZ21, author = {Christian Tamantini and Martina Lapresa and Francesco Scotto di Luzio and Francesca Cordella and Loredana Zollo}, title = {Analysis of Physiological Parameters and Workload during Working Tasks in {COVID-19} Pandemic Conditions}, booktitle = {{IEEE} International Workshop on Metrology for Industry 4.0 {\&} IoT, MetroInd4.0{\&}IoT 2021, Rome, Italy, June 7-9, 2021}, pages = {423--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MetroInd4.0IoT51437.2021.9488444}, doi = {10.1109/METROIND4.0IOT51437.2021.9488444}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/metroi/TamantiniLLCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/BharadwajDEOK21, author = {Srikant Bharadwaj and Shomit Das and Yasuko Eckert and Mark Oskin and Tushar Krishna}, editor = {Tushar Krishna and John Kim and Sergi Abadal and Joshua San Miguel}, title = {{DUB:} dynamic underclocking and bypassing in nocs for heterogeneous {GPU} workloads}, booktitle = {{NOCS} '21: International Symposium on Networks-on-Chip, Virtual Event, October 14-15, 2021}, pages = {49--54}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3479876.3481590}, doi = {10.1145/3479876.3481590}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/BharadwajDEOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/openshmem/HoranyM21, author = {Morad Horany and Alex Margolin}, editor = {Stephen W. Poole and Oscar R. Hernandez and Matthew B. Baker and Tony Curtis}, title = {Remote Programmability Model for SmartNICs in {HPC} Workloads}, booktitle = {OpenSHMEM and Related Technologies. OpenSHMEM in the Era of Exascale and Smart Networks - 8th Workshop on OpenSHMEM and Related Technologies, OpenSHMEM 2021, Virtual Event, September 14-16, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13159}, pages = {178--186}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-04888-3\_11}, doi = {10.1007/978-3-031-04888-3\_11}, timestamp = {Fri, 10 Mar 2023 09:48:58 +0100}, biburl = {https://dblp.org/rec/conf/openshmem/HoranyM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/NegiIMAKFJ21, author = {Parimarjan Negi and Matteo Interlandi and Ryan Marcus and Mohammad Alizadeh and Tim Kraska and Marc T. Friedman and Alekh Jindal}, editor = {Guoliang Li and Zhanhuai Li and Stratos Idreos and Divesh Srivastava}, title = {Steering Query Optimizers: {A} Practical Take on Big Data Workloads}, booktitle = {{SIGMOD} '21: International Conference on Management of Data, Virtual Event, China, June 20-25, 2021}, pages = {2557--2569}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3448016.3457568}, doi = {10.1145/3448016.3457568}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/NegiIMAKFJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/DemoulinFPKLPZ21, author = {Henri Maxime Demoulin and Joshua Fried and Isaac Pedisich and Marios Kogias and Boon Thau Loo and Linh Thi Xuan Phan and Irene Zhang}, editor = {Robbert van Renesse and Nickolai Zeldovich}, title = {When Idling is Ideal: Optimizing Tail-Latency for Heavy-Tailed Datacenter Workloads with Pers{\'{e}}phone}, booktitle = {{SOSP} '21: {ACM} {SIGOPS} 28th Symposium on Operating Systems Principles, Virtual Event / Koblenz, Germany, October 26-29, 2021}, pages = {621--637}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3477132.3483571}, doi = {10.1145/3477132.3483571}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sosp/DemoulinFPKLPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/FotouhiFPLAY21, author = {Pouya Fotouhi and Marjan Fariborz and Roberto Proietti and Jason Lowe{-}Power and Venkatesh Akella and S. J. Ben Yoo}, editor = {Bradford L. Chamberlain and Ana Lucia Varbanescu and Hatem Ltaief and Piotr Luszczek}, title = {{HTA:} {A} Scalable High-Throughput Accelerator for Irregular {HPC} Workloads}, booktitle = {High Performance Computing - 36th International Conference, {ISC} High Performance 2021, Virtual Event, June 24 - July 2, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12728}, pages = {176--194}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78713-4\_10}, doi = {10.1007/978-3-030-78713-4\_10}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/FotouhiFPLAY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucc/TavaresAB21, author = {William F. C. Tavares and Marcio R. M. Assis and Edson Borin}, editor = {Ivona Brandic and Rizos Sakellariou and Josef Spillner}, title = {Leveraging vCPU-utilization rates to select cost-efficient VMs for parallel workloads}, booktitle = {{UCC} '21: 2021 {IEEE/ACM} 14th International Conference on Utility and Cloud Computing, Leicester, United Kingdom, December 6 - 9, 2021}, pages = {21:1--21:10}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3468737.3494095}, doi = {10.1145/3468737.3494095}, timestamp = {Tue, 07 May 2024 20:07:12 +0200}, biburl = {https://dblp.org/rec/conf/ucc/TavaresAB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/BarnertK21, author = {Maximilian Barnert and Helmut Krcmar}, editor = {Johann Bourcier and Zhen Ming (Jack) Jiang and Cor{-}Paul Bezemer and Vittorio Cortellessa and Daniele Di Pompeo and Ana Lucia Varbanescu}, title = {Simulation of In-Memory Database Workload: Markov Chains versus Relative Invocation Frequency and Equal Probability - {A} Trade-off between Accuracy and Time}, booktitle = {{ICPE} '21: {ACM/SPEC} International Conference on Performance Engineering, Virtual Event, France, April 19-21, 2021}, pages = {73--80}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3427921.3450237}, doi = {10.1145/3427921.3450237}, timestamp = {Wed, 21 Apr 2021 09:00:35 +0200}, biburl = {https://dblp.org/rec/conf/wosp/BarnertK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/DomaschkaLSEGK21, author = {J{\"{o}}rg Domaschka and Mark Leznik and Daniel Seybold and Simon Eismann and Johannes Grohmann and Samuel Kounev}, editor = {Johann Bourcier and Zhen Ming (Jack) Jiang and Cor{-}Paul Bezemer and Vittorio Cortellessa and Daniele Di Pompeo and Ana Lucia Varbanescu}, title = {Buzzy: Towards Realistic {DBMS} Benchmarking via Tailored, Representative, Synthetic Workloads: Vision Paper}, booktitle = {{ICPE} '21: {ACM/SPEC} International Conference on Performance Engineering, Virtual Event, France, April 19-21, 2021, Companion Volume}, pages = {175--178}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447545.3451175}, doi = {10.1145/3447545.3451175}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wosp/DomaschkaLSEGK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hworkload/2021, editor = {Luca Longo and Maria Chiara Leva}, title = {Human Mental Workload: Models and Applications - 5th International Symposium, {H-WORKLOAD} 2021, Virtual Event, November 24-26, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1493}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91408-0}, doi = {10.1007/978-3-030-91408-0}, isbn = {978-3-030-91407-3}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hworkload/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-12007, author = {Marco D'Amico and Julita Corbal{\'{a}}n}, title = {Energy hardware and workload aware job scheduling towards interconnected {HPC} environments}, journal = {CoRR}, volume = {abs/2106.12007}, year = {2021}, url = {https://arxiv.org/abs/2106.12007}, eprinttype = {arXiv}, eprint = {2106.12007}, timestamp = {Wed, 30 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-12007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-03475, author = {Martino Ciaperoni and {\c{C}}igdem Aslay and Aristides Gionis and Michael Mathioudakis}, title = {Workload-Aware Materialization of Junction Trees}, journal = {CoRR}, volume = {abs/2110.03475}, year = {2021}, url = {https://arxiv.org/abs/2110.03475}, eprinttype = {arXiv}, eprint = {2110.03475}, timestamp = {Thu, 21 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-03475.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DaradkehAZG20, author = {Tariq Daradkeh and Anjali Agarwal and Marzia Zaman and Nishith Goel}, title = {Dynamic K-Means Clustering of Workload and Cloud Resource Configuration for Cloud Elastic Model}, journal = {{IEEE} Access}, volume = {8}, pages = {219430--219446}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3042716}, doi = {10.1109/ACCESS.2020.3042716}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/DaradkehAZG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GarciaTACPCDDDG20, author = {{\'{A}}lvaro L{\'{o}}pez Garc{\'{\i}}a and Viet D. Tran and Andy S. Alic and Miguel Caballer and Isabel Campos Plasencia and Alessandro Costantini and Stefan Dlugolinsky and Doina Cristina Duma and Giacinto Donvito and Jorge Gomes and Ignacio Heredia Cacha and Jes{\'{u}}s E. Marco de Lucas and Keiichi Ito and Valentin Kozlov and Giang T. Nguyen and Pablo Orviz Fern{\'{a}}ndez and Zdenek Sustr and Pawel Wolniewicz and Marica Antonacci and Wolfgang zu Castell and M{\'{a}}rio David and Marcus Hardt and Lara Lloret Iglesias and Germ{\'{a}}n Molt{\'{o}} and Marcin Pl{\'{o}}ciennik}, title = {A Cloud-Based Framework for Machine Learning Workloads and Applications}, journal = {{IEEE} Access}, volume = {8}, pages = {18681--18692}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2964386}, doi = {10.1109/ACCESS.2020.2964386}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/GarciaTACPCDDDG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/AmiriKM20, author = {Maryam Amiri and Leyli Mohammad Khanli and Raffaela Mirandola}, title = {A new efficient approach for extracting the closed episodes for workload prediction in cloud}, journal = {Computing}, volume = {102}, number = {1}, pages = {141--200}, year = {2020}, url = {https://doi.org/10.1007/s00607-019-00734-3}, doi = {10.1007/S00607-019-00734-3}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/AmiriKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/CalzarossaMT20, author = {Maria Carla Calzarossa and Luisa Massari and Daniele Tessera}, title = {Evaluation of cloud autoscaling strategies under different incoming workload patterns}, journal = {Concurr. Comput. Pract. Exp.}, volume = {32}, number = {17}, year = {2020}, url = {https://doi.org/10.1002/cpe.5667}, doi = {10.1002/CPE.5667}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/CalzarossaMT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ctw/WulvikDS20, author = {Andreas Wulvik and Henrikke Dybvik and Martin Steinert}, title = {Investigating the relationship between mental state (workload and affect) and physiology in a control room setting (ship bridge simulator)}, journal = {Cogn. Technol. Work.}, volume = {22}, number = {1}, pages = {95--108}, year = {2020}, url = {https://doi.org/10.1007/s10111-019-00553-8}, doi = {10.1007/S10111-019-00553-8}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ctw/WulvikDS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsj/MartinR20, author = {Megan Wydick Martin and Cliff T. Ragsdale}, title = {Navigating the Best Path to Optimality in a University Grants Administration Workload Assignment Problem}, journal = {Decis. Sci.}, volume = {51}, number = {3}, pages = {786--803}, year = {2020}, url = {https://doi.org/10.1111/deci.12440}, doi = {10.1111/DECI.12440}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dsj/MartinR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/MozaffariNE20, author = {Maryam Mozaffari and Eslam Nazemi and Amir{-}Masoud Eftekhari{-}Moghadam}, title = {Feedback control loop design for workload change detection in self-tuning NoSQL wide column stores}, journal = {Expert Syst. Appl.}, volume = {142}, year = {2020}, url = {https://doi.org/10.1016/j.eswa.2019.112973}, doi = {10.1016/J.ESWA.2019.112973}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/MozaffariNE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/MantovaniGGSBJC20, author = {Filippo Mantovani and Marta Garcia{-}Gasulla and Jos{\'{e}} Gracia and Esteban Stafford and Fabio Banchelli and Marc Josep{-}Fabrego and Joel Criado{-}Ledesma and Mathias Nachtmann}, title = {Performance and energy consumption of {HPC} workloads on a cluster based on Arm ThunderX2 {CPU}}, journal = {Future Gener. Comput. Syst.}, volume = {112}, pages = {800--818}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.06.033}, doi = {10.1016/J.FUTURE.2020.06.033}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/MantovaniGGSBJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/PratsMBC20, author = {David Buchaca Prats and Joan Marcual and Josep Lluis Berral and David Carrera}, title = {Sequence-to-sequence models for workload interference prediction on batch processing datacenters}, journal = {Future Gener. Comput. Syst.}, volume = {110}, pages = {155--166}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.03.058}, doi = {10.1016/J.FUTURE.2020.03.058}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/PratsMBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/PuchePGS20, author = {Jos{\'{e}} Puche and Salvador Petit and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {An efficient cache flat storage organization for multithreaded workloads for low power processors}, journal = {Future Gener. Comput. Syst.}, volume = {110}, pages = {1037--1054}, year = {2020}, url = {https://doi.org/10.1016/j.future.2019.11.024}, doi = {10.1016/J.FUTURE.2019.11.024}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/PuchePGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/LiljamoKS20, author = {Pia Liljamo and Ulla{-}Mari Kinnunen and Kaija Saranto}, title = {Assessing the relation of the coded nursing care and nursing intensity data: Towards the exploitation of clinical data for administrative use and the design of nursing workload}, journal = {Health Informatics J.}, volume = {26}, number = {1}, year = {2020}, url = {https://doi.org/10.1177/1460458218813613}, doi = {10.1177/1460458218813613}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/LiljamoKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/is/PeraltaMVD20, author = {Ver{\'{o}}nika Peralta and Patrick Marcel and Willeme Verdeaux and Aboubakar Sidikhy Diakhaby}, title = {Detecting coherent explorations in {SQL} workloads}, journal = {Inf. Syst.}, volume = {92}, pages = {101479}, year = {2020}, url = {https://doi.org/10.1016/j.is.2019.101479}, doi = {10.1016/J.IS.2019.101479}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/is/PeraltaMVD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/KCSKG20, author = {Diwas Singh KC and Bradley R. Staats and Maryam Kouchaki and Francesca Gino}, title = {Task Selection and Workload: {A} Focus on Completing Easy Tasks Hurts Performance}, journal = {Manag. Sci.}, volume = {66}, number = {10}, pages = {4397--4416}, year = {2020}, url = {https://doi.org/10.1287/mnsc.2019.3419}, doi = {10.1287/MNSC.2019.3419}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mansci/KCSKG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/0007SCR20, author = {Muhammad Bilal and Marco Serafini and Marco Canini and Rodrigo Rodrigues}, title = {Do the Best Cloud Configurations Grow on Trees? An Experimental Evaluation of Black Box Algorithms for Optimizing Cloud Workloads Sub}, journal = {Proc. {VLDB} Endow.}, volume = {13}, number = {11}, pages = {2563--2575}, year = {2020}, url = {http://www.vldb.org/pvldb/vol13/p2563-bilal.pdf}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pvldb/0007SCR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Kennedy-MetzDRR20, author = {Lauren R. Kennedy{-}Metz and Roger D. Dias and Srey Rithy and Geoffrey Rance and Cesare Furlanello and Marco A. Zenati}, title = {Sensors for Continuous Monitoring of Surgeon's Cognitive Workload in the Cardiac Operating Room}, journal = {Sensors}, volume = {20}, number = {22}, pages = {6616}, year = {2020}, url = {https://doi.org/10.3390/s20226616}, doi = {10.3390/S20226616}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Kennedy-MetzDRR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Rico-GonzalezAR20, author = {Markel Rico{-}Gonz{\'{a}}lez and Asier Los Arcos and Daniel Rojas{-}Valverde and Filipe Manuel Clemente and Jos{\'{e}} Pino{-}Ortega}, title = {A Survey to Assess the Quality of the Data Obtained by Radio-Frequency Technologies and Microelectromechanical Systems to Measure External Workload and Collective Behavior Variables in Team Sports}, journal = {Sensors}, volume = {20}, number = {8}, pages = {2271}, year = {2020}, url = {https://doi.org/10.3390/s20082271}, doi = {10.3390/S20082271}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/Rico-GonzalezAR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SiddiqueeAMHRB20, author = {Masudur R. Siddiquee and Roozbeh Atri and J. Sebastian Marquez and S. M. Shafiul Hasan and Rodrigo Ramon and Ou Bai}, title = {Sensor Location Optimization of Wireless Wearable fNIRS System for Cognitive Workload Monitoring Using a Data-Driven Approach for Improved Wearability}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5082}, year = {2020}, url = {https://doi.org/10.3390/s20185082}, doi = {10.3390/S20185082}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/SiddiqueeAMHRB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigbed/BarbieriCBS20, author = {Samuele Barbieri and Fabiola Casasopra and Rolando Brondolin and Marco D. Santambrogio}, title = {{FARD:} accelerating distributed fog computing workloads through embedded FPGAs}, journal = {{SIGBED} Rev.}, volume = {17}, number = {1}, pages = {56--62}, year = {2020}, url = {https://doi.org/10.1145/3412821.3412830}, doi = {10.1145/3412821.3412830}, timestamp = {Wed, 07 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigbed/BarbieriCBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sqj/TorquatoMV20, author = {Matheus Torquato and Paulo R. M. Maciel and Marco Vieira}, title = {Availability and reliability modeling of {VM} migration as rejuvenation on a system under varying workload}, journal = {Softw. Qual. J.}, volume = {28}, number = {1}, pages = {59--83}, year = {2020}, url = {https://doi.org/10.1007/s11219-019-09474-1}, doi = {10.1007/S11219-019-09474-1}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sqj/TorquatoMV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taffco/GrissmannSFKZKS20, author = {Sebastian Grissmann and Martin Sp{\"{u}}ler and Josef Faller and Tanja Krumpe and Thorsten O. Zander and Augustin Kelava and Christian Scharinger and Peter Gerjets}, title = {Context Sensitivity of EEG-Based Workload Classification Under Different Affective Valence}, journal = {{IEEE} Trans. Affect. Comput.}, volume = {11}, number = {2}, pages = {327--334}, year = {2020}, url = {https://doi.org/10.1109/TAFFC.2017.2775616}, doi = {10.1109/TAFFC.2017.2775616}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taffco/GrissmannSFKZKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/MoolchandaniKMS20, author = {Diksha Moolchandani and Anshul Kumar and Jos{\'{e}} F. Mart{\'{\i}}nez and Smruti R. Sarangi}, title = {VisSched: An Auction-Based Scheduler for Vision Workloads on Heterogeneous Processors}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {11}, pages = {4252--4265}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.3013076}, doi = {10.1109/TCAD.2020.3013076}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/MoolchandaniKMS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SaldanhaSMA20, author = {M{\'{a}}rio Saldanha and Gustavo Sanchez and C{\'{e}}sar A. M. Marcon and Luciano Agostini}, title = {Tile Adaptation for Workload Balancing of 3D-HEVC Encoder in Homogeneous Multicore Systems}, journal = {{IEEE} Trans. Circuits Syst. {I} Fundam. Theory Appl.}, volume = {67-I}, number = {5}, pages = {1704--1714}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2020.2977297}, doi = {10.1109/TCSI.2020.2977297}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/SaldanhaSMA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/SunCLYY20, author = {Jun Sun and Minghua Chen and Haoyang Liu and Qinmin Yang and Zaiyue Yang}, title = {Workload Transfer Strategy of Urban Neighboring Data Centers With Market Power in Local Electricity Market}, journal = {{IEEE} Trans. Smart Grid}, volume = {11}, number = {4}, pages = {3083--3094}, year = {2020}, url = {https://doi.org/10.1109/TSG.2020.2967803}, doi = {10.1109/TSG.2020.2967803}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/SunCLYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/HarrisWV20, author = {David J. Harris and Mark R. Wilson and Samuel J. Vine}, title = {Development and validation of a simulation workload measure: the simulation task load index {(SIM-TLX)}}, journal = {Virtual Real.}, volume = {24}, number = {4}, pages = {557--566}, year = {2020}, url = {https://doi.org/10.1007/s10055-019-00422-9}, doi = {10.1007/S10055-019-00422-9}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/HarrisWV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/Milleville-Pennel20, author = {Isabelle Milleville{-}Pennel and Franck Mars and Lauriane Pouliquen{-}Lardy}, title = {Sharing spatial information in a virtual environment: How do visual cues and configuration influence spatial coding and mental workload?}, journal = {Virtual Real.}, volume = {24}, number = {4}, pages = {695--712}, year = {2020}, url = {https://doi.org/10.1007/s10055-020-00430-0}, doi = {10.1007/S10055-020-00430-0}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vr/Milleville-Pennel20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/WengLJBPDSYE20, author = {Yifan Weng and Ruikun Luo and Paramsothy Jayakumar and Mark J. Brudnak and Victor Paul and Vishnu R. Desaraju and Jeffrey L. Stein and X. Jessie Yang and Tulga Ersal}, title = {Design and Evaluation of a Workload-Adaptive Haptic Shared Control Framework for Semi-Autonomous Driving}, booktitle = {2020 American Control Conference, {ACC} 2020, Denver, CO, USA, July 1-3, 2020}, pages = {4369--4374}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/ACC45564.2020.9147482}, doi = {10.23919/ACC45564.2020.9147482}, timestamp = {Sun, 08 Aug 2021 01:40:57 +0200}, biburl = {https://dblp.org/rec/conf/amcc/WengLJBPDSYE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/MaasAIJMR20, author = {Martin Maas and David G. Andersen and Michael Isard and Mohammad Mahdi Javanmard and Kathryn S. McKinley and Colin Raffel}, editor = {James R. Larus and Luis Ceze and Karin Strauss}, title = {Learning-based Memory Allocation for {C++} Server Workloads}, booktitle = {{ASPLOS} '20: Architectural Support for Programming Languages and Operating Systems, Lausanne, Switzerland, March 16-20, 2020}, pages = {541--556}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3373376.3378525}, doi = {10.1145/3373376.3378525}, timestamp = {Tue, 21 Jul 2020 12:07:35 +0200}, biburl = {https://dblp.org/rec/conf/asplos/MaasAIJMR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chira/RauffetSLCB20, author = {Philippe Rauffet and Farida Sa{\"{\i}}d and Amine Laouar and Christine Chauvin and Marie{-}Christine Bressolle}, editor = {Andreas Holzinger and Hugo Pl{\'{a}}cido da Silva and Markus Helfert and Larry L. Constantine}, title = {Cognitive Control Modes and Mental Workload: An Experimental Approach}, booktitle = {Proceedings of the 4th International Conference on Computer-Human Interaction Research and Applications, {CHIRA} 2020, Budapest, Hungary, November 5-6, 2020}, pages = {17--26}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0010011600170026}, doi = {10.5220/0010011600170026}, timestamp = {Tue, 06 Jun 2023 14:58:01 +0200}, biburl = {https://dblp.org/rec/conf/chira/RauffetSLCB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chira/RauffetSLCB20a, author = {Philippe Rauffet and Farida Sa{\"{\i}}d and Amine Laouar and Christine Chauvin and Marie{-}Christine Bressolle}, editor = {Andreas Holzinger and Hugo Pl{\'{a}}cido da Silva and Markus Helfert and Larry L. Constantine}, title = {Cognitive Control: Transitions in Control Modes Under Different Level of Workload and fNIRS Sensitivity}, booktitle = {Computer-Human Interaction Research and Applications - 4th International Conference, {CHIRA} 2020, Virtual Event, November 5-6, 2020, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1609}, pages = {1--16}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-031-22015-9\_1}, doi = {10.1007/978-3-031-22015-9\_1}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chira/RauffetSLCB20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisim/KaczorowskaWP20, author = {Monika Kaczorowska and Martyna Wawrzyk and Malgorzata Plechawska{-}W{\'{o}}jcik}, editor = {Khalid Saeed and Jir{\'{\i}} Dvorsk{\'{y}}}, title = {Binary Classification of Cognitive Workload Levels with Oculography Features}, booktitle = {Computer Information Systems and Industrial Management - 19th International Conference, {CISIM} 2020, Bialystok, Poland, October 16-18, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12133}, pages = {243--254}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-47679-3\_21}, doi = {10.1007/978-3-030-47679-3\_21}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cisim/KaczorowskaWP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/ChienPPM20, author = {Steven Wei Der Chien and Artur Podobas and Ivy Bo Peng and Stefano Markidis}, title = {tf-Darshan: Understanding Fine-grained {I/O} Performance in Machine Learning Workloads}, booktitle = {{IEEE} International Conference on Cluster Computing, {CLUSTER} 2020, Kobe, Japan, September 14-17, 2020}, pages = {359--370}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CLUSTER49012.2020.00046}, doi = {10.1109/CLUSTER49012.2020.00046}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/ChienPPM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/0001FGGHJLNORRR20, author = {David Brooks and Martin M. Frank and Tayfun Gokmen and Udit Gupta and Xiaobo Sharon Hu and Shubham Jain and Ann Franchesca Laguna and Michael T. Niemier and Ian O'Connor and Anand Raghunathan and Ashish Ranjan and Dayane Reis and Jacob R. Stevens and Carole{-}Jean Wu and Xunzhao Yin}, title = {Emerging Neural Workloads and Their Impact on Hardware}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {1462--1471}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116435}, doi = {10.23919/DATE48585.2020.9116435}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/0001FGGHJLNORRR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/ZaplanaCFLGBDC20, author = {Isiah Zaplana and Emanuela Cepolina and Fabrizio Faieta and Oronzo Lucia and Roberto Gagliardi and Khelifa Baizid and Mariapaola D'Imperio and Ferdinando Cannella}, title = {A novel strategy for balancing the workload of industrial lines based on a genetic algorithm}, booktitle = {25th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2020, Vienna, Austria, September 8-11, 2020}, pages = {785--792}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ETFA46521.2020.9212038}, doi = {10.1109/ETFA46521.2020.9212038}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/etfa/ZaplanaCFLGBDC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/CastilloGT20, author = {Jos{\'{e}} Manuel Castillo and Edith Galy and Pierre Th{\'{e}}rouanne}, editor = {Don Harris and Wen{-}Chin Li}, title = {Mental Workload and Technostress at Work. Which Perspectives and Theoretical Frameworks Can Help Us Understand Both Phenomena Together?}, booktitle = {Engineering Psychology and Cognitive Ergonomics. Mental Workload, Human Physiology, and Human Energy - 17th International Conference, {EPCE} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12186}, pages = {14--30}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49044-7\_2}, doi = {10.1007/978-3-030-49044-7\_2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/CastilloGT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KratchounovaHMM20, author = {Daniela Kratchounova and Mark Humphreys and Larry Miller and Theodore Mofle and Inchul Choi and Blake L. Nesmith}, editor = {Jessie Y. C. Chen and Gino Fragomeni}, title = {Crew Workload Considerations in Using {HUD} Localizer Takeoff Guidance in Lieu of Currently Required Infrastructure}, booktitle = {Virtual, Augmented and Mixed Reality. Design and Interaction - 12th International Conference, {VAMR} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12190}, pages = {507--521}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49695-1\_34}, doi = {10.1007/978-3-030-49695-1\_34}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/KratchounovaHMM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/RaduntzFM20, author = {Thea Rad{\"{u}}ntz and Marion Freyer and Beate Meffert}, editor = {Don Harris and Wen{-}Chin Li}, title = {Ambiguous Goals During Human-Computer Interaction Induce Higher Mental Workload}, booktitle = {Engineering Psychology and Cognitive Ergonomics. Mental Workload, Human Physiology, and Human Energy - 17th International Conference, {EPCE} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12186}, pages = {81--90}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49044-7\_8}, doi = {10.1007/978-3-030-49044-7\_8}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/RaduntzFM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ZhangZCLL20, author = {Yu Zhang and Yijing Zhang and Xue Cui and Zhizhong Li and Yuan Liu}, editor = {Don Harris and Wen{-}Chin Li}, title = {Assessment of Mental Workload Using Physiological Measures with Random Forests in Maritime Teamwork}, booktitle = {Engineering Psychology and Cognitive Ergonomics. Mental Workload, Human Physiology, and Human Energy - 17th International Conference, {EPCE} 2020, Held as Part of the 22nd {HCI} International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12186}, pages = {100--110}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-49044-7\_10}, doi = {10.1007/978-3-030-49044-7\_10}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ZhangZCLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/Munoz-de-Escalona20a, author = {Enrique Mu{\~{n}}oz{-}de{-}Escalona and Jos{\'{e}} Juan Ca{\~{n}}as and Maria Chiara Leva and Luca Longo}, editor = {Luca Longo and Maria Chiara Leva}, title = {Task Demand Transition Peak Point Effects on Mental Workload Measures Divergence}, booktitle = {Human Mental Workload: Models and Applications - 4th International Symposium, {H-WORKLOAD} 2020, Granada, Spain, December 3-5, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1318}, pages = {207--226}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-62302-9\_13}, doi = {10.1007/978-3-030-62302-9\_13}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hworkload/Munoz-de-Escalona20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/PediconiBBGV20, author = {Maria Gabriella Pediconi and Sarah Bigi and Michela Brunori and Glauco Maria Genga and Sabrina Venzi}, editor = {Luca Longo and Maria Chiara Leva}, title = {In the Sky Between Expertise and Unexpected Feelings and Resources of Pilots' Resilient Ego: {A} Psychoanalytic Point of View}, booktitle = {Human Mental Workload: Models and Applications - 4th International Symposium, {H-WORKLOAD} 2020, Granada, Spain, December 3-5, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1318}, pages = {27--57}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-62302-9\_3}, doi = {10.1007/978-3-030-62302-9\_3}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hworkload/PediconiBBGV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/SfakianakisMB20, author = {Yannis Sfakianakis and Manolis Marazakis and Angelos Bilas}, title = {DyRAC: Cost-aware Resource Assignment and Provider Selection for Dynamic Cloud Workloads}, booktitle = {26th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2020, Hong Kong, December 2-4, 2020}, pages = {502--509}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICPADS51040.2020.00071}, doi = {10.1109/ICPADS51040.2020.00071}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpads/SfakianakisMB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihiet/RainieriFTRGATP20, author = {Giuseppe Rainieri and Federico Fraboni and Martin Tusl and Gabriele Russo and Davide Giusino and Marco de Angelis and Annagrazia Tria and Luca Pietrantoni}, editor = {Tareq Z. Ahram and Redha Ta{\"{\i}}ar and Vincent Gremeaux{-}Bader and Kamiar Aminian}, title = {Workload and Visual Scanning Techniques of Expert and Novice Helicopter Pilots During Simulated Flight in Open Sea}, booktitle = {Human Interaction, Emerging Technologies and Future Applications {II} - Proceedings of the 2nd International Conference on Human Interaction and Emerging Technologies: Future Applications {(IHIET} - {AI} 2020), April 23-25, 2020, Lausanne, Switzerland}, series = {Advances in Intelligent Systems and Computing}, volume = {1152}, pages = {39--44}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-44267-5\_6}, doi = {10.1007/978-3-030-44267-5\_6}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ihiet/RainieriFTRGATP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/HsiaGWWW020, author = {Samuel Hsia and Udit Gupta and Mark Wilkening and Carole{-}Jean Wu and Gu{-}Yeon Wei and David Brooks}, title = {Cross-Stack Workload Characterization of Deep Recommendation Systems}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {157--168}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00024}, doi = {10.1109/IISWC50251.2020.00024}, timestamp = {Tue, 24 Nov 2020 14:11:39 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/HsiaGWWW020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/JametAJC20, author = {Alexandre Valentin Jamet and Lluc Alvarez and Daniel A. Jim{\'{e}}nez and Marc Casas}, title = {Characterizing the impact of last-level cache replacement policies on big-data workloads}, booktitle = {{IEEE} International Symposium on Workload Characterization, {IISWC} 2020, Beijing, China, October 27-30, 2020}, pages = {134--144}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IISWC50251.2020.00022}, doi = {10.1109/IISWC50251.2020.00022}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiswc/JametAJC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AbtsRSWBHBTKKHL20, author = {Dennis Abts and Jonathan Ross and Jonathan Sparling and Mark Wong{-}VanHaren and Max Baker and Tom Hawkins and Andrew Bell and John Thompson and Temesghen Kahsai and Garrin Kimmell and Jennifer Hwang and Rebekah Leslie{-}Hurd and Michael Bye and E. R. Creswick and Matthew Boyd and Mahitha Venigalla and Evan Laforge and Jon Purdy and Purushotham Kamath and Dinesh Maheshwari and Michael Beidler and Geert Rosseel and Omar Ahmad and Gleb Gagarin and Richard Czekalski and Ashay Rane and Sahil Parmar and Jeff Werner and Jim Sproch and Adrian Macias and Brian Kurtz}, title = {Think Fast: {A} Tensor Streaming Processor {(TSP)} for Accelerating Deep Learning Workloads}, booktitle = {47th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2020, Virtual Event / Valencia, Spain, May 30 - June 3, 2020}, pages = {145--158}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCA45697.2020.00023}, doi = {10.1109/ISCA45697.2020.00023}, timestamp = {Mon, 19 Feb 2024 07:32:24 +0100}, biburl = {https://dblp.org/rec/conf/isca/AbtsRSWBHBTKKHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/LuongMRADL20, author = {Tiffany Luong and Nicolas Martin and Ana{\"{\i}}s Raison and Ferran Argelaguet and Jean{-}Marc Diverrez and Anatole L{\'{e}}cuyer}, title = {Towards Real-Time Recognition of Users Mental Workload Using Integrated Physiological Sensors Into a {VR} {HMD}}, booktitle = {2020 {IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2020, Recife/Porto de Galinhas, Brazil, November 9-13, 2020}, pages = {425--437}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISMAR50242.2020.00068}, doi = {10.1109/ISMAR50242.2020.00068}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ismar/LuongMRADL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/GengAZGGG20, author = {Tongsheng Geng and Marcos Amaris and St{\'{e}}phane Zuckerman and Alfredo Goldman and Guang R. Gao and Jean{-}Luc Gaudiot}, editor = {Dalibor Klus{\'{a}}cek and Walfredo Cirne and Narayan Desai}, title = {{PDAWL:} Profile-Based Iterative Dynamic Adaptive WorkLoad Balance on Heterogeneous Architectures}, booktitle = {Job Scheduling Strategies for Parallel Processing - 23rd International Workshop, {JSSPP} 2020, New Orleans, LA, USA, May 22, 2020, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12326}, pages = {145--162}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-63171-0\_8}, doi = {10.1007/978-3-030-63171-0\_8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jsspp/GengAZGGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/GengLSWWLHTCRH20, author = {Tong Geng and Ang Li and Runbin Shi and Chunshu Wu and Tianqi Wang and Yanfei Li and Pouya Haghi and Antonino Tumeo and Shuai Che and Steven K. Reinhardt and Martin C. Herbordt}, title = {{AWB-GCN:} {A} Graph Convolutional Network Accelerator with Runtime Workload Rebalancing}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {922--936}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00079}, doi = {10.1109/MICRO50266.2020.00079}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/GengLSWWLHTCRH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/Balasubramanian20, author = {Venkatraman Balasubramanian and Moayad Aloqaily and Olufogorehan Tunde{-}Onadele and Zhengyu Yang and Martin Reisslein}, title = {Reinforcing Cloud Environments via Index Policy for Bursty Workloads}, booktitle = {{NOMS} 2020 - {IEEE/IFIP} Network Operations and Management Symposium, Budapest, Hungary, April 20-24, 2020}, pages = {1--7}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/NOMS47738.2020.9110417}, doi = {10.1109/NOMS47738.2020.9110417}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/noms/Balasubramanian20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/DerakhshanMARM20, author = {Behrouz Derakhshan and Alireza Rezaei Mahdiraji and Ziawasch Abedjan and Tilmann Rabl and Volker Markl}, editor = {David Maier and Rachel Pottinger and AnHai Doan and Wang{-}Chiew Tan and Abdussalam Alawini and Hung Q. Ngo}, title = {Optimizing Machine Learning Workloads in Collaborative Environments}, booktitle = {Proceedings of the 2020 International Conference on Management of Data, {SIGMOD} Conference 2020, online conference [Portland, OR, USA], June 14-19, 2020}, pages = {1701--1716}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3318464.3389715}, doi = {10.1145/3318464.3389715}, timestamp = {Wed, 04 May 2022 13:02:28 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/DerakhshanMARM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/RehrmannKLBS20, author = {Robin Rehrmann and Martin Keppner and Wolfgang Lehner and Carsten Binnig and Arne Schwarz}, editor = {Pinar T{\"{o}}z{\"{u}}n and Alexander B{\"{o}}hm}, title = {Workload merging potential in {SAP} Hybris}, booktitle = {Proceedings of the 8th International Workshop on Testing Database Systems, DBTest@SIGMOD 2020, Portland, Oregon, June 19, 2020}, pages = {7:1--7:6}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3395032.3395326}, doi = {10.1145/3395032.3395326}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/RehrmannKLBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ParkZ20, author = {Junho Park and Maryam Zahabi}, title = {Comparison of Cognitive Workload Assessment Techniques in EMG-based Prosthetic Device Studies}, booktitle = {2020 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2020, Toronto, ON, Canada, October 11-14, 2020}, pages = {1242--1248}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SMC42975.2020.9283229}, doi = {10.1109/SMC42975.2020.9283229}, timestamp = {Fri, 08 Jan 2021 11:20:36 +0100}, biburl = {https://dblp.org/rec/conf/smc/ParkZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sui/Markov-VetterLI20, author = {Daniela Markov{-}Vetter and Martin Luboschik and A. B. M. Tariqul Islam and Peter Gauger and Oliver G. Staadt}, title = {The Effect of Spatial Reference on Visual Attention and Workload during Viewpoint Guidance in Augmented Reality}, booktitle = {{SUI} '20: Symposium on Spatial User Interaction, Virtual Event, Canada, October 31 - November 1, 2020}, pages = {10:1--10:10}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3385959.3418449}, doi = {10.1145/3385959.3418449}, timestamp = {Thu, 29 Oct 2020 13:37:38 +0100}, biburl = {https://dblp.org/rec/conf/sui/Markov-VetterLI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/Lopez-HuguetQKB20, author = {Sergio L{\'{o}}pez{-}Huguet and J. Damian Segrelles Quilis and Marek Kasztelnik and Marian Bubak and Ignacio Blanquer}, editor = {Heike Jagode and Hartwig Anzt and Guido Juckeland and Hatem Ltaief}, title = {Seamlessly Managing {HPC} Workloads Through Kubernetes}, booktitle = {High Performance Computing - {ISC} High Performance 2020 International Workshops, Frankfurt, Germany, June 21-25, 2020, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12321}, pages = {310--320}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59851-8\_20}, doi = {10.1007/978-3-030-59851-8\_20}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/supercomputer/Lopez-HuguetQKB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/ShahradFGCBCLTR20, author = {Mohammad Shahrad and Rodrigo Fonseca and I{\~{n}}igo Goiri and Gohar Irfan Chaudhry and Paul Batum and Jason Cooke and Eduardo Laureano and Colby Tresness and Mark Russinovich and Ricardo Bianchini}, editor = {Ada Gavrilovska and Erez Zadok}, title = {Serverless in the Wild: Characterizing and Optimizing the Serverless Workload at a Large Cloud Provider}, booktitle = {2020 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2020, July 15-17, 2020}, pages = {205--218}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/atc20/presentation/shahrad}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/usenix/ShahradFGCBCLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/LuongAML20, author = {Tiffany Luong and Ferran Argelaguet and Nicolas Martin and Anatole L{\'{e}}cuyer}, title = {Introducing Mental Workload Assessment for the Design of Virtual Reality Training Scenarios}, booktitle = {{IEEE} Conference on Virtual Reality and 3D User Interfaces, {VR} 2010, Atlanta, GA, USA, March 22-26, 2020}, pages = {662--671}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VR46266.2020.1581086856229}, doi = {10.1109/VR46266.2020.1581086856229}, timestamp = {Mon, 18 May 2020 15:16:46 +0200}, biburl = {https://dblp.org/rec/conf/vr/LuongAML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/DucLDO20, author = {Thang Le Duc and Mark Leznik and J{\"{o}}rg Domaschka and Per{-}Olov {\"{O}}stberg}, editor = {Jos{\'{e}} Nelson Amaral and Anne Koziolek and Catia Trubiani and Alexandru Iosup}, title = {Workload Diffusion Modeling for Distributed Applications in Fog/Edge Computing Environments}, booktitle = {{ICPE} '20: {ACM/SPEC} International Conference on Performance Engineering, Edmonton, AB, Canada, April 20-24, 2020}, pages = {218--229}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3358960.3379135}, doi = {10.1145/3358960.3379135}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wosp/DucLDO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hworkload/2020, editor = {Luca Longo and Maria Chiara Leva}, title = {Human Mental Workload: Models and Applications - 4th International Symposium, {H-WORKLOAD} 2020, Granada, Spain, December 3-5, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1318}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-62302-9}, doi = {10.1007/978-3-030-62302-9}, isbn = {978-3-030-62301-2}, timestamp = {Thu, 30 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hworkload/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-03423, author = {Mohammad Shahrad and Rodrigo Fonseca and I{\~{n}}igo Goiri and Gohar Irfan Chaudhry and Paul Batum and Jason Cooke and Eduardo Laureano and Colby Tresness and Mark Russinovich and Ricardo Bianchini}, title = {Serverless in the Wild: Characterizing and Optimizing the Serverless Workload at a Large Cloud Provider}, journal = {CoRR}, volume = {abs/2003.03423}, year = {2020}, url = {https://arxiv.org/abs/2003.03423}, eprinttype = {arXiv}, eprint = {2003.03423}, timestamp = {Fri, 19 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-03423.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-00167, author = {Ruikun Luo and Yifan Weng and Yifan Wang and Paramsothy Jayakumar and Mark J. Brudnak and Victor Paul and Vishnu R. Desaraju and Jeffrey L. Stein and Tulga Ersal and X. Jessie Yang}, title = {A Workload Adaptive Haptic Shared Control Scheme for Semi-Autonomous Driving}, journal = {CoRR}, volume = {abs/2004.00167}, year = {2020}, url = {https://arxiv.org/abs/2004.00167}, eprinttype = {arXiv}, eprint = {2004.00167}, timestamp = {Wed, 08 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-00167.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-14429, author = {David Buchaca Prats and Joan Marcual and Josep Llu{\'{\i}}s Berral and David Carrera}, title = {Sequence-to-sequence models for workload interference}, journal = {CoRR}, volume = {abs/2006.14429}, year = {2020}, url = {https://arxiv.org/abs/2006.14429}, eprinttype = {arXiv}, eprint = {2006.14429}, timestamp = {Wed, 01 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-14429.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-15481, author = {Vanderson Martins do Ros{\'{a}}rio and Thais Aparecida Silva Camacho and Ot{\'{a}}vio Oliveira Napoli and Edson Borin}, title = {Fast and Low-cost Search for Efficient Cloud Configurations for {HPC} Workloads}, journal = {CoRR}, volume = {abs/2006.15481}, year = {2020}, url = {https://arxiv.org/abs/2006.15481}, eprinttype = {arXiv}, eprint = {2006.15481}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-15481.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-04868, author = {Filippo Mantovani and Marta Garcia{-}Gasulla and Jos{\'{e}} Gracia and Esteban Stafford and Fabio Banchelli and Marc Josep{-}Fabrego and Joel Criado{-}Ledesma and Mathias Nachtmann}, title = {Performance and energy consumption of {HPC} workloads on a cluster based on Arm ThunderX2 {CPU}}, journal = {CoRR}, volume = {abs/2007.04868}, year = {2020}, url = {https://arxiv.org/abs/2007.04868}, eprinttype = {arXiv}, eprint = {2007.04868}, timestamp = {Mon, 20 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-04868.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-07131, author = {Albert Segura and Jos{\'{e}}{-}Mar{\'{\i}}a Arnau and Antonio Gonz{\'{a}}lez}, title = {Irregular Accesses Reorder Unit: Improving {GPGPU} Memory Coalescing for Graph-Based Workloads}, journal = {CoRR}, volume = {abs/2007.07131}, year = {2020}, url = {https://arxiv.org/abs/2007.07131}, eprinttype = {arXiv}, eprint = {2007.07131}, timestamp = {Thu, 03 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-07131.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-04395, author = {Steven Wei Der Chien and Artur Podobas and Ivy Bo Peng and Stefano Markidis}, title = {tf-Darshan: Understanding Fine-grained {I/O} Performance in Machine Learning Workloads}, journal = {CoRR}, volume = {abs/2008.04395}, year = {2020}, url = {https://arxiv.org/abs/2008.04395}, eprinttype = {arXiv}, eprint = {2008.04395}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-04395.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-05031, author = {Lucia Pons and Josu{\'{e}} Feliu and Jos{\'{e}} Puche and Chaoyi Huang and Salvador Petit and Julio Pons and Mar{\'{\i}}a Engracia G{\'{o}}mez and Julio Sahuquillo}, title = {Understanding Cloud Workloads Performance in a Production like Environment}, journal = {CoRR}, volume = {abs/2010.05031}, year = {2020}, url = {https://arxiv.org/abs/2010.05031}, eprinttype = {arXiv}, eprint = {2010.05031}, timestamp = {Tue, 22 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-05031.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-05037, author = {Samuel Hsia and Udit Gupta and Mark Wilkening and Carole{-}Jean Wu and Gu{-}Yeon Wei and David Brooks}, title = {Cross-Stack Workload Characterization of Deep Recommendation Systems}, journal = {CoRR}, volume = {abs/2010.05037}, year = {2020}, url = {https://arxiv.org/abs/2010.05037}, eprinttype = {arXiv}, eprint = {2010.05037}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-05037.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-14838, author = {Taqwa Saeed and Sergi Abadal and Christos Liaskos and Andreas Pitsillides and Hamidreza Taghvaee and Albert Cabellos{-}Aparicio and Marios Lestas and Eduard Alarc{\'{o}}n}, title = {Workload Characterization of Programmable Metasurfaces}, journal = {CoRR}, volume = {abs/2011.14838}, year = {2020}, url = {https://arxiv.org/abs/2011.14838}, eprinttype = {arXiv}, eprint = {2011.14838}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-14838.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cejor/Salazar-Aguilar19, author = {M. Ang{\'{e}}lica Salazar{-}Aguilar and Vincent Boyer and Romeo Sanchez Nigenda and Iris Abril Mart{\'{\i}}nez{-}Salazar}, title = {The sales force sizing problem with multi-period workload assignments, and service time windows}, journal = {Central Eur. J. Oper. Res.}, volume = {27}, number = {1}, pages = {199--218}, year = {2019}, url = {https://doi.org/10.1007/s10100-017-0501-z}, doi = {10.1007/S10100-017-0501-Z}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cejor/Salazar-Aguilar19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/PennaGCPFBM19, author = {Pedro Henrique Penna and Ant{\^{o}}nio Tadeu A. Gomes and M{\'{a}}rcio Castro and Patricia Della M{\'{e}}a Plentz and Henrique C. Freitas and Fran{\c{c}}ois Broquedis and Jean{-}Fran{\c{c}}ois M{\'{e}}haut}, title = {A comprehensive performance evaluation of the BinLPT workload-aware loop scheduler}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {18}, year = {2019}, url = {https://doi.org/10.1002/cpe.5170}, doi = {10.1002/CPE.5170}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/PennaGCPFBM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/CoindreauGZL19, author = {Marc{-}Antoine Coindreau and Olivier Gallay and Nicolas Zufferey and Gilbert Laporte}, title = {Integrating workload smoothing and inventory reduction in three intermodal logistics platforms of a European car manufacturer}, journal = {Comput. Oper. Res.}, volume = {112}, year = {2019}, url = {https://doi.org/10.1016/j.cor.2019.104762}, doi = {10.1016/J.COR.2019.104762}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/CoindreauGZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ctw/Solis-MarcosK19, author = {Ignacio Sol{\'{\i}}s{-}Marcos and Katja Kircher}, title = {Event-related potentials as indices of mental workload while using an in-vehicle information system}, journal = {Cogn. Technol. Work.}, volume = {21}, number = {1}, pages = {55--67}, year = {2019}, url = {https://doi.org/10.1007/s10111-018-0485-z}, doi = {10.1007/S10111-018-0485-Z}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ctw/Solis-MarcosK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/TiwariAPGLTF19, author = {Abhishek Tiwari and Isabela Albuquerque and Mark Parent and Jean{-}Fran{\c{c}}ois Gagnon and Daniel Lafond and S{\'{e}}bastien Tremblay and Tiago H. Falk}, title = {Multi-Scale Heart Beat Entropy Measures for Mental Workload Assessment of Ambulant Users}, journal = {Entropy}, volume = {21}, number = {8}, pages = {783}, year = {2019}, url = {https://doi.org/10.3390/e21080783}, doi = {10.3390/E21080783}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/TiwariAPGLTF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/HughesHMSS19, author = {Ashley M. Hughes and Gabriella M. Hancock and Shannon L. Marlow and Kimberly Stowers and Eduardo Salas}, title = {Cardiac Measures of Cognitive Workload: {A} Meta-Analysis}, journal = {Hum. Factors}, volume = {61}, number = {3}, year = {2019}, url = {https://doi.org/10.1177/0018720819830553}, doi = {10.1177/0018720819830553}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/HughesHMSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/CalzavaraGGS19, author = {Martina Calzavara and Christoph H. Glock and Eric H. Grosse and Fabio Sgarbossa}, title = {An integrated storage assignment method for manual order picking warehouses considering cost, workload and posture}, journal = {Int. J. Prod. Res.}, volume = {57}, number = {8}, pages = {2392--2408}, year = {2019}, url = {https://doi.org/10.1080/00207543.2018.1518609}, doi = {10.1080/00207543.2018.1518609}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/CalzavaraGGS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ThurerSR19, author = {Matthias Th{\"{u}}rer and Mark Stevenson and Paolo Renna}, title = {Workload control in dual-resource constrained high-variety shops: an assessment by simulation}, journal = {Int. J. Prod. Res.}, volume = {57}, number = {3}, pages = {931--947}, year = {2019}, url = {https://doi.org/10.1080/00207543.2018.1497313}, doi = {10.1080/00207543.2018.1497313}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/ThurerSR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jccee/Yu0UDYSW19, author = {Yantao Yu and Heng Li and Waleed Umer and Chao Dong and Xincong Yang and Ronald Martin Skitmore and Arnold Y. L. Wong}, title = {Automatic Biomechanical Workload Estimation for Construction Workers by Computer Vision and Smart Insoles}, journal = {J. Comput. Civ. Eng.}, volume = {33}, number = {3}, year = {2019}, url = {https://doi.org/10.1061/(asce)cp.1943-5487.0000827}, doi = {10.1061/(ASCE)CP.1943-5487.0000827}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jccee/Yu0UDYSW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/PeruzziniTI19, author = {Margherita Peruzzini and Mara Tonietti and Cristina Iani}, title = {Transdisciplinary design approach based on driver's workload monitoring}, journal = {J. Ind. Inf. Integr.}, volume = {15}, pages = {91--102}, year = {2019}, url = {https://doi.org/10.1016/j.jii.2019.04.001}, doi = {10.1016/J.JII.2019.04.001}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jiii/PeruzziniTI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scheduling/BaruahBBM19, author = {Sanjoy K. Baruah and Vincenzo Bonifaci and Renato Bruni and Alberto Marchetti{-}Spaccamela}, title = {{ILP} models for the allocation of recurrent workloads upon heterogeneous multiprocessors}, journal = {J. Sched.}, volume = {22}, number = {2}, pages = {195--209}, year = {2019}, url = {https://doi.org/10.1007/s10951-018-0593-x}, doi = {10.1007/S10951-018-0593-X}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scheduling/BaruahBBM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/MaroulisZK19, author = {Stathis Maroulis and Nikos Zacheilas and Vana Kalogeraki}, title = {A Holistic Energy-Efficient Real-Time Scheduler for Mixed Stream and Batch Processing Workloads}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {30}, number = {12}, pages = {2624--2635}, year = {2019}, url = {https://doi.org/10.1109/TPDS.2019.2922606}, doi = {10.1109/TPDS.2019.2922606}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/MaroulisZK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/PerennouC0C19, author = {Lo{\"{\i}}c P{\'{e}}rennou and Mar Callau{-}Zori and Sylvain Lefebvre and Raja Chiky}, editor = {Elisa Bertino and Carl K. Chang and Peter Chen and Ernesto Damiani and Michael Goul and Katsunori Oyama}, title = {Workload Characterization for a Non-Hyperscale Public Cloud Platform}, booktitle = {12th {IEEE} International Conference on Cloud Computing, {CLOUD} 2019, Milan, Italy, July 8-13, 2019}, pages = {409--413}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CLOUD.2019.00072}, doi = {10.1109/CLOUD.2019.00072}, timestamp = {Sun, 16 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/PerennouC0C19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/MarajH19, author = {Crystal S. Maraj and Jonathan Hurter}, editor = {Tareq Z. Ahram}, title = {A Usability and Workload Investigation: Using Video Games to Compare Two Virtual Reality Systems}, booktitle = {Advances in Human Factors in Wearable Technologies and Game Design - Proceedings of the {AHFE} 2019 International Conference on Human Factors and Wearable Technologies, and the {AHFE} International Conference on Game Design and Virtual Environments, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {973}, pages = {354--363}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20476-1\_36}, doi = {10.1007/978-3-030-20476-1\_36}, timestamp = {Fri, 01 Oct 2021 12:46:04 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/MarajH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/MarkCKSCZA19, author = {Jesse Mark and Adrian Curtin and Amanda E. Kraft and Trevor M. Sands and William D. Casebeer and Matthias D. Ziegler and Hasan Ayaz}, editor = {Hasan Ayaz}, title = {Eye Tracking-Based Workload and Performance Assessment for Skill Acquisition}, booktitle = {Advances in Neuroergonomics and Cognitive Engineering - Proceedings of the {AHFE} 2019 International Conference on Neuroergonomics and Cognitive Engineering, and the {AHFE} International Conference on Industrial Cognitive Ergonomics and Engineering Psychology, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {953}, pages = {129--141}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20473-0\_14}, doi = {10.1007/978-3-030-20473-0\_14}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ahfe/MarkCKSCZA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/SaadatiNA19a, author = {Marjan Saadati and Jill K. Nelson and Hasan Ayaz}, editor = {Hasan Ayaz}, title = {Convolutional Neural Network for Hybrid fNIRS-EEG Mental Workload Classification}, booktitle = {Advances in Neuroergonomics and Cognitive Engineering - Proceedings of the {AHFE} 2019 International Conference on Neuroergonomics and Cognitive Engineering, and the {AHFE} International Conference on Industrial Cognitive Ergonomics and Engineering Psychology, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {953}, pages = {221--232}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20473-0\_22}, doi = {10.1007/978-3-030-20473-0\_22}, timestamp = {Tue, 28 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ahfe/SaadatiNA19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/YenPJCMCLMS19, author = {Po{-}Yin Yen and Nicole Pearl and Cierra Jethro and Emily Cooney and Brittany McNeil and Ling Chen and Marcelo A. Lopetegui and Thomas Maddox and Marilyn Schallom}, title = {Nurses' Stress Associated with Nursing Activities and Electronic Health Records: Data Triangulation from Continuous Stress Monitoring, Perceived Workload, and a Time Motion Study}, booktitle = {{AMIA} 2019, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2019}, publisher = {{AMIA}}, year = {2019}, url = {https://knowledge.amia.org/69862-amia-1.4570936/t004-1.4574923/t004-1.4574924/3203360-1.4574934/3203314-1.4574931}, timestamp = {Wed, 17 Apr 2024 11:47:08 +0200}, biburl = {https://dblp.org/rec/conf/amia/YenPJCMCLMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/closer/GantikowRKC19, author = {Holger Gantikow and Christoph Reich and Martin Knahl and Nathan L. Clarke}, editor = {V{\'{\i}}ctor M{\'{e}}ndez Mu{\~{n}}oz and Donald Ferguson and Markus Helfert and Claus Pahl}, title = {Rule-based Security Monitoring of Containerized Workloads}, booktitle = {Proceedings of the 9th International Conference on Cloud Computing and Services Science, {CLOSER} 2019, Heraklion, Crete, Greece, May 2-4, 2019}, pages = {543--550}, publisher = {SciTePress}, year = {2019}, url = {https://doi.org/10.5220/0007770005430550}, doi = {10.5220/0007770005430550}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/closer/GantikowRKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coins/GalanisMA19, author = {Ioannis Galanis and Theodoros Marinakis and Iraklis Anagnostopoulos}, editor = {Farshad Firouzi and Krishnendu Chakrabarty and Bahar J. Farahani and Fangming Ye and Vasilis F. Pavlidis}, title = {Workload-aware Management Targeting Multi-Gateway Internet-of-Things}, booktitle = {Proceedings of the International Conference on Omni-Layer Intelligent Systems, {COINS} 2019, Crete, Greece, May 5-7, 2019}, pages = {110--115}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3312614.3312639}, doi = {10.1145/3312614.3312639}, timestamp = {Tue, 31 Aug 2021 08:35:29 +0200}, biburl = {https://dblp.org/rec/conf/coins/GalanisMA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IranfarPZA19, author = {Arman Iranfar and Ali Pahlevan and Marina Zapater and David Atienza}, editor = {J{\"{u}}rgen Teich and Franco Fummi}, title = {Enhancing Two-Phase Cooling Efficiency through Thermal-Aware Workload Mapping for Power-Hungry Servers}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2019, Florence, Italy, March 25-29, 2019}, pages = {66--71}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/DATE.2019.8715033}, doi = {10.23919/DATE.2019.8715033}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/IranfarPZA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/0001J19, author = {Martin Boissier and Max Jendruk}, editor = {Melanie Herschel and Helena Galhardas and Berthold Reinwald and Irini Fundulaki and Carsten Binnig and Zoi Kaoudi}, title = {Workload-Driven and Robust Selection of Compression Schemes for Column Stores}, booktitle = {Advances in Database Technology - 22nd International Conference on Extending Database Technology, {EDBT} 2019, Lisbon, Portugal, March 26-29, 2019}, pages = {674--677}, publisher = {OpenProceedings.org}, year = {2019}, url = {https://doi.org/10.5441/002/edbt.2019.84}, doi = {10.5441/002/EDBT.2019.84}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/edbt/0001J19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/RosatiVBGB19, author = {Samanta Rosati and Federica Valeri and Alessandra Borchiellini and Claudia Maria Gianfreda and Gabriella Balestra}, title = {Characterization of Physicians Workload in a Reference Center for the Treatment of Thrombotic and Bleeding Disorders}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {1359--1362}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8856820}, doi = {10.1109/EMBC.2019.8856820}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/RosatiVBGB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/DengWSZL19, author = {Ye Deng and Yuexuan Wang and Manrong She and Yijing Zhang and Zhizhong Li}, editor = {Don Harris}, title = {A Study on Visual Workload Components: Effects of Component Combination and Scenario Complexity on Mental Workload in Maritime Operation Tasks}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 16th International Conference, {EPCE} 2019, Held as Part of the 21st {HCI} International Conference, {HCII} 2019, Orlando, FL, USA, July 26-31, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11571}, pages = {20--28}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22507-0\_2}, doi = {10.1007/978-3-030-22507-0\_2}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/DengWSZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KaufeldN19, author = {Mara Kaufeld and Peter Nickel}, editor = {Vincent G. Duffy}, title = {Level of Robot Autonomy and Information Aids in Human-Robot Interaction Affect Human Mental Workload - An Investigation in Virtual Reality}, booktitle = {Digital Human Modeling and Applications in Health, Safety, Ergonomics and Risk Management. Human Body and Motion - 10th International Conference, {DHM} 2019, Held as Part of the 21st {HCI} International Conference, {HCII} 2019, Orlando, FL, USA, July 26-31, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11581}, pages = {278--291}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22216-1\_21}, doi = {10.1007/978-3-030-22216-1\_21}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/KaufeldN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/MargaritovGGG19, author = {Artemiy Margaritov and Siddharth Gupta and Rekai Gonz{\'{a}}lez{-}Alberquilla and Boris Grot}, title = {Stretch: Balancing QoS and Throughput for Colocated Server Workloads on {SMT} Cores}, booktitle = {25th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2019, Washington, DC, USA, February 16-20, 2019}, pages = {15--27}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCA.2019.00024}, doi = {10.1109/HPCA.2019.00024}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/MargaritovGGG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/httf/BenerradiMMC019, author = {Johann Benerradi and Horia A. Maior and Adrian Marinescu and J{\'{e}}r{\'{e}}mie Clos and Max L. Wilson}, editor = {Joel E. Fischer and Sarah Martindale and Martin Porcheron and Stuart Reeves and Jocelyn Spence}, title = {Exploring Machine Learning Approaches for Classifying Mental Workload using fNIRS Data from {HCI} Tasks}, booktitle = {Proceedings of the Halfway to the Future Symposium 2019, {HTTF} 2019, Nottingham, UK, November 19-20, 2019}, pages = {8:1--8:11}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3363384.3363392}, doi = {10.1145/3363384.3363392}, timestamp = {Fri, 15 Nov 2019 13:43:21 +0100}, biburl = {https://dblp.org/rec/conf/httf/BenerradiMMC019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/AcerraPGVLSFABS19, author = {Ennia Mariapaola Acerra and Margherita Pazzini and Navid Ghasemi and Valeria Vignali and Claudio Lantieri and Andrea Simone and Gianluca Di Flumeri and Pietro Aric{\`{o}} and Gianluca Borghini and Nicolina Sciaraffa and Paola Lanzi and Fabio Babiloni}, editor = {Luca Longo and Maria Chiara Leva}, title = {EEG-Based Mental Workload and Perception-Reaction Time of the Drivers While Using Adaptive Cruise Control}, booktitle = {Human Mental Workload: Models and Applications - Third International Symposium, {H-WORKLOAD} 2019, Rome, Italy, November 14-15, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1107}, pages = {226--239}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32423-0\_15}, doi = {10.1007/978-3-030-32423-0\_15}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hworkload/AcerraPGVLSFABS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/KartaliJGMML19, author = {Aneta Kartali and Milica M. Jankovic and Ivan Gligorijevic and Pavle Mijovic and Bogdan Mijovic and Maria Chiara Leva}, editor = {Luca Longo and Maria Chiara Leva}, title = {Real-Time Mental Workload Estimation Using {EEG}}, booktitle = {Human Mental Workload: Models and Applications - Third International Symposium, {H-WORKLOAD} 2019, Rome, Italy, November 14-15, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1107}, pages = {20--34}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32423-0\_2}, doi = {10.1007/978-3-030-32423-0\_2}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hworkload/KartaliJGMML19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icin/OliveiraCFACMM19, author = {Marcela Tuler de Oliveira and Gabriel R. Carrara and Natalia Castro Fernandes and C{\'{e}}lio Vinicius N. de Albuquerque and Ricardo Campanha Carrano and Dianne S. V. Medeiros and Diogo M. F. Mattos}, editor = {Alex Galis and Fabrice Guillemin and Rogier Noldus and Stefano Secci and Filip Idzikowski and M{\"{u}}ge Sayit}, title = {Towards a Performance Evaluation of Private Blockchain Frameworks using a Realistic Workload}, booktitle = {22nd Conference on Innovation in Clouds, Internet and Networks and Workshops, {ICIN} 2019, Paris, France, February 19-21, 2019}, pages = {180--187}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIN.2019.8685888}, doi = {10.1109/ICIN.2019.8685888}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icin/OliveiraCFACMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/CuzzocreaMLG19, author = {Alfredo Cuzzocrea and Enzo Mumolo and Carson K. Leung and Giorgio Mario Grasso}, editor = {Hujun Yin and David Camacho and Peter Ti{\~{n}}o and Antonio J. Tall{\'{o}}n{-}Ballesteros and Ronaldo Menezes and Richard Allmendinger}, title = {An Innovative Deep-Learning Algorithm for Supporting the Approximate Classification of Workloads in Big Data Environments}, booktitle = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2019 - 20th International Conference, Manchester, UK, November 14-16, 2019, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11872}, pages = {225--237}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33617-2\_24}, doi = {10.1007/978-3-030-33617-2\_24}, timestamp = {Thu, 08 Oct 2020 17:51:06 +0200}, biburl = {https://dblp.org/rec/conf/ideal/CuzzocreaMLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/Gonzalez-Naharro19, author = {Luis Gonzalez{-}Naharro and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Jos{\'{e}} Duato and Wenhao Sun and Xiang Yu and Hewen Zheng}, title = {Modeling Traffic Workloads in Data-center Network Simulation Tools}, booktitle = {17th International Conference on High Performance Computing {\&} Simulation, {HPCS} 2019, Dublin, Ireland, July 15-19, 2019}, pages = {1036--1042}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCS48598.2019.9188099}, doi = {10.1109/HPCS48598.2019.9188099}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/Gonzalez-Naharro19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/HammondHLVYSAPL19, author = {Simon D. Hammond and Clay Hughes and Michael J. Levenhagen and Courtenay T. Vaughan and Andrew J. Younge and Benjamin Schwaller and Michael J. Aguilar and Kevin T. Pedretti and James H. Laros III}, title = {Evaluating the Marvell ThunderX2 Server Processor for {HPC} Workloads}, booktitle = {17th International Conference on High Performance Computing {\&} Simulation, {HPCS} 2019, Dublin, Ireland, July 15-19, 2019}, pages = {416--423}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCS48598.2019.9188171}, doi = {10.1109/HPCS48598.2019.9188171}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/HammondHLVYSAPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/CalzarossaVMNT19, author = {Maria Carla Calzarossa and Marco L. Della Vedova and Luisa Massari and Giuseppe Nebbione and Daniele Tessera}, title = {Modeling and predicting dynamics of heterogeneous workloads for cloud environments}, booktitle = {2019 {IEEE} Symposium on Computers and Communications, {ISCC} 2019, Barcelona, Spain, June 29 - July 3, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCC47284.2019.8969761}, doi = {10.1109/ISCC47284.2019.8969761}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/CalzarossaVMNT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/DuvignauHGP19, author = {Romaric Duvignau and Bastian Havers and Vincenzo Gulisano and Marina Papatriantafilou}, title = {Querying Large Vehicular Networks: How to Balance On-Board Workload and Queries Response Time{\(f\)}}, booktitle = {2019 {IEEE} Intelligent Transportation Systems Conference, {ITSC} 2019, Auckland, New Zealand, October 27-30, 2019}, pages = {2604--2611}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITSC.2019.8916934}, doi = {10.1109/ITSC.2019.8916934}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/DuvignauHGP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/MemarziaPARBK19, author = {Puya Memarzia and Maria Patrou and Md. Mahbub Alam and Suprio Ray and Virendra C. Bhavsar and Kenneth B. Kent}, title = {Toward Efficient Processing of Spatio-Temporal Workloads in a Distributed In-Memory System}, booktitle = {20th {IEEE} International Conference on Mobile Data Management, {MDM} 2019, Hong Kong, SAR, China, June 10-13, 2019}, pages = {118--127}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MDM.2019.00-66}, doi = {10.1109/MDM.2019.00-66}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/MemarziaPARBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/WaddingtonKDRAT19, author = {Daniel G. Waddington and Mark Kunitomi and Clem Dickey and Samyukta Rao and Amir Abboud and Jantz Tran}, title = {Evaluation of intel 3D-xpoint {NVDIMM} technology for memory-intensive genomic workloads}, booktitle = {Proceedings of the International Symposium on Memory Systems, {MEMSYS} 2019, Washington, DC, USA, September 30 - October 03, 2019}, pages = {277--287}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3357526.3357528}, doi = {10.1145/3357526.3357528}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/memsys/WaddingtonKDRAT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/PonsLOGPA19, author = {Daniel Barcelona Pons and Pedro Garc{\'{\i}}a L{\'{o}}pez and {\'{A}}lvaro Ruiz Ollobarren and Amanda G{\'{o}}mez{-}G{\'{o}}mez and Gerard Par{\'{\i}}s and Marc S{\'{a}}nchez Artigas}, title = {FaaS Orchestration of Parallel Workloads}, booktitle = {Proceedings of the 5th International Workshop on Serverless Computing, WOSC@Middleware 2019, Davis, CA, USA, December 09-13, 2019}, pages = {25--30}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3366623.3368137}, doi = {10.1145/3366623.3368137}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/middleware/PonsLOGPA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcis/BrittonRCP19, author = {Matthew Britton and Dinesha Ranathunga and Andrew Coyle and Gavin Puddy}, title = {Modelling Computational Workload in a Maritime Combat System}, booktitle = {2019 Military Communications and Information Systems Conference, MilCIS 2019, Canberra, Australia, November 12-14, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MilCIS.2019.8930764}, doi = {10.1109/MILCIS.2019.8930764}, timestamp = {Thu, 30 Jan 2020 15:40:01 +0100}, biburl = {https://dblp.org/rec/conf/milcis/BrittonRCP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsp/SaadatiNA19, author = {Marjan Saadati and Jill K. Nelson and Hasan Ayaz}, title = {Mental Workload Classification From Spatial Representation of {FNIRS} Recordings Using Convolutional Neural Networks}, booktitle = {29th {IEEE} International Workshop on Machine Learning for Signal Processing, {MLSP} 2019, Pittsburgh, PA, USA, October 13-16, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MLSP.2019.8918861}, doi = {10.1109/MLSP.2019.8918861}, timestamp = {Thu, 12 Dec 2019 12:15:35 +0100}, biburl = {https://dblp.org/rec/conf/mlsp/SaadatiNA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanocom/SaeedALPTCLA19, author = {Taqwa Saeed and Sergi Abadal and Christos Liaskos and Andreas Pitsillides and Hamidreza Taghvaee and Albert Cabellos{-}Aparicio and Marios Lestas and Eduard Alarc{\'{o}}n}, title = {Workload Characterization of Programmable Metasurfaces}, booktitle = {Proceedings of the Sixth Annual {ACM} International Conference on Nanoscale Computing and Communication, {NANOCOM} 2019, Dublin, Ireland, September 25-27, 2019}, pages = {15:1--15:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3345312.3345470}, doi = {10.1145/3345312.3345470}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nanocom/SaeedALPTCLA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/KarlinPSWSBBCCC19, author = {Ian Karlin and Yoonho Park and Bronis R. de Supinski and Peng Wang and Bert Still and David Beckingsale and Robert Blake and Tong Chen and Guojing Cong and Carlos H. A. Costa and Johann Dahm and Giacomo Domeniconi and Thomas Epperly and Aaron Fisher and Sara Kokkila Schumacher and Steven H. Langer and Hai Le and Eun Kyung Lee and Naoya Maruyama and Xinyu Que and David F. Richards and Bj{\"{o}}rn Sj{\"{o}}green and Jonathan Wong and Carol S. Woodward and Ulrike Meier Yang and Xiaohua Zhang and Bob Anderson and David Appelhans and Levi Barnes and Peter D. Barnes Jr. and Sorin Bastea and David B{\"{o}}hme and Jamie A. Bramwell and James M. Brase and Jos{\'{e}} R. Brunheroto and Barry Chen and Charway R. Cooper and Tony Degroot and Robert D. Falgout and Todd Gamblin and David J. Gardner and James N. Glosli and John A. Gunnels and Max P. Katz and Tzanio V. Kolev and I{-}Feng W. Kuo and Matthew P. LeGendre and Ruipeng Li and Pei{-}Hung Lin and Shelby Lockhart and Kathleen McCandless and Claudia Misale and Jaime H. Moreno and Rob Neely and Jarom Nelson and Rao Nimmakayala and Kathryn M. O'Brien and Kevin O'Brien and Ramesh Pankajakshan and Roger Pearce and Slaven Peles and Phil Regier and Steven C. Rennich and Martin Schulz and Howard Scott and James C. Sexton and Kathleen Shoga and Shiv Sundram and Guillaume Thomas{-}Collignon and Brian Van Essen and Alexey Voronin and Bob Walkup and Lu Wang and Chris Ward and Hui{-}Fang Wen and Daniel A. White and Christopher Young and Cyril Zeller and Edward Zywicz}, editor = {Michela Taufer and Pavan Balaji and Antonio J. Pe{\~{n}}a}, title = {Preparation and optimization of a diverse workload for a large-scale heterogeneous system}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2019, Denver, Colorado, USA, November 17-19, 2019}, pages = {32:1--32:17}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3295500.3356192}, doi = {10.1145/3295500.3356192}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/KarlinPSWSBBCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TiwariAGLPTF19, author = {Abhishek Tiwari and Isabela Albuquerque and Jean{-}Fran{\c{c}}ois Gagnon and Daniel Lafond and Mark Parent and S{\'{e}}bastien Tremblay and Tiago H. Falk}, title = {Mental Workload Assessment During Physical Activity Using Non-linear Movement Artefact Robust Electroencephalography Features}, booktitle = {2019 {IEEE} International Conference on Systems, Man and Cybernetics, {SMC} 2019, Bari, Italy, October 6-9, 2019}, pages = {4149--4154}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SMC.2019.8914038}, doi = {10.1109/SMC.2019.8914038}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/TiwariAGLPTF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sqamia/BargmannT19, author = {Christian Bargmann and Marina Tropmann{-}Frick}, editor = {Zoran Budimac and Bojana Koteska}, title = {A Survey On Secure Container Isolation Approaches for Multi-Tenant Container Workloads and Serverless Computing}, booktitle = {Proceedings of the Eighth Workshop on Software Quality Analysis, Monitoring, Improvement, and Applications, {SQAMIA} 2019, Ohrid, North Macedonia, September 22-25, 2019}, series = {{CEUR} Workshop Proceedings}, volume = {2508}, publisher = {CEUR-WS.org}, year = {2019}, url = {https://ceur-ws.org/Vol-2508/paper-bar.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:29 +0100}, biburl = {https://dblp.org/rec/conf/sqamia/BargmannT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/NewlinSD19, author = {Marvin Newlin and Kyle Smathers and Mark E. DeYoung}, editor = {Thomas R. Furlani}, title = {{ARC} Containers for {AI} Workloads: Singularity Performance Overhead}, booktitle = {Proceedings of the Practice and Experience in Advanced Research Computing on Rise of the Machines (learning), {PEARC} 2019, Chicago, IL, USA, July 28 - August 01, 2019}, pages = {1:1--1:8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3332186.3333048}, doi = {10.1145/3332186.3333048}, timestamp = {Fri, 02 Aug 2019 13:58:05 +0200}, biburl = {https://dblp.org/rec/conf/xsede/NewlinSD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/PonceZNGCEFGMMN19, author = {Marcelo Ponce and Ramses van Zon and Scott Northrup and Daniel Gruner and Joseph Chen and Fatih Ertinaz and Alexey Fedoseev and Leslie Groer and Fei Mao and Bruno C. Mundim and Mike Nolta and Jaime Pinto and Marco Saldarriaga and Vladimir Slavnic and Erik Spence and Ching{-}Hsing Yu and W. Richard Peltier}, editor = {Thomas R. Furlani}, title = {Deploying a Top-100 Supercomputer for Large Parallel Workloads: the Niagara Supercomputer}, booktitle = {Proceedings of the Practice and Experience in Advanced Research Computing on Rise of the Machines (learning), {PEARC} 2019, Chicago, IL, USA, July 28 - August 01, 2019}, pages = {34:1--34:8}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3332186.3332195}, doi = {10.1145/3332186.3332195}, timestamp = {Fri, 02 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/xsede/PonceZNGCEFGMMN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hworkload/2018, editor = {Luca Longo and Maria Chiara Leva}, title = {Human Mental Workload: Models and Applications - Second International Symposium, {H-WORKLOAD} 2018, Amsterdam, The Netherlands, September 20-21, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1012}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-14273-5}, doi = {10.1007/978-3-030-14273-5}, isbn = {978-3-030-14272-8}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hworkload/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hworkload/2019, editor = {Luca Longo and Maria Chiara Leva}, title = {Human Mental Workload: Models and Applications - Third International Symposium, {H-WORKLOAD} 2019, Rome, Italy, November 14-15, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1107}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32423-0}, doi = {10.1007/978-3-030-32423-0}, isbn = {978-3-030-32422-3}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hworkload/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-01007, author = {Tong Geng and Tianqi Wang and Ang Li and Xi Jin and Martin C. Herbordt}, title = {A Scalable Framework for Acceleration of {CNN} Training on Deeply-Pipelined {FPGA} Clusters with Weight and Workload Balancing}, journal = {CoRR}, volume = {abs/1901.01007}, year = {2019}, url = {http://arxiv.org/abs/1901.01007}, eprinttype = {arXiv}, eprint = {1901.01007}, timestamp = {Sun, 18 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-01007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-05618, author = {Ver{\'{o}}nika Peralta and Patrick Marcel and Willeme Verdeaux and Aboubakar Sidikhy Diakhaby}, title = {Detecting coherent explorations in {SQL} workloads}, journal = {CoRR}, volume = {abs/1907.05618}, year = {2019}, url = {http://arxiv.org/abs/1907.05618}, eprinttype = {arXiv}, eprint = {1907.05618}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-05618.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-13600, author = {Marcelo Ponce and Ramses van Zon and Scott Northrup and Daniel Gruner and Joseph Chen and Fatih Ertinaz and Alexey Fedoseev and Leslie Groer and Fei Mao and Bruno C. Mundim and Mike Nolta and Jaime Pinto and Marco Saldarriaga and Vladimir Slavnic and Erik Spence and Ching{-}Hsing Yu and W. Richard Peltier}, title = {Deploying a Top-100 Supercomputer for Large Parallel Workloads: the Niagara Supercomputer}, journal = {CoRR}, volume = {abs/1907.13600}, year = {2019}, url = {http://arxiv.org/abs/1907.13600}, eprinttype = {arXiv}, eprint = {1907.13600}, timestamp = {Fri, 02 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-13600.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-10834, author = {Tong Geng and Ang Li and Tianqi Wang and Chunshu Wu and Yanfei Li and Antonino Tumeo and Martin C. Herbordt}, title = {{UWB-GCN:} Hardware Acceleration of Graph-Convolution-Network through Runtime Workload Rebalancing}, journal = {CoRR}, volume = {abs/1908.10834}, year = {2019}, url = {http://arxiv.org/abs/1908.10834}, eprinttype = {arXiv}, eprint = {1908.10834}, timestamp = {Thu, 01 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-10834.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsur/CurielP18, author = {Mariela Curiel and Ana Pont}, title = {Workload Generators for Web-Based Systems: Characteristics, Current Status, and Challenges}, journal = {{IEEE} Commun. Surv. Tutorials}, volume = {20}, number = {2}, pages = {1526--1546}, year = {2018}, url = {https://doi.org/10.1109/COMST.2018.2798641}, doi = {10.1109/COMST.2018.2798641}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsur/CurielP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/PelaezCGE18, author = {V{\'{\i}}ctor Pel{\'{a}}ez and Antonio M. Campos and Daniel F. Garc{\'{\i}}a and Joaqu{\'{\i}}n Entrialgo}, title = {Online scheduling of deadline-constrained bag-of-task workloads on hybrid clouds}, journal = {Concurr. Comput. Pract. Exp.}, volume = {30}, number = {19}, year = {2018}, url = {https://doi.org/10.1002/cpe.4639}, doi = {10.1002/CPE.4639}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/PelaezCGE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/AhmadTP18, author = {Tanwir Ahmad and Dragos Truscan and Ivan Porres}, title = {Identifying worst-case user scenarios for performance testing of web applications using Markov-chain workload models}, journal = {Future Gener. Comput. Syst.}, volume = {87}, pages = {910--920}, year = {2018}, url = {https://doi.org/10.1016/j.future.2018.01.042}, doi = {10.1016/J.FUTURE.2018.01.042}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/AhmadTP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/AmiriKM18, author = {Maryam Amiri and Leili Mohammad Khanli and Raffaela Mirandola}, title = {An online learning model based on episode mining for workload prediction in cloud}, journal = {Future Gener. Comput. Syst.}, volume = {87}, pages = {83--101}, year = {2018}, url = {https://doi.org/10.1016/j.future.2018.04.044}, doi = {10.1016/J.FUTURE.2018.04.044}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/AmiriKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/PaganZA18, author = {Josu{\'{e}} Pag{\'{a}}n and Marina Zapater and Jos{\'{e}} L. Ayala}, title = {Power transmission and workload balancing policies in eHealth mobile cloud computing scenarios}, journal = {Future Gener. Comput. Syst.}, volume = {78}, pages = {587--601}, year = {2018}, url = {https://doi.org/10.1016/j.future.2017.02.015}, doi = {10.1016/J.FUTURE.2017.02.015}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/PaganZA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/RodriguezB18, author = {Maria Alejandra Rodriguez and Rajkumar Buyya}, title = {Scheduling dynamic workloads in multi-tenant scientific workflow as a service platforms}, journal = {Future Gener. Comput. Syst.}, volume = {79}, pages = {739--750}, year = {2018}, url = {https://doi.org/10.1016/j.future.2017.05.009}, doi = {10.1016/J.FUTURE.2017.05.009}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/RodriguezB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/MarinescuSRLMM18, author = {Adrian Cornelius Marinescu and Sarah Sharples and Alastair Campbell Ritchie and Tom{\'{a}}s S{\'{a}}nchez L{\'{o}}pez and Michael McDowell and Herv{\'{e}} P. Morvan}, title = {Physiological Parameter Response to Variation of Mental Workload}, journal = {Hum. Factors}, volume = {60}, number = {1}, pages = {31--56}, year = {2018}, url = {https://doi.org/10.1177/0018720817733101}, doi = {10.1177/0018720817733101}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/MarinescuSRLMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/CoteloBALRR18, author = {Carmen Cotelo and Mar{\'{\i}}a Ar{\'{a}}nzazu Amo Baladr{\'{o}}n and Roland Aznar and Pablo Lorente and Pablo Rey and Aurelio Rodr{\'{\i}}guez}, title = {On the successful coexistence of oceanographic operational services with other computational workloads}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {32}, number = {2}, pages = {302--313}, year = {2018}, url = {https://doi.org/10.1177/1094342017692045}, doi = {10.1177/1094342017692045}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhpca/CoteloBALRR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcloudc/EllisonCP18, author = {Martyn Ellison and Radu Calinescu and Richard F. Paige}, title = {Evaluating cloud database migration options using workload models}, journal = {J. Cloud Comput.}, volume = {7}, pages = {6}, year = {2018}, url = {https://doi.org/10.1186/s13677-018-0108-5}, doi = {10.1186/S13677-018-0108-5}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcloudc/EllisonCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/AmiriKM18, author = {Maryam Amiri and Leili Mohammad Khanli and Raffaela Mirandola}, title = {A sequential pattern mining model for application workload prediction in cloud environment}, journal = {J. Netw. Comput. Appl.}, volume = {105}, pages = {21--62}, year = {2018}, url = {https://doi.org/10.1016/j.jnca.2017.12.015}, doi = {10.1016/J.JNCA.2017.12.015}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/AmiriKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnsm/Marques-NetoXXM18, author = {Humberto Torres Marques{-}Neto and Faber Henrique Z. Xavier and Wender Zacarias Xavier and Carlos Henrique S. Malab and Artur Ziviani and Lucas Maia Silveira and Jussara M. Almeida}, title = {Understanding Human Mobility and Workload Dynamics Due to Different Large-Scale Events Using Mobile Phone Data}, journal = {J. Netw. Syst. Manag.}, volume = {26}, number = {4}, pages = {1079--1100}, year = {2018}, url = {https://doi.org/10.1007/s10922-018-9454-3}, doi = {10.1007/S10922-018-9454-3}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnsm/Marques-NetoXXM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/SangaiahLJDNMTH18, author = {Karthik Sangaiah and Michael Lui and Radhika Jagtap and Stephan Diestelhorst and Siddharth Nilakantan and Ankit More and Baris Taskin and Mark Hempstead}, title = {SynchroTrace: Synchronization-Aware Architecture-Agnostic Traces for Lightweight Multicore Simulation of {CMP} and {HPC} Workloads}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {15}, number = {1}, pages = {2:1--2:26}, year = {2018}, url = {https://doi.org/10.1145/3158642}, doi = {10.1145/3158642}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/SangaiahLJDNMTH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcos/LimLSLKSKAW18, author = {Wei Lun Lim and Yisi Liu and Salem Chandrasekaran Harihara Subramaniam and Serene Hui Ping Liew and Gopala Krishnan and Olga Sourina and Dimitrios Konovessis and Hock Eng Ang and Lipo Wang}, title = {EEG-Based Mental Workload and Stress Monitoring of Crew Members in Maritime Virtual Simulator}, journal = {Trans. Comput. Sci.}, volume = {32}, pages = {15--28}, year = {2018}, url = {https://doi.org/10.1007/978-3-662-56672-5\_2}, doi = {10.1007/978-3-662-56672-5\_2}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcos/LimLSLKSKAW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhangMPC18, author = {Mingyi Zhang and Patrick Martin and Wendy Powley and Jianjun Chen}, title = {Workload Management in Database Management Systems: {A} Taxonomy}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {30}, number = {7}, pages = {1386--1402}, year = {2018}, url = {https://doi.org/10.1109/TKDE.2017.2767044}, doi = {10.1109/TKDE.2017.2767044}, timestamp = {Tue, 28 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/ZhangMPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/MalikNRH18, author = {Maria Malik and Katayoun Neshatpour and Setareh Rafatirad and Houman Homayoun}, title = {Hadoop Workloads Characterization for Performance and Energy Efficiency Optimizations on Microservers}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {3}, pages = {355--368}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2017.2749228}, doi = {10.1109/TMSCS.2017.2749228}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/MalikNRH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HahnelMSFSD18, author = {Marcus H{\"{a}}hnel and John Martinovic and Guntram Scheithauer and Andreas Fischer and Alexander Schill and Waltenegus Dargie}, title = {Extending the Cutting Stock Problem for Consolidating Services with Stochastic Workloads}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {11}, pages = {2478--2488}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2018.2819680}, doi = {10.1109/TPDS.2018.2819680}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HahnelMSFSD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEares/VidalMG18, author = {Jorge Maestre Vidal and Marco Antonio Sotelo Monge and Luis Javier Garc{\'{\i}}a{-}Villalba}, editor = {Sebastian Doerr and Mathias Fischer and Sebastian Schrittwieser and Dominik Herrmann}, title = {Detecting Workload-based and Instantiation-based Economic Denial of Sustainability on 5G environments}, booktitle = {Proceedings of the 13th International Conference on Availability, Reliability and Security, {ARES} 2018, Hamburg, Germany, August 27-30, 2018}, pages = {50:1--50:8}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3230833.3233247}, doi = {10.1145/3230833.3233247}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEares/VidalMG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/KimWQH18, author = {In Kee Kim and Wei Wang and Yanjun Qi and Marty Humphrey}, title = {CloudInsight: Utilizing a Council of Experts to Predict Future Cloud Application Workloads}, booktitle = {11th {IEEE} International Conference on Cloud Computing, {CLOUD} 2018, San Francisco, CA, USA, July 2-7, 2018}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/CLOUD.2018.00013}, doi = {10.1109/CLOUD.2018.00013}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/KimWQH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/MoraisFCBMFLT18, author = {F{\'{a}}bio Jorge Almeida Morais and Giovanni Farias and Marcus Carvalho and Francisco V. Brasileiro and Jo{\~{a}}o Mafra and Alessandro Fook and Raquel Lopes and Daniel Turull}, title = {Supporting Mixed Workloads in OpenStack-Based Clouds}, booktitle = {11th {IEEE} International Conference on Cloud Computing, {CLOUD} 2018, San Francisco, CA, USA, July 2-7, 2018}, pages = {822--826}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/CLOUD.2018.00112}, doi = {10.1109/CLOUD.2018.00112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/MoraisFCBMFLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/VoineaUI18, author = {Maria A. Voinea and Alexandru Uta and Alexandru Iosup}, editor = {Naoki Abe and Huan Liu and Calton Pu and Xiaohua Hu and Nesreen K. Ahmed and Mu Qiao and Yang Song and Donald Kossmann and Bing Liu and Kisung Lee and Jiliang Tang and Jingrui He and Jeffrey S. Saltz}, title = {{POSUM:} {A} Portfolio Scheduler for MapReduce Workloads}, booktitle = {{IEEE} International Conference on Big Data {(IEEE} BigData 2018), Seattle, WA, USA, December 10-13, 2018}, pages = {351--357}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BigData.2018.8622215}, doi = {10.1109/BIGDATA.2018.8622215}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/VoineaUI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataservice/BaigAPC18, author = {Shuja{-}ur{-}Rehman Baig and Marcelo Amaral and Jorda Polo and David Carrera}, title = {Performance Characterization of Spark Workloads on Shared {NUMA} Systems}, booktitle = {Fourth {IEEE} International Conference on Big Data Computing Service and Applications, BigDataService 2018, Bamberg, Germany, March 26-29, 2018}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/BigDataService.2018.00015}, doi = {10.1109/BIGDATASERVICE.2018.00015}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataservice/BaigAPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KoschHB018, author = {Thomas Kosch and Mariam Hassib and Daniel Buschek and Albrecht Schmidt}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Look into my Eyes: Using Pupil Dilation to Estimate Mental Workload for Task Complexity Adaptation}, booktitle = {Extended Abstracts of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3170427.3188643}, doi = {10.1145/3170427.3188643}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KoschHB018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KoschHWBA18, author = {Thomas Kosch and Mariam Hassib and Pawel W. Wozniak and Daniel Buschek and Florian Alt}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Your Eyes Tell: Leveraging Smooth Pursuit for Assessing Cognitive Workload}, booktitle = {Proceedings of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, pages = {436}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3173574.3174010}, doi = {10.1145/3173574.3174010}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KoschHWBA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloud2/AbadaS18, author = {Ahmed Abada and Marc St{-}Hilaire}, editor = {Min Luo and Liang{-}Jie Zhang}, title = {Renewable Energy Curtailment via Incentivized Inter-datacenter Workload Migration}, booktitle = {Cloud Computing - {CLOUD} 2018 - 11th International Conference, Held as Part of the Services Conference Federation, {SCF} 2018, Seattle, WA, USA, June 25-30, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10967}, pages = {143--157}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-94295-7\_10}, doi = {10.1007/978-3-319-94295-7\_10}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/cloud2/AbadaS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsima/DiasCGCOAAGRYZ18, author = {Roger D. Dias and Heather M. Conboy and Jennifer M. Gabany and Lori A. Clarke and Leon J. Osterweil and George S. Avrunin and David Arney and Julian M. Goldman and Giuseppe Riccardi and Steven J. Yule and Marco A. Zenati}, editor = {Galina L. Rogova and Christian Lebiere and Odd Erik Gundersen and Andrea Salfinger and Ken Baclawski}, title = {Development of an Interactive Dashboard to Analyze Cognitive Workload of Surgical Teams During Complex Procedural Care}, booktitle = {{IEEE} Conference on Cognitive and Computational Aspects of Situation Management, CogSIMA 2018, Boston, MA, USA, June 11-14, 2018}, pages = {77--82}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/COGSIMA.2018.8423995}, doi = {10.1109/COGSIMA.2018.8423995}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cogsima/DiasCGCOAAGRYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csp/ZimniakBF18, author = {Marcin Zimniak and Marta Burzanska and Bogdan Franczyk}, editor = {Bernd{-}Holger Schlingloff and Samira Akili}, title = {On Some Heuristic Method for Optimal Workload Reconstruction}, booktitle = {Proceedings of the 27th International Workshop on Concurrency, Specification and Programming, Berlin, Germany, September 24-26, 2018}, series = {{CEUR} Workshop Proceedings}, volume = {2240}, publisher = {CEUR-WS.org}, year = {2018}, url = {https://ceur-ws.org/Vol-2240/paper5.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:14 +0100}, biburl = {https://dblp.org/rec/conf/csp/ZimniakBF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/IranfarPZZKA18, author = {Arman Iranfar and Ali Pahlevan and Marina Zapater and Martin Zagar and Mario Kovac and David Atienza}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Online efficient bio-medical video transcoding on MPSoCs through content-aware workload allocation}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {949--954}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342146}, doi = {10.23919/DATE.2018.8342146}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/IranfarPZZKA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grades/SzarnyasPAMPKEB18, author = {G{\'{a}}bor Sz{\'{a}}rnyas and Arnau Prat{-}P{\'{e}}rez and Alex Averbuch and J{\'{o}}zsef Marton and Marcus Paradies and Moritz Kaufmann and Orri Erling and Peter A. Boncz and Vlad Haprian and J{\'{a}}nos Benjamin Antal}, editor = {Akhil Arora and Arnab Bhattacharya and George H. L. Fletcher and Josep Llu{\'{\i}}s Larriba{-}Pey and Shourya Roy and Robert West}, title = {An early look at the {LDBC} social network benchmark's business intelligence workload}, booktitle = {Proceedings of the 1st {ACM} {SIGMOD} Joint International Workshop on Graph Data Management Experiences {\&} Systems {(GRADES)} and Network Data Analytics (NDA), Houston, TX, USA, June 10, 2018}, pages = {9:1--9:11}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3210259.3210268}, doi = {10.1145/3210259.3210268}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/grades/SzarnyasPAMPKEB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/GuignardSBWV18, author = {Mauricio Guignard and Marcelo Schild and Carlos S. Bederi{\'{a}}n and Nicol{\'{a}}s Wolovick and Augusto J. Vega}, editor = {Tung Bui}, title = {Performance Characterization of State-Of-The-Art Deep Learning Workloads on an {IBM} "Minsky" Platform}, booktitle = {51st Hawaii International Conference on System Sciences, {HICSS} 2018, Hilton Waikoloa Village, Hawaii, USA, January 3-6, 2018}, pages = {1--8}, publisher = {ScholarSpace / {AIS} Electronic Library (AISeL)}, year = {2018}, url = {https://hdl.handle.net/10125/50591}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/GuignardSBWV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/Rivas-GomezMLBP18, author = {Sergio Rivas{-}Gomez and Stefano Markidis and Erwin Laure and Keeran Brabazon and Oliver Perks and Sai Narasimhamurthy}, title = {Decoupled Strategy for Imbalanced Workloads in MapReduce Frameworks}, booktitle = {20th {IEEE} International Conference on High Performance Computing and Communications; 16th {IEEE} International Conference on Smart City; 4th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2018, Exeter, United Kingdom, June 28-30, 2018}, pages = {921--927}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCC/SmartCity/DSS.2018.00153}, doi = {10.1109/HPCC/SMARTCITY/DSS.2018.00153}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/Rivas-GomezMLBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hsi/Plechawska-Wojcik18, author = {Malgorzata Plechawska{-}W{\'{o}}jcik and Magdalena Borys and Mikhail Tokovarov and Monika Kaczorowska and Kinga Wesolowska and Martyna Wawrzyk}, editor = {Adam Bujnowski and Mariusz Kaczmarek and Jacek Ruminski}, title = {Classifying Cognitive Workload Based on Brain Waves Signal in the Arithmetic Tasks' Study}, booktitle = {11th International Conference on Human System Interaction, {HSI} 2018, Gdansk, Poland, July 4-6, 2018}, pages = {277--283}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HSI.2018.8431105}, doi = {10.1109/HSI.2018.8431105}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hsi/Plechawska-Wojcik18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/CombertiLDDBM18, author = {Lorenzo Comberti and Maria Chiara Leva and Micaela Demichela and Stefano Desideri and Gabriele Baldissone and Franco Modaffari}, editor = {Luca Longo and Maria Chiara Leva}, title = {An Empirical Approach to Workload and Human Capability Assessment in a Manufacturing Plant}, booktitle = {Human Mental Workload: Models and Applications - Second International Symposium, {H-WORKLOAD} 2018, Amsterdam, The Netherlands, September 20-21, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1012}, pages = {180--201}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-14273-5\_11}, doi = {10.1007/978-3-030-14273-5\_11}, timestamp = {Mon, 03 Jan 2022 22:28:22 +0100}, biburl = {https://dblp.org/rec/conf/hworkload/CombertiLDDBM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/SchaferEBB18, author = {Dominik Sch{\"{a}}fer and Janick Edinger and Martin Breitbach and Christian Becker}, title = {Workload Partitioning and Task Migration to Reduce Response Times in Heterogeneous Computing Environments}, booktitle = {27th International Conference on Computer Communication and Networks, {ICCCN} 2018, Hangzhou, China, July 30 - August 2, 2018}, pages = {1--11}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCCN.2018.8487326}, doi = {10.1109/ICCCN.2018.8487326}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icccn/SchaferEBB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/BoissierD18, author = {Martin Boissier and Kurzynski Daniel}, title = {Workload-Driven Horizontal Partitioning and Pruning for Large {HTAP} Systems}, booktitle = {34th {IEEE} International Conference on Data Engineering Workshops, {ICDE} Workshops 2018, Paris, France, April 16-20, 2018}, pages = {116--121}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICDEW.2018.00026}, doi = {10.1109/ICDEW.2018.00026}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/BoissierD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/Zhang0P018, author = {Mingyi Zhang and Patrick Martin and Wendy Powley and Jianjun Chen}, title = {Workload Management in Database Management System: {A} Taxonomy (Extended Abstract)}, booktitle = {34th {IEEE} International Conference on Data Engineering, {ICDE} 2018, Paris, France, April 16-19, 2018}, pages = {1823--1824}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICDE.2018.00269}, doi = {10.1109/ICDE.2018.00269}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/Zhang0P018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/DuroPSG18, author = {Jose Duro and Salvador Petit and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez}, title = {Workload Characterization for Exascale Computing Networks}, booktitle = {2018 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2018, Orleans, France, July 16-20, 2018}, pages = {383--389}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCS.2018.00069}, doi = {10.1109/HPCS.2018.00069}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/DuroPSG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/FurioFPDS18, author = {Clara Furi{\'{o}} and Josu{\'{e}} Feliu and Salvador Petit and Jose Duro and Julio Sahuquillo}, title = {A Workload Generator for Evaluating {SMT} Real-Time Systems}, booktitle = {2018 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2018, Orleans, France, July 16-20, 2018}, pages = {367--374}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/HPCS.2018.00067}, doi = {10.1109/HPCS.2018.00067}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeehpcs/FurioFPDS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/MojumderLSZAKKJ18, author = {Saiful A. Mojumder and Marcia S. Louis and Yifan Sun and Amir Kavyan Ziabari and Jos{\'{e}} L. Abell{\'{a}}n and John Kim and David R. Kaeli and Ajay Joshi}, title = {Profiling {DNN} Workloads on a Volta-based {DGX-1} System}, booktitle = {2018 {IEEE} International Symposium on Workload Characterization, {IISWC} 2018, Raleigh, NC, USA, September 30 - October 2, 2018}, pages = {122--133}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISWC.2018.8573521}, doi = {10.1109/IISWC.2018.8573521}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/MojumderLSZAKKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imr/BenitezEMR18, author = {Domingo Benitez and Jos{\'{e}} Mar{\'{\i}}a Escobar and Rafael Montenegro and Eduardo Rodr{\'{\i}}guez}, editor = {Xevi Roca and Adrien Loseille}, title = {Performance Comparison and Workload Analysis of Mesh Untangling and Smoothing Algorithms}, booktitle = {27th International Meshing Roundtable, {IMR} 2018, Albuquerque, NM, USA, October 1-5, 2018}, series = {Lecture Notes in Computational Science and Engineering}, volume = {127}, pages = {385--404}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-13992-6\_21}, doi = {10.1007/978-3-030-13992-6\_21}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imr/BenitezEMR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intetain/SiriyaLDT18, author = {Seth Siriya and Martin Lochner and Andreas Duenser and Ronnie Taib}, editor = {Paulo Cortez and Lu{\'{\i}}s Magalh{\~{a}}es and Pedro Branco and Carlos Filipe Portela and Telmo Ad{\~{a}}o}, title = {Exploring Novel Methodology for Classifying Cognitive Workload}, booktitle = {Intelligent Technologies for Interactive Entertainment - 10th {EAI} International Conference, {INTETAIN} 2018, Guimar{\~{a}}es, Portugal, November 21-23, 2018, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {273}, pages = {105--114}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-16447-8\_11}, doi = {10.1007/978-3-030-16447-8\_11}, timestamp = {Mon, 15 Jun 2020 17:00:03 +0200}, biburl = {https://dblp.org/rec/conf/intetain/SiriyaLDT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotdi/LaiRCG18, author = {Farley Lai and Marjan Radi and Octav Chipara and William G. Griswold}, title = {Workload Shaping Energy Optimizations with Predictable Performance for Mobile Sensing}, booktitle = {2018 {IEEE/ACM} Third International Conference on Internet-of-Things Design and Implementation, IoTDI 2018, Orlando, FL, USA, April 17-20, 2018}, pages = {177--188}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IoTDI.2018.00026}, doi = {10.1109/IOTDI.2018.00026}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iotdi/LaiRCG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AmaralBABCHKORR18, author = {Jos{\'{e}} Nelson Amaral and Edson Borin and Dylan R. Ashley and Caian Benedicto and Elliot Colp and Joao Henrique Stange Hoffmam and Marcus Karpoff and Erick Ochoa and Morgan Redshaw and Raphael Ernani Rodrigues}, title = {The Alberta Workloads for the {SPEC} {CPU} 2017 Benchmark Suite}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {159--168}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00029}, doi = {10.1109/ISPASS.2018.00029}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AmaralBABCHKORR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/LuiSHT18, author = {Michael Lui and Karthik Sangaiah and Mark Hempstead and Baris Taskin}, title = {Towards Cross-Framework Workload Analysis via Flexible Event-Driven Interfaces}, booktitle = {{IEEE} International Symposium on Performance Analysis of Systems and Software, {ISPASS} 2018, Belfast, United Kingdom, April 2-4, 2018}, pages = {169--178}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISPASS.2018.00030}, doi = {10.1109/ISPASS.2018.00030}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/LuiSHT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/TorquatoV18, author = {Matheus Torquato and Marco Vieira}, editor = {Sudipto Ghosh and Roberto Natella and Bojan Cukic and Robin S. Poston and Nuno Laranjeiro}, title = {Interacting {SRN} Models for Availability Evaluation of {VM} Migration as Rejuvenation on a System under Varying Workload}, booktitle = {2018 {IEEE} International Symposium on Software Reliability Engineering Workshops, {ISSRE} Workshops, Memphis, TN, USA, October 15-18, 2018}, pages = {300--307}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISSREW.2018.00022}, doi = {10.1109/ISSREW.2018.00022}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/TorquatoV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/MerzkyTMSJ18, author = {Andr{\'{e}} Merzky and Matteo Turilli and Manuel Maldonado and Mark Santcroos and Shantenu Jha}, editor = {Dalibor Klus{\'{a}}cek and Walfredo Cirne and Narayan Desai}, title = {Using Pilot Systems to Execute Many Task Workloads on Supercomputers}, booktitle = {Job Scheduling Strategies for Parallel Processing - 22nd International Workshop, {JSSPP} 2018, Vancouver, BC, Canada, May 25, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11332}, pages = {61--82}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-10632-4\_4}, doi = {10.1007/978-3-030-10632-4\_4}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jsspp/MerzkyTMSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/PerennouC018, author = {Lo{\"{\i}}c P{\'{e}}rennou and Mar Callau{-}Zori and Sylvain Lefebvre}, title = {Understanding Scheduler Workload on Non-Hyperscale Cloud Platform}, booktitle = {Proceedings of the 19th International Middleware Conference (Posters), Middleware 2018, Rennes, France, December 10-14, 2018}, pages = {23--24}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3284014.3284026}, doi = {10.1145/3284014.3284026}, timestamp = {Sun, 16 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/middleware/PerennouC018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/Ali-EldinGKMSST18, author = {Ahmed Ali{-}Eldin and Deepak Ganesan and Heesung Kwon and Benjamin M. Marlin and Prashant J. Shenoy and Mani B. Srivastava and Don Towsley}, title = {Executing Analytics and Fusion Workloads on Transient Computing Resources in Tactical Environments}, booktitle = {2018 {IEEE} Military Communications Conference, {MILCOM} 2018, Los Angeles, CA, USA, October 29-31, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/MILCOM.2018.8599703}, doi = {10.1109/MILCOM.2018.8599703}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/milcom/Ali-EldinGKMSST18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/phycs/GagnonGLPT18, author = {Jean{-}Fran{\c{c}}ois Gagnon and Olivier Gagnon and Daniel Lafond and Mark Parent and S{\'{e}}bastien Tremblay}, editor = {Andreas Holzinger and Alan Pope and Hugo Pl{\'{a}}cido da Silva}, title = {Bio-behavioral Modeling of Workload and Performance}, booktitle = {Physiological Computing Systems - International Conferences, PhyCS 2016, Lisbon, Portugal, July 27-28, 2016, PhyCS 2017, Madrid, Spain, July 27-28, 2017, PhyCS 2018, Seville, Spain, September 19-21, 2018, Revised and Extended Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10057}, pages = {23--38}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-27950-9\_2}, doi = {10.1007/978-3-030-27950-9\_2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/phycs/GagnonGLPT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbbd/LimaFPM18, author = {Maria Isabel Vasconcelos Lima and Victor A. E. de Farias and Francisco D. B. S. Praciano and Javam C. Machado}, editor = {Bernadette Farias L{\'{o}}scio and Carina F. Dorneles and Maria Camila Nardini Barioni}, title = {Workload-aware Parameter Selection and Performance Prediction for In-memory Databases}, booktitle = {{XXXIII} Simp{\'{o}}sio Brasileiro de Banco de Dados, {SBBD} 2018, Rio de Janeiro, RJ, Brazil, August 25-26, 2018}, pages = {169--180}, publisher = {{SBC}}, year = {2018}, url = {http://sbbd.org.br/2018/wp-content/uploads/sites/5/2018/08/169-sbbd\_2018-fp.pdf}, timestamp = {Mon, 03 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbbd/LimaFPM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/ChienMSSHNL18, author = {Steven Wei Der Chien and Stefano Markidis and Chaitanya Prasad Sishtla and Lu{\'{\i}}s Santos and Pawel Andrzej Herman and Sai Narasimhamurthy and Erwin Laure}, title = {Characterizing Deep-Learning {I/O} Workloads in TensorFlow}, booktitle = {3rd {IEEE/ACM} International Workshop on Parallel Data Storage {\&} Data Intensive Scalable Computing Systems, PDSW-DISCS@SC 2018, Dallas, TX, USA, November 12, 2018}, pages = {54--63}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PDSW-DISCS.2018.00011}, doi = {10.1109/PDSW-DISCS.2018.00011}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/ChienMSSHNL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KorkmazKSS18, author = {Mustafa Korkmaz and Martin Karsten and Kenneth Salem and Semih Salihoglu}, editor = {Gautam Das and Christopher M. Jermaine and Philip A. Bernstein}, title = {Workload-Aware {CPU} Performance Scaling for Transactional Database Systems}, booktitle = {Proceedings of the 2018 International Conference on Management of Data, {SIGMOD} Conference 2018, Houston, TX, USA, June 10-15, 2018}, pages = {291--306}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3183713.3196901}, doi = {10.1145/3183713.3196901}, timestamp = {Wed, 21 Nov 2018 12:44:08 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/KorkmazKSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/AlbuquerqueTGLP18, author = {Isabela Albuquerque and Abhishek Tiwari and Jean{-}Fran{\c{c}}ois Gagnon and Daniel Lafond and Mark Parent and S{\'{e}}bastien Tremblay and Tiago H. Falk}, title = {On the Analysis of {EEG} Features for Mental Workload Assessment During Physical Activity}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2018, Miyazaki, Japan, October 7-10, 2018}, pages = {538--543}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SMC.2018.00101}, doi = {10.1109/SMC.2018.00101}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/AlbuquerqueTGLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sscc/NiaR18, author = {Mehran Alidoost Nia and Antonio Ruiz{-}Mart{\'{\i}}nez}, editor = {Sabu M. Thampi and Sanjay Madria and Guojun Wang and Danda B. Rawat and Jos{\'{e}} M. Alcaraz Calero}, title = {Workload Distribution for Supporting Anonymous Communications in Automotive Network}, booktitle = {Security in Computing and Communications - 6th International Symposium, {SSCC} 2018, Bangalore, India, September 19-22, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {969}, pages = {132--144}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-5826-5\_10}, doi = {10.1007/978-981-13-5826-5\_10}, timestamp = {Wed, 24 Apr 2024 14:55:54 +0200}, biburl = {https://dblp.org/rec/conf/sscc/NiaR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tpctc/BodenRSM18, author = {Christoph Boden and Tilmann Rabl and Sebastian Schelter and Volker Markl}, editor = {Raghunath Nambiar and Meikel Poess}, title = {Benchmarking Distributed Data Processing Systems for Machine Learning Workloads}, booktitle = {Performance Evaluation and Benchmarking for the Era of Artificial Intelligence - 10th {TPC} Technology Conference, {TPCTC} 2018, Rio de Janeiro, Brazil, August 27-31, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11135}, pages = {42--57}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11404-6\_4}, doi = {10.1007/978-3-030-11404-6\_4}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/tpctc/BodenRSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-03035, author = {Steven Wei Der Chien and Stefano Markidis and Chaitanya Prasad Sishtla and Lu{\'{\i}}s Santos and Pawel Andrzej Herman and Sai Narasimhamurthy and Erwin Laure}, title = {Characterizing Deep-Learning {I/O} Workloads in TensorFlow}, journal = {CoRR}, volume = {abs/1810.03035}, year = {2018}, url = {http://arxiv.org/abs/1810.03035}, eprinttype = {arXiv}, eprint = {1810.03035}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-03035.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-04146, author = {Sergio Rivas{-}Gomez and Sai Narasimhamurthy and Keeran Brabazon and Oliver Perks and Erwin Laure and Stefano Markidis}, title = {Decoupled Strategy for Imbalanced Workloads in MapReduce Frameworks}, journal = {CoRR}, volume = {abs/1810.04146}, year = {2018}, url = {http://arxiv.org/abs/1810.04146}, eprinttype = {arXiv}, eprint = {1810.04146}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-04146.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Pilman17, author = {Markus Pilman}, title = {Tell: An Elastic Database System for Mixed Workloads}, school = {{ETH} Zurich, Z{\"{u}}rich, Switzerland}, year = {2017}, url = {https://hdl.handle.net/20.500.11850/187431}, doi = {10.3929/ETHZ-B-000187431}, timestamp = {Mon, 02 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Pilman17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ndltd/Magalhaes17, author = {Deborah Maria Vieira Magalh{\~{a}}es}, title = {Workload modeling and prediction for resources provisioning in cloud}, school = {Federal University of Cear{\'{a}}, Fortaleza, Brazil}, year = {2017}, url = {http://www.repositorio.ufc.br/handle/riufc/22987}, timestamp = {Tue, 24 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ndltd/Magalhaes17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Maas17, author = {Martin Maas}, title = {Hardware and Software Support for Managed-Language Workloads in Data Centers}, school = {University of California, Berkeley, {USA}}, year = {2017}, url = {https://www.escholarship.org/uc/item/1qv7m47s}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Maas17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ase/SyerSJH17, author = {Mark D. Syer and Weiyi Shang and Zhen Ming Jiang and Ahmed E. Hassan}, title = {Continuous validation of performance test workloads}, journal = {Autom. Softw. Eng.}, volume = {24}, number = {1}, pages = {189--231}, year = {2017}, url = {https://doi.org/10.1007/s10515-016-0196-8}, doi = {10.1007/S10515-016-0196-8}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ase/SyerSJH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/PennaCFBM17, author = {Pedro Henrique Penna and M{\'{a}}rcio Castro and Henrique C. Freitas and Fran{\c{c}}ois Broquedis and Jean{-}Fran{\c{c}}ois M{\'{e}}haut}, title = {Design methodology for workload-aware loop scheduling strategies based on genetic algorithm and simulation}, journal = {Concurr. Comput. Pract. Exp.}, volume = {29}, number = {22}, year = {2017}, url = {https://doi.org/10.1002/cpe.3933}, doi = {10.1002/CPE.3933}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/PennaCFBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ctw/HarbersN17, author = {Maaike Harbers and Mark A. Neerincx}, title = {Value sensitive design of a virtual assistant for workload harmonization in teams}, journal = {Cogn. Technol. Work.}, volume = {19}, number = {2-3}, pages = {329--343}, year = {2017}, url = {https://doi.org/10.1007/s10111-017-0408-4}, doi = {10.1007/S10111-017-0408-4}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ctw/HarbersN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/EvansF17, author = {Dakota Evans and Mary Fendley}, title = {A multi-measure approach for connecting cognitive workload and automation}, journal = {Int. J. Hum. Comput. Stud.}, volume = {97}, pages = {182--189}, year = {2017}, url = {https://doi.org/10.1016/j.ijhcs.2016.05.008}, doi = {10.1016/J.IJHCS.2016.05.008}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmms/EvansF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/GilsRCC17, author = {Teun van Gils and Katrien Ramaekers and An Caris and Mario Cools}, title = {The use of time series forecasting in zone order picking systems to predict order pickers' workload}, journal = {Int. J. Prod. Res.}, volume = {55}, number = {21}, pages = {6380--6393}, year = {2017}, url = {https://doi.org/10.1080/00207543.2016.1216659}, doi = {10.1080/00207543.2016.1216659}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/GilsRCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/CalvittiHABCEGL17, author = {Alan Calvitti and Harry Hochheiser and Shazia Ashfaq and Kristin Bell and Yunan Chen and Robert E. El{-}Kareh and Mark T. Gabuzda and Lin Liu and Sara Mortensen and Braj Pandey and Steven Rick and Richard L. Street Jr. and Nadir Weibel and Charlene R. Weir and Zia Agha}, title = {Physician activity during outpatient visits and subjective workload}, journal = {J. Biomed. Informatics}, volume = {69}, pages = {135--149}, year = {2017}, url = {https://doi.org/10.1016/j.jbi.2017.03.011}, doi = {10.1016/J.JBI.2017.03.011}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/CalvittiHABCEGL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/BorriBBG17, author = {Alessandro Borri and Domenico Bianchi and Maria Domenica Di Benedetto and Stefano Di Gennaro}, title = {Optimal workload actuator balancing and dynamic reference generation in active vehicle control}, journal = {J. Frankl. Inst.}, volume = {354}, number = {4}, pages = {1722--1740}, year = {2017}, url = {https://doi.org/10.1016/j.jfranklin.2016.12.012}, doi = {10.1016/J.JFRANKLIN.2016.12.012}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/BorriBBG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/WilsonFAEKL17, author = {Lucas A. Wilson and John M. Fonner and Jason Allison and Oscar Esteban and Harry Kenya and Marshall Lerner}, title = {Launcher: {A} simple tool for executing high throughput computing workloads}, journal = {J. Open Source Softw.}, volume = {2}, number = {16}, pages = {289}, year = {2017}, url = {https://doi.org/10.21105/joss.00289}, doi = {10.21105/JOSS.00289}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/WilsonFAEKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojdb/LindstromDPKMTA17, author = {Jan Lindstr{\"{o}}m and Dhananjoy Das and Nick Piggin and Santhosh Konundinya and Torben Mathiasen and Nisha Talagala and Dulcardo Arteaga}, title = {An {NVM} Aware MariaDB Database System and Associated {IO} Workload on File Systems}, journal = {Open J. Databases}, volume = {4}, number = {1}, pages = {1--21}, year = {2017}, url = {https://nbn-resolving.org/urn:nbn:de:101:1-201705194662}, urn = {urn:nbn:de:101:1-201705194662}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ojdb/LindstromDPKMTA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/MarinR17, author = {Andrea Marin and Sabina Rossi}, title = {Fair workload distribution for multi-server systems with pulling strategies}, journal = {Perform. Evaluation}, volume = {113}, pages = {26--41}, year = {2017}, url = {https://doi.org/10.1016/j.peva.2017.04.005}, doi = {10.1016/J.PEVA.2017.04.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/MarinR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/www/CatalloCFM17, author = {Ilio Catallo and Stefano Coniglio and Piero Fraternali and Davide Martinenghi}, title = {A workload-dependent task assignment policy for crowdsourcing}, journal = {World Wide Web}, volume = {20}, number = {6}, pages = {1179--1210}, year = {2017}, url = {https://doi.org/10.1007/s11280-016-0428-7}, doi = {10.1007/S11280-016-0428-7}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/www/CatalloCFM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsw/BoissierSM17, author = {Martin Boissier and Alexander Spivak and Carsten Alexander Meyer}, title = {Improving tuple reconstruction for tiered column stores: a workload-aware ansatz based on table reordering}, booktitle = {Proceedings of the Australasian Computer Science Week Multiconference, {ACSW} 2017, Geelong, Australia, January 31 - February 3, 2017}, pages = {25:1--25:10}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3014812.3014838}, doi = {10.1145/3014812.3014838}, timestamp = {Tue, 06 Nov 2018 11:06:53 +0100}, biburl = {https://dblp.org/rec/conf/acsw/BoissierSM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/MaiZSAO17, author = {Mark V. Mai and Kai Zheng and Eric D. Shelov and Subha L. Airan{-}Javia and Evan W. Orenstein}, title = {A Spoonful of Structure Helps the Workload Go Down: Modeling Clinical Cognition in Inpatient Documentation Tools}, booktitle = {{AMIA} 2017, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 4-8, 2017}, publisher = {{AMIA}}, year = {2017}, url = {https://knowledge.amia.org/65881-amiab-1.4254737/t002-1.4258887/f002-1.4258888/2720673-1.4258952/2731955-1.4258949}, timestamp = {Wed, 17 Apr 2024 11:47:24 +0200}, biburl = {https://dblp.org/rec/conf/amia/MaiZSAO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MishraNVPM17, author = {Asit K. Mishra and Eriko Nurvitadhi and Ganesh Venkatesh and Jonathan Pearce and Debbie Marr}, title = {Fine-grained accelerators for sparse machine learning workloads}, booktitle = {22nd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2017, Chiba, Japan, January 16-19, 2017}, pages = {635--640}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASPDAC.2017.7858395}, doi = {10.1109/ASPDAC.2017.7858395}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MishraNVPM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/TruschzinskiW17, author = {Martina Truschzinski and Maria Wirzberger}, editor = {Glenn Gunzelmann and Andrew Howes and Thora Tenbrink and Eddy J. Davelaar}, title = {A Dynamic Process Model for Predicting Workload in an Air Traffic Controller Task}, booktitle = {Proceedings of the 39th Annual Meeting of the Cognitive Science Society, CogSci 2017, London, UK, 16-29 July 2017}, publisher = {cognitivesciencesociety.org}, year = {2017}, url = {https://mindmodeling.org/cogsci2017/papers/0236/index.html}, timestamp = {Wed, 17 Apr 2024 12:43:29 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/TruschzinskiW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cw/LiuSSLKKA17, author = {Yisi Liu and Salem Chandrasekaran Harihara Subramaniam and Olga Sourina and Serene Hui Ping Liew and Gopala Krishnan and Dimitrios Konovessis and Hock Eng Ang}, title = {EEG-based Mental Workload and Stress Recognition of Crew Members in Maritime Virtual Simulator: {A} Case Study}, booktitle = {2017 International Conference on Cyberworlds, {CW} 2017, Chester, United Kingdom, September 20-22, 2017}, pages = {64--71}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CW.2017.37}, doi = {10.1109/CW.2017.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cw/LiuSSLKKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dms/MumoloVC17, author = {Enzo Mumolo and Gianni Viardo Vercelli and Alfredo Cuzzocrea}, editor = {Jennifer L. Leopold}, title = {Ergodic Hidden Markov Models for Workload Characterization Problems}, booktitle = {The 23rd International Conference on Distributed Multimedia Systems, Visual Languages and Sentient Systems, {DMS} 2017, Wyndham Pittsburgh University Center, Pittsburgh, USA, July 7-8, 2017}, pages = {102--109}, publisher = {{KSI} Research Inc. and Knowledge Systems Institute Graduate School}, year = {2017}, url = {https://doi.org/10.18293/DMS2017-020}, doi = {10.18293/DMS2017-020}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dms/MumoloVC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eenergy/HoGP17, author = {N. T. T. Ho and Marco Gribaudo and Barbara Pernici}, editor = {Minghua Chen and Yeung Yam}, title = {Improving Energy Efficiency for Transactional Workloads in Cloud Environments}, booktitle = {Proceedings of the Eighth International Conference on Future Energy Systems, e-Energy 2017, Shatin, Hong Kong, China, May 16-19, 2017}, pages = {290--295}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3077839.3084026}, doi = {10.1145/3077839.3084026}, timestamp = {Tue, 06 Nov 2018 16:58:55 +0100}, biburl = {https://dblp.org/rec/conf/eenergy/HoGP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/MarajHAWH17, author = {Crystal S. Maraj and Jonathan Hurter and William Aubrey and Elizabeth Wolfe and Irwin Hudson}, editor = {Stephanie J. Lackey and Jessie Chen}, title = {Contrasting Instructional Strategies Suited to a Detection Task: Examining Differences in Subjective Workload}, booktitle = {Virtual, Augmented and Mixed Reality - 9th International Conference, {VAMR} 2017, Held as Part of {HCI} International 2017, Vancouver, BC, Canada, July 9-14, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10280}, pages = {261--273}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-57987-0\_21}, doi = {10.1007/978-3-319-57987-0\_21}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/MarajHAWH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/WolfeGAFM17, author = {Elizabeth Wolfe and John Granger and Natalie Alessi and Lauren Farrell and Crystal S. Maraj}, editor = {Constantine Stephanidis}, title = {The Implementation of Instructional Strategies for Training in a Virtual Environment: An Exploratory Investigation of Workload and Performance}, booktitle = {{HCI} International 2017 - Posters' Extended Abstracts - 19th International Conference, {HCI} International 2017, Vancouver, BC, Canada, July 9-14, 2017, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {714}, pages = {88--94}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58753-0\_14}, doi = {10.1007/978-3-319-58753-0\_14}, timestamp = {Mon, 03 Jul 2017 14:48:38 +0200}, biburl = {https://dblp.org/rec/conf/hci/WolfeGAFM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/NishtalaCPM17, author = {Rajiv Nishtala and Paul M. Carpenter and Vinicius Petrucci and Xavier Martorell}, title = {Hipster: Hybrid Task Manager for Latency-Critical Cloud Workloads}, booktitle = {2017 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {409--420}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HPCA.2017.13}, doi = {10.1109/HPCA.2017.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/NishtalaCPM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/Truschzinski17, author = {Martina Truschzinski}, editor = {Bilge Mutlu and Manfred Tscheligi and Astrid Weiss and James E. Young}, title = {Modeling Workload: {A} System Theory Approach}, booktitle = {Companion of the 2017 {ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2017, Vienna, Austria, March 6-9, 2017}, pages = {305--306}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3029798.3038408}, doi = {10.1145/3029798.3038408}, timestamp = {Tue, 06 Nov 2018 16:57:28 +0100}, biburl = {https://dblp.org/rec/conf/hri/Truschzinski17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/EdwardsMBM17, author = {Tamsyn Edwards and Lynne Martin and Nancy Bienert and Joey Mercer}, editor = {Luca Longo and Maria Chiara Leva}, title = {The Relationship Between Workload and Performance in Air Traffic Control: Exploring the Influence of Levels of Automation and Variation in Task Demand}, booktitle = {Human Mental Workload: Models and Applications - First International Symposium, {H-WORKLOAD} 2017, Dublin, Ireland, June 28-30, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {726}, pages = {120--139}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61061-0\_8}, doi = {10.1007/978-3-319-61061-0\_8}, timestamp = {Mon, 06 Nov 2017 12:14:31 +0100}, biburl = {https://dblp.org/rec/conf/hworkload/EdwardsMBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/GuastelloMCMS17, author = {Stephen J. Guastello and David E. Marra and Anthony N. Correro II and Maura Michels and Henry Schimmel}, editor = {Luca Longo and Maria Chiara Leva}, title = {Elasticity and Rigidity Constructs and Ratings of Subjective Workload for Individuals and Groups}, booktitle = {Human Mental Workload: Models and Applications - First International Symposium, {H-WORKLOAD} 2017, Dublin, Ireland, June 28-30, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {726}, pages = {51--76}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61061-0\_4}, doi = {10.1007/978-3-319-61061-0\_4}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hworkload/GuastelloMCMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hworkload/LevaB17, author = {Maria Chiara Leva and Yilmar Builes}, editor = {Luca Longo and Maria Chiara Leva}, title = {The Benefits of Task and Cognitive Workload Support for Operators in Ground Handling}, booktitle = {Human Mental Workload: Models and Applications - First International Symposium, {H-WORKLOAD} 2017, Dublin, Ireland, June 28-30, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {726}, pages = {225--238}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61061-0\_15}, doi = {10.1007/978-3-319-61061-0\_15}, timestamp = {Fri, 08 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hworkload/LevaB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icac/MaroulisZK17, author = {Stathis Maroulis and Nikos Zacheilas and Vana Kalogeraki}, editor = {Xiaorui Wang and Christopher Stewart and Hui Lei}, title = {ExpREsS: EneRgy Efficient Scheduling of Mixed Stream and Batch Processing Workloads}, booktitle = {2017 {IEEE} International Conference on Autonomic Computing, {ICAC} 2017, Columbus, OH, USA, July 17-21, 2017}, pages = {27--32}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICAC.2017.43}, doi = {10.1109/ICAC.2017.43}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icac/MaroulisZK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/PennaICPFBM17, author = {Pedro Henrique Penna and Eduardo C. Inacio and M{\'{a}}rcio Castro and Patricia Della M{\'{e}}a Plentz and Henrique C. Freitas and Fran{\c{c}}ois Broquedis and Jean{-}Fran{\c{c}}ois M{\'{e}}haut}, editor = {Petros Koumoutsakos and Michael Lees and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Assessing the Performance of the {SRR} Loop Scheduler with Irregular Workloads}, booktitle = {International Conference on Computational Science, {ICCS} 2017, 12-14 June 2017, Zurich, Switzerland}, series = {Procedia Computer Science}, volume = {108}, pages = {255--264}, publisher = {Elsevier}, year = {2017}, url = {https://doi.org/10.1016/j.procs.2017.05.222}, doi = {10.1016/J.PROCS.2017.05.222}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/PennaICPFBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/MaroulisZK17, author = {Stathis Maroulis and Nikos Zacheilas and Vana Kalogeraki}, editor = {Kisung Lee and Ling Liu}, title = {A Framework for Efficient Energy Scheduling of Spark Workloads}, booktitle = {37th {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2017, Atlanta, GA, USA, June 5-8, 2017}, pages = {2614--2615}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICDCS.2017.179}, doi = {10.1109/ICDCS.2017.179}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/MaroulisZK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/PinneckeBDS17, author = {Marcus Pinnecke and David Broneske and Gabriel Campero Durand and Gunter Saake}, title = {Are Databases Fit for Hybrid Workloads on GPUs? {A} Storage Engine's Perspective}, booktitle = {33rd {IEEE} International Conference on Data Engineering, {ICDE} 2017, San Diego, CA, USA, April 19-22, 2017}, pages = {1599--1606}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICDE.2017.237}, doi = {10.1109/ICDE.2017.237}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/PinneckeBDS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icist/BorysPWW17, author = {Magdalena Borys and Malgorzata Plechawska{-}W{\'{o}}jcik and Martyna Wawrzyk and Kinga Wesolowska}, editor = {Robertas Damasevicius and Vilma Mikasyte}, title = {Classifying Cognitive Workload Using Eye Activity and {EEG} Features in Arithmetic Tasks}, booktitle = {Information and Software Technologies - 23rd International Conference, {ICIST} 2017, Druskininkai, Lithuania, October 12-14, 2017, Proceedings}, series = {Communications in Computer and Information Science}, volume = {756}, pages = {90--105}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-67642-5\_8}, doi = {10.1007/978-3-319-67642-5\_8}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icist/BorysPWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/BoukorosNMVKW17, author = {Spyros Boukoros and Anupiya Nugaliyadde and Angelos K. Marnerides and Costas Vassilakis and Polychronis Koutsakis and Kok Wai Wong}, editor = {Derong Liu and Shengli Xie and Yuanqing Li and Dongbin Zhao and El{-}Sayed M. El{-}Alfy}, title = {Modeling Server Workloads for Campus Email Traffic Using Recurrent Neural Networks}, booktitle = {Neural Information Processing - 24th International Conference, {ICONIP} 2017, Guangzhou, China, November 14-18, 2017, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {10638}, pages = {57--66}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-70139-4\_6}, doi = {10.1007/978-3-319-70139-4\_6}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/BoukorosNMVKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsa/KlockWGJ17, author = {Sander Klock and Jan Martijn E. M. van der Werf and Jan Pieter Guelen and Slinger Jansen}, title = {Workload-Based Clustering of Coherent Feature Sets in Microservice Architectures}, booktitle = {2017 {IEEE} International Conference on Software Architecture, {ICSA} 2017, Gothenburg, Sweden, April 3-7, 2017}, pages = {11--20}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICSA.2017.38}, doi = {10.1109/ICSA.2017.38}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsa/KlockWGJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/Maronas17, author = {Marcos Maronas}, title = {Extending OmpSs to Support Data Analytics Workload}, booktitle = {2017 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2017, Genoa, Italy, July 17-21, 2017}, pages = {884--886}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/HPCS.2017.136}, doi = {10.1109/HPCS.2017.136}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/Maronas17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/BazzanoGLPG17, author = {Federica Bazzano and Angelo Grimaldi and Fabrizio Lamberti and Gianluca Paravati and Marco Gaspardone}, editor = {Patrick Horain and Catherine Achard and Malik Mallem}, title = {Adjustable Autonomy for {UAV} Supervision Applications Through Mental Workload Assessment Techniques}, booktitle = {Intelligent Human Computer Interaction - 9th International Conference, {IHCI} 2017, Evry, France, December 11-13, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10688}, pages = {32--44}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-72038-8\_4}, doi = {10.1007/978-3-319-72038-8\_4}, timestamp = {Fri, 31 Jan 2020 21:32:22 +0100}, biburl = {https://dblp.org/rec/conf/ihci/BazzanoGLPG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ChenGDMM17, author = {Shuang Chen and Shay GalOn and Christina Delimitrou and Srilatha Manne and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {Workload characterization of interactive cloud services on big and small server platforms}, booktitle = {2017 {IEEE} International Symposium on Workload Characterization, {IISWC} 2017, Seattle, WA, USA, October 1-3, 2017}, pages = {125--134}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IISWC.2017.8167770}, doi = {10.1109/IISWC.2017.8167770}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ChenGDMM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/HahnelADKC17, author = {Markus H{\"{a}}hnel and Frehiwot Melak Arega and Waltenegus Dargie and Robert Khasanov and Jer{\'{o}}nimo Castrill{\'{o}}n}, title = {Application interference analysis: Towards energy-efficient workload management on heterogeneous micro-server architectures}, booktitle = {2017 {IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops, Atlanta, GA, USA, May 1-4, 2017}, pages = {432--437}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/INFCOMW.2017.8116415}, doi = {10.1109/INFCOMW.2017.8116415}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/HahnelADKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotdcc/FerreiraENNLBPF17, author = {Carlos Henrique Gomes Ferreira and J{\'{u}}lio Cezar Estrella and Luiz Henrique Nunes and Luis Hideo Vasconcelos Nakamura and Rafael Mira De Oliveira Libardi and Bruno G. Batista and Maycon L. M. Peixoto and Dionisio Machado Leite Filho and Stephan Reiff{-}Marganiec}, editor = {Hani Hamdan and Djallel Eddine Boubiche and Homero Toral{-}Cruz and Sedat Akleylek and Hamid Mcheick}, title = {A low cost workload generation approach through the cloud for capacity planning in service-oriented systems}, booktitle = {Proceedings of the Second International Conference on Internet of things and Cloud Computing, {ICC} 2017, Cambridge, United Kingdom, March 22-23, 2017}, pages = {6:1--6:8}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3018896.3018900}, doi = {10.1145/3018896.3018900}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iotdcc/FerreiraENNLBPF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/KeramidasVASWGM17, author = {Georgios Keramidas and Nikolaos S. Voros and Christos P. Antonopoulos and Fynn Schwiegelshohn and Philipp Wehner and Diana G{\"{o}}hringer and Evaggelinos P. Mariatos}, title = {Profile-Driven Power Optimizations for {AAL} Robots: Maximizing Robots Idle Time by Offloading Monitoring Workload to Dedicated Hardware Components}, booktitle = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017, Bochum, Germany, July 3-5, 2017}, pages = {374--378}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISVLSI.2017.72}, doi = {10.1109/ISVLSI.2017.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/KeramidasVASWGM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pads/CarothersMBVMLM17, author = {Christopher D. Carothers and Jeremy S. Meredith and Mark P. Blanco and Jeffrey S. Vetter and Misbah Mubarak and Justin M. LaPre and Shirley Moore}, editor = {Wentong Cai and Yong Meng Teo and Philip A. Wilsey and Kevin Jin}, title = {Durango: Scalable Synthetic Workload Generation for Extreme-Scale Application Performance Modeling and Simulation}, booktitle = {Proceedings of the 2017 {ACM} {SIGSIM} Conference on Principles of Advanced Discrete Simulation, {SIGSIM-PADS} 2017, Singapore, May 24-26, 2017}, pages = {97--108}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3064911.3064923}, doi = {10.1145/3064911.3064923}, timestamp = {Mon, 04 Oct 2021 14:20:51 +0200}, biburl = {https://dblp.org/rec/conf/pads/CarothersMBVMLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/XavierXN17, author = {Wender Zacarias Xavier and Faber Henrique Z. Xavier and Humberto T. Marques Neto}, title = {Visualizing and analyzing georeferenced workloads of mobile networks}, booktitle = {2017 {IEEE} International Conference on Pervasive Computing and Communications Workshops, PerCom Workshops 2017, Kona, Big Island, HI, USA, March 13-17, 2017}, pages = {306--310}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PERCOMW.2017.7917578}, doi = {10.1109/PERCOMW.2017.7917578}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/percom/XavierXN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtsi/MalagoliCCSF17, author = {Andrea Malagoli and Matteo Corradini and Paola Corradini and Todd Shuett and Sergio Fonda}, title = {Towards a method for the objective assessment of cognitive workload: {A} pilot study in vessel traffic service {(VTS)} of maritime domain}, booktitle = {3rd {IEEE} International Forum on Research and Technologies for Society and Industry, {RTSI} 2017, Modena, Italy, September 11-13, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/RTSI.2017.8065962}, doi = {10.1109/RTSI.2017.8065962}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtsi/MalagoliCCSF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/IranfarTSDPZFKA17, author = {Arman Iranfar and Federico Terraneo and William Andrew Simon and Leon Dragic and Igor Piljic and Marina Zapater and William Fornaciari and Mario Kovac and David Atienza}, editor = {Yale N. Patt and S. K. Nandy}, title = {Thermal characterization of next-generation workloads on heterogeneous MPSoCs}, booktitle = {2017 International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, {SAMOS} 2017, Pythagorion, Greece, July 17-20, 2017}, pages = {286--291}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SAMOS.2017.8344642}, doi = {10.1109/SAMOS.2017.8344642}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/IranfarTSDPZFKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/Madi-WambaLOBM17, author = {Gilles Madi{-}Wamba and Yunbo Li and Anne{-}C{\'{e}}cile Orgerie and Nicolas Beldiceanu and Jean{-}Marc Menaud}, title = {Cloud Workload Prediction and Generation Models}, booktitle = {29th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2017, Campinas, Brazil, October 17-20, 2017}, pages = {89--96}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SBAC-PAD.2017.19}, doi = {10.1109/SBAC-PAD.2017.19}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/Madi-WambaLOBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/AmaralPCSS17, author = {Marcelo Amaral and Jord{\`{a}} Polo and David Carrera and Seetharami R. Seelam and Malgorzata Steinder}, editor = {Bernd Mohr and Padma Raghavan}, title = {Topology-aware {GPU} scheduling for learning workloads in cloud environments}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2017, Denver, CO, USA, November 12 - 17, 2017}, pages = {17}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3126908.3126933}, doi = {10.1145/3126908.3126933}, timestamp = {Tue, 08 Nov 2022 16:03:02 +0100}, biburl = {https://dblp.org/rec/conf/sc/AmaralPCSS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/CortezBMRFB17, author = {Eli Cortez and Anand Bonde and Alexandre Muzio and Mark Russinovich and Marcus Fontoura and Ricardo Bianchini}, title = {Resource Central: Understanding and Predicting Workloads for Improved Resource Management in Large Cloud Platforms}, booktitle = {Proceedings of the 26th Symposium on Operating Systems Principles, Shanghai, China, October 28-31, 2017}, pages = {153--167}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3132747.3132772}, doi = {10.1145/3132747.3132772}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sosp/CortezBMRFB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vsmm/TransonVNMS17, author = {Alan Transon and Adrien Verhulst and Jean{-}Marie Normand and Guillaume Moreau and Maki Sugimoto}, editor = {Lizbeth Goodman and Alonzo C. Addison}, title = {Evaluation of facial expressions as an interaction mechanism and their impact on affect, workload and usability in an {AR} game}, booktitle = {23rd International Conference on Virtual System {\&} Multimedia, {VSMM} 2017, Dublin, Ireland, October 31 - Nov. 4, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VSMM.2017.8346263}, doi = {10.1109/VSMM.2017.8346263}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vsmm/TransonVNMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/AgorMMOPH17, author = {Joseph Agor and Kendall McKenzie and Maria E. Mayorga and Osman Y. {\"{O}}zaltin and Riddhi S. Parikh and Jeanne Huddleston}, title = {Simulating triage of patients into an internal medicine department to validate the use of an optimization-based workload score}, booktitle = {2017 Winter Simulation Conference, {WSC} 2017, Las Vegas, NV, USA, December 3-6, 2017}, pages = {2881--2892}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WSC.2017.8248011}, doi = {10.1109/WSC.2017.8248011}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/AgorMMOPH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/WhiteIJDSPGFSBK17, author = {Joseph P. White and Martins Innus and Matthew D. Jones and Robert L. DeLeon and Nikolay Simakov and Jeffrey T. Palmer and Steven M. Gallo and Thomas R. Furlani and Michael T. Showerman and Robert Brunner and Andry Kot and Gregory H. Bauer and Brett M. Bode and Jeremy Enos and William T. Kramer}, editor = {David L. Hart and Maytal Dahan}, title = {Challenges of Workload Analysis on Large {HPC} Systems: {A} Case Study on {NCSA} Blue Waters}, booktitle = {Proceedings of the Practice and Experience in Advanced Research Computing 2017: Sustainability, Success and Impact, {PEARC} 2017, New Orleans, LA, USA, July 9-13, 2017}, pages = {6:1--6:8}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3093338.3093348}, doi = {10.1145/3093338.3093348}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/xsede/WhiteIJDSPGFSBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hworkload/2017, editor = {Luca Longo and Maria Chiara Leva}, title = {Human Mental Workload: Models and Applications - First International Symposium, {H-WORKLOAD} 2017, Dublin, Ireland, June 28-30, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {726}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61061-0}, doi = {10.1007/978-3-319-61061-0}, isbn = {978-3-319-61060-3}, timestamp = {Mon, 06 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hworkload/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JonesWIDSPGFSBK17, author = {Matthew D. Jones and Joseph P. White and Martins Innus and Robert L. DeLeon and Nikolay Simakov and Jeffrey T. Palmer and Steven M. Gallo and Thomas R. Furlani and Michael T. Showerman and Robert Brunner and Andry Kot and Gregory H. Bauer and Brett M. Bode and Jeremy Enos and William T. Kramer}, title = {Workload Analysis of Blue Waters}, journal = {CoRR}, volume = {abs/1703.00924}, year = {2017}, url = {http://arxiv.org/abs/1703.00924}, eprinttype = {arXiv}, eprint = {1703.00924}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JonesWIDSPGFSBK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/CerottiGPS16, author = {Davide Cerotti and Marco Gribaudo and Pietro Piazzolla and Giuseppe Serazzi}, title = {Matching performance objectives for open and closed workloads by consolidation and replication}, journal = {Ann. Oper. Res.}, volume = {239}, number = {2}, pages = {589--612}, year = {2016}, url = {https://doi.org/10.1007/s10479-014-1591-9}, doi = {10.1007/S10479-014-1591-9}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/anor/CerottiGPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/PerezBPMSSB16, author = {Iker Perez and Michael A. Brown and James Pinchin and Sarah Martindale and Sarah Sharples and Dominick Shaw and John Blakey}, title = {Out of hours workload management: Bayesian inference for decision support in secondary care}, journal = {Artif. Intell. Medicine}, volume = {73}, pages = {34--44}, year = {2016}, url = {https://doi.org/10.1016/j.artmed.2016.09.005}, doi = {10.1016/J.ARTMED.2016.09.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/PerezBPMSSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/GoncalvesDVSAM16, author = {Glauber D. Gon{\c{c}}alves and Idilio Drago and Alex Borges Vieira and Ana Paula Couto da Silva and Jussara M. Almeida and Marco Mellia}, title = {Workload models and performance evaluation of cloud storage services}, journal = {Comput. Networks}, volume = {109}, pages = {183--199}, year = {2016}, url = {https://doi.org/10.1016/j.comnet.2016.03.024}, doi = {10.1016/J.COMNET.2016.03.024}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/GoncalvesDVSAM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/CalzarossaMT16, author = {Maria Carla Calzarossa and Luisa Massari and Daniele Tessera}, title = {Workload Characterization: {A} Survey Revisited}, journal = {{ACM} Comput. Surv.}, volume = {48}, number = {3}, pages = {48:1--48:43}, year = {2016}, url = {https://doi.org/10.1145/2856127}, doi = {10.1145/2856127}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/CalzarossaMT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/MazurMMCYFEHTCM16, author = {Lukasz Mazur and Prithima Mosaly and Carlton Moore and Elizabeth Comitz and Fei Yu and Aaron D. Falchook and Michael J. Eblan and Lesley M. Hoyle and Gregg Tracton and Bhishamjit S. Chera and Lawrence B. Marks}, title = {Toward a better understanding of task demands, workload, and performance during physician-computer interactions}, journal = {J. Am. Medical Informatics Assoc.}, volume = {23}, number = {6}, pages = {1113--1120}, year = {2016}, url = {https://doi.org/10.1093/jamia/ocw016}, doi = {10.1093/JAMIA/OCW016}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/MazurMMCYFEHTCM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/MengLKZSHSC16, author = {Jie Meng and Eduard Llamos{\'{\i}} and Fulya Kaplan and Chulian Zhang and Jiayi Sheng and Martin C. Herbordt and Gunar Schirner and Ayse K. Coskun}, title = {Communication and cooling aware job allocation in data centers for communication-intensive workloads}, journal = {J. Parallel Distributed Comput.}, volume = {96}, pages = {181--193}, year = {2016}, url = {https://doi.org/10.1016/j.jpdc.2016.05.016}, doi = {10.1016/J.JPDC.2016.05.016}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/MengLKZSHSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/MarcusP16, author = {Ryan Marcus and Olga Papaemmanouil}, title = {WiSeDB: {A} Learning-based Workload Management Advisor for Cloud Databases}, journal = {Proc. {VLDB} Endow.}, volume = {9}, number = {10}, pages = {780--791}, year = {2016}, url = {http://www.vldb.org/pvldb/vol9/p780-marcus.pdf}, doi = {10.14778/2977797.2977804}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/MarcusP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/suscom/BandariSA16, author = {Maryam Bandari and Robert Simon and Hakan Aydin}, title = {On minimizing expected energy usage of embedded wireless systems with probabilistic workloads}, journal = {Sustain. Comput. Informatics Syst.}, volume = {11}, pages = {50--62}, year = {2016}, url = {https://doi.org/10.1016/j.suscom.2016.02.004}, doi = {10.1016/J.SUSCOM.2016.02.004}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/suscom/BandariSA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vr/Pouliquen-Lardy16, author = {Lauriane Pouliquen{-}Lardy and Isabelle Milleville{-}Pennel and Fran{\c{c}}ois Guillaume and Franck Mars}, title = {Remote collaboration in virtual reality: asymmetrical effects of task distribution on spatial processing and mental workload}, journal = {Virtual Real.}, volume = {20}, number = {4}, pages = {213--220}, year = {2016}, url = {https://doi.org/10.1007/s10055-016-0294-8}, doi = {10.1007/S10055-016-0294-8}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vr/Pouliquen-Lardy16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/LeKG16, author = {Tuan Le and Haik Kalantarian and Mario Gerla}, title = {A novel social contact graph-based routing strategy for workload and throughput fairness in delay tolerant networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {16}, number = {11}, pages = {1352--1362}, year = {2016}, url = {https://doi.org/10.1002/wcm.2694}, doi = {10.1002/WCM.2694}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/LeKG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/Kim0QH16, author = {In Kee Kim and Wei Wang and Yanjun Qi and Marty Humphrey}, title = {Empirical Evaluation of Workload Forecasting Techniques for Predictive Cloud Resource Scaling}, booktitle = {9th {IEEE} International Conference on Cloud Computing, {CLOUD} 2016, San Francisco, CA, USA, June 27 - July 2, 2016}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CLOUD.2016.0011}, doi = {10.1109/CLOUD.2016.0011}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/Kim0QH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/HormannHCAM016, author = {Timm H{\"{o}}rmann and Marc Hesse and Peter Christ and Michael Adams and Christian Men{\ss}en and Ulrich R{\"{u}}ckert}, editor = {James P. Gilbert and Haim Azhari and Hesham H. Ali and Carla Quint{\~{a}}o and Jan Sliwa and Carolina Ruiz and Ana L. N. Fred and Hugo Gamboa}, title = {Fine-Grained Prediction of Cognitive Workload in a Modern Working Environment by Utilizing Short-Term Physiological Parameters}, booktitle = {Proceedings of the 9th International Joint Conference on Biomedical Engineering Systems and Technologies {(BIOSTEC} 2016) - Volume 4: BIOSIGNALS, Rome, Italy, February 21-23, 2016}, pages = {42--51}, publisher = {SciTePress}, year = {2016}, url = {https://doi.org/10.5220/0005665000420051}, doi = {10.5220/0005665000420051}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biostec/HormannHCAM016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/HormannHCAM016a, author = {Timm H{\"{o}}rmann and Marc Hesse and Peter Christ and Michael Adams and Christian Men{\ss}en and Ulrich R{\"{u}}ckert}, editor = {Ana L. N. Fred and Hugo Gamboa}, title = {Detailed Estimation of Cognitive Workload with Reference to a Modern Working Environment}, booktitle = {Biomedical Engineering Systems and Technologies - 9th International Joint Conference, {BIOSTEC} 2016, Rome, Italy, February 21-23, 2016, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {690}, pages = {205--223}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-54717-6\_12}, doi = {10.1007/978-3-319-54717-6\_12}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biostec/HormannHCAM016a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/CastroVGPCA16, author = {Harold E. Castro and Mario Villamizar and Oscar Garces and Jose Perez and Rodolfo Caliz and Pedro F. Perez Arteaga}, title = {Facilitating the Execution of {HPC} Workloads in Colombia through the Integration of a Private IaaS and a Scientific PaaS/SaaS Marketplace}, booktitle = {{IEEE/ACM} 16th International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2016, Cartagena, Colombia, May 16-19, 2016}, pages = {693--700}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CCGrid.2016.52}, doi = {10.1109/CCGRID.2016.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/CastroVGPCA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clei/AbranchesS16, author = {Marcelo Cerqueira de Abranches and Priscila Sol{\'{\i}}s}, title = {A mechanism of auto elasticity based on response times for cloud computer enviroments and autossimilar workload}, booktitle = {{XLII} Latin American Computing Conference, {CLEI} 2016, Valpara{\'{\i}}so, Chile, October 10-14, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CLEI.2016.7833403}, doi = {10.1109/CLEI.2016.7833403}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clei/AbranchesS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/UtaOK16, author = {Alexandru Uta and Ana{-}Maria Oprescu and Thilo Kielmann}, title = {Towards Resource Disaggregation - Memory Scavenging for Scientific Workloads}, booktitle = {2016 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2016, Taipei, Taiwan, September 12-16, 2016}, pages = {100--109}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CLUSTER.2016.18}, doi = {10.1109/CLUSTER.2016.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/UtaOK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/CuzzocreaMTV16, author = {Alfredo Cuzzocrea and Enzo Mumolo and Nicola Timeus and Gianni Viardo Vercelli}, title = {GPU-Aware Genetic Estimation of Hidden Markov Models for Workload Classification Problems}, booktitle = {40th {IEEE} Annual Computer Software and Applications Conference, {COMPSAC} 2016, Atlanta, GA, USA, June 10-14, 2016}, pages = {674--682}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/COMPSAC.2016.123}, doi = {10.1109/COMPSAC.2016.123}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/CuzzocreaMTV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/damon/GawadeKS16, author = {Mrunal Gawade and Martin L. Kersten and Alkis Simitsis}, title = {Multi-core column-store parallelization under concurrent workload}, booktitle = {Proceedings of the 12th International Workshop on Data Management on New Hardware, DaMoN 2016, San Francisco, CA, USA, June 27, 2016}, pages = {1:1--1:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2933349.2933350}, doi = {10.1145/2933349.2933350}, timestamp = {Tue, 06 Nov 2018 16:58:57 +0100}, biburl = {https://dblp.org/rec/conf/damon/GawadeKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HilburgZRMA16, author = {Juan C. Salinas Hilburg and Marina Zapater and Jos{\'{e}} Luis Risco{-}Mart{\'{\i}}n and Jos{\'{e}} Manuel Moya and Jos{\'{e}} Luis Ayala}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Unsupervised power modeling of co-allocated workloads for energy efficiency in data centers}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {1345--1350}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459518/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HilburgZRMA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SozzoDTMSB16, author = {Emanuele Del Sozzo and Gianluca C. Durelli and E. M. G. Trainiti and Antonio Miele and Marco D. Santambrogio and Cristiana Bolchini}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Workload-aware power optimization strategy for asymmetric multiprocessors}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {531--534}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459367/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/SozzoDTMSB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecrts/BaruahBBM16, author = {Sanjoy K. Baruah and Vincenzo Bonifaci and Renato Bruni and Alberto Marchetti{-}Spaccamela}, title = {ILP-Based Approaches to Partitioning Recurrent Workloads Upon Heterogeneous Multiprocessors}, booktitle = {28th Euromicro Conference on Real-Time Systems, {ECRTS} 2016, Toulouse, France, July 5-8, 2016}, pages = {215--225}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ECRTS.2016.10}, doi = {10.1109/ECRTS.2016.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecrts/BaruahBBM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/NishtalaM16, author = {Rajiv Nishtala and Xavier Martorell}, title = {RePP-C: Runtime estimation of performance-power with workload consolidation in CMPs}, booktitle = {Seventh International Green and Sustainable Computing Conference, {IGSC} 2016, Hangzhou, China, November 7-9, 2016}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/IGCC.2016.7892614}, doi = {10.1109/IGCC.2016.7892614}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/NishtalaM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/SalcedoLM16, author = {Julie Nanette Salcedo and Stephanie J. Lackey and Crystal S. Maraj}, editor = {Stephanie J. Lackey and Randall Shumaker}, title = {Impact of Instructional Strategies on Workload, Stress, and Flow in Simulation-Based Training for Behavior Cue Analysis}, booktitle = {Virtual, Augmented and Mixed Reality - 8th International Conference, {VAMR} 2016, Held as Part of {HCI} International 2016, Toronto, Canada, July 17-22, 2016. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9740}, pages = {184--195}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-39907-2\_18}, doi = {10.1007/978-3-319-39907-2\_18}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/SalcedoLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MirhosseiniSZS16, author = {Amirhossein Mirhosseini and Mohammad Sadrosadati and Maryam Zare and Hamid Sarbazi{-}Azad}, title = {Quantifying the difference in resource demand among classic and modern NoC workloads}, booktitle = {34th {IEEE} International Conference on Computer Design, {ICCD} 2016, Scottsdale, AZ, USA, October 2-5, 2016}, pages = {404--407}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICCD.2016.7753314}, doi = {10.1109/ICCD.2016.7753314}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MirhosseiniSZS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccp2/AntalPPPCAS16, author = {Marcel Antal and Cristian Pintea and Eugen Pintea and Claudia Pop and Tudor Cioara and Ionut Anghel and Ioan Salomie}, title = {Thermal aware workload consolidation in cloud data centers}, booktitle = {{IEEE} 12th International Conference on Intelligent Computer Communication and Processing, {ICCP} 2016, Cluj-Napoca, Romania, September 8-10, 2016}, pages = {377--384}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCP.2016.7737177}, doi = {10.1109/ICCP.2016.7737177}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccp2/AntalPPPCAS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/MarcusP16, author = {Ryan Marcus and Olga Papaemmanouil}, title = {Workload management for cloud databases via machine learning}, booktitle = {32nd {IEEE} International Conference on Data Engineering Workshops, {ICDE} Workshops 2016, Helsinki, Finland, May 16-20, 2016}, pages = {27--30}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICDEW.2016.7495611}, doi = {10.1109/ICDEW.2016.7495611}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/MarcusP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icist/0001DSF16, author = {Martin Boissier and Timo Dj{\"{u}}rken and Rainer Schlosser and Martin Faust}, editor = {Giedre Dregvaite and Robertas Damasevicius}, title = {A Cost-Aware and Workload-Based Index Advisor for Columnar In-Memory Databases}, booktitle = {Information and Software Technologies - 22nd International Conference, {ICIST} 2016, Druskininkai, Lithuania, October 13-15, 2016, Proceedings}, series = {Communications in Computer and Information Science}, volume = {639}, pages = {285--299}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-46254-7\_23}, doi = {10.1007/978-3-319-46254-7\_23}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icist/0001DSF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcis/JankowskiA16, author = {Damian Jankowski and Marek Amanowicz}, title = {A method of network workload generation for evaluation of intrusion detection systems in {SDN} environment}, booktitle = {International Conference on Military Communications and Information Systems, {ICMCIS} 2016, Brussels, Belgium, May 23-24, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICMCIS.2016.7496575}, doi = {10.1109/ICMCIS.2016.7496575}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcis/JankowskiA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/StamoulisM16, author = {Dimitrios Stamoulis and Diana Marculescu}, title = {Can We Guarantee Performance Requirements under Workload and Process Variations?}, booktitle = {Proceedings of the 2016 International Symposium on Low Power Electronics and Design, {ISLPED} 2016, San Francisco Airport, CA, USA, August 08 - 10, 2016}, pages = {308--313}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2934583.2934641}, doi = {10.1145/2934583.2934641}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/StamoulisM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/phycs/LiniHB16, author = {Sami Lini and Lise Hannotte and Margot Beugniot}, editor = {Stephen Fairclough and Andreas Holzinger and Abraham Otero and Alan Pope and Hugo Pl{\'{a}}cido da Silva}, title = {Effect of a Real-Time Psychophysiological Feedback, Its Display Format and Reliability on Cognitive Workload and Performance}, booktitle = {Proceedings of the 3rd International Conference on Physiological Computing Systems (PhyCS 2016), Lisbon, Portugal, July 27 - 28, 2016}, pages = {75--79}, publisher = {SciTePress}, year = {2016}, url = {https://doi.org/10.5220/0005939500750079}, doi = {10.5220/0005939500750079}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/phycs/LiniHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/promise/HonselHG16, author = {Verena Honsel and Steffen Herbold and Jens Grabowski}, title = {Hidden Markov Models for the Prediction of Developer Involvement Dynamics and Workload}, booktitle = {Proceedings of the The 12th International Conference on Predictive Models and Data Analytics in Software Engineering, {PROMISE} 2016, Ciudad Real, Spain, September 9, 2016}, pages = {8:1--8:10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2972958.2972960}, doi = {10.1145/2972958.2972960}, timestamp = {Tue, 06 Nov 2018 16:57:05 +0100}, biburl = {https://dblp.org/rec/conf/promise/HonselHG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KhanKT16, author = {Mehran Khan and Ferhat Khendek and Maria Toeroe}, editor = {Sascha Ossowski}, title = {Monitoring service level workload and adapting highly available applications}, booktitle = {Proceedings of the 31st Annual {ACM} Symposium on Applied Computing, Pisa, Italy, April 4-8, 2016}, pages = {522--529}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2851613.2851642}, doi = {10.1145/2851613.2851642}, timestamp = {Tue, 06 Nov 2018 11:06:49 +0100}, biburl = {https://dblp.org/rec/conf/sac/KhanKT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/BarnesCDDFHKKLM16, author = {Taylor Barnes and Brandon Cook and Jack Deslippe and Douglas Doerfler and Brian Friesen and Yun (Helen) He and Thorsten Kurth and Tuomas Koskela and Mathieu Lobet and Tareq M. Malas and Leonid Oliker and Andrey Ovsyannikov and Abhinav Sarje and Jean{-}Luc Vay and Henri Vincenti and Samuel Williams and Pierre Carrier and Nathan Wichmann and Marcus Wagner and Paul R. C. Kent and Christopher Kerr and John M. Dennis}, title = {Evaluating and Optimizing the {NERSC} Workload on Knights Landing}, booktitle = {7th International Workshop on Performance Modeling, Benchmarking and Simulation of High Performance Computer Systems, PMBS@SC 2016, Salt Lake, UT, USA, November 14, 2016}, pages = {43--53}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PMBS.2016.010}, doi = {10.1109/PMBS.2016.010}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/BarnesCDDFHKKLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/DicksonWMHMJ16, author = {James Dickson and Steven A. Wright and Satheesh Maheswaran and Andy Herdman and Mark C. Miller and Stephen A. Jarvis}, title = {Replicating {HPC} {I/O} Workloads with Proxy Applications}, booktitle = {1st Joint International Workshop on Parallel Data Storage and data Intensive Scalable Computing Systems, PDSW-DISCS@SC 2016, Salt Lake, UT, USA, November 14, 2016}, pages = {13--18}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDSW-DISCS.2016.007}, doi = {10.1109/PDSW-DISCS.2016.007}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/DicksonWMHMJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/se/BuschNKKRA16, author = {Axel Busch and Qais Noorshams and Samuel Kounev and Anne Koziolek and Ralf H. Reussner and Erich Amrehn}, editor = {Jens Knoop and Uwe Zdun}, title = {Automated workload characterization for {I/O} performance analysis in virtualized environments}, booktitle = {Software Engineering 2016, Fachtagung des GI-Fachbereichs Softwaretechnik, 23.-26. Februar 2016, Wien, {\"{O}}sterreich}, series = {{LNI}}, volume = {{P-252}}, pages = {27--28}, publisher = {{GI}}, year = {2016}, url = {https://dl.gi.de/handle/20.500.12116/762}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/se/BuschNKKRA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/services/FerreiraNPNER16, author = {Carlos Henrique Gomes Ferreira and Luiz Henrique Nunes and Louren{\c{c}}o Alves Pereira J{\'{u}}nior and Luis Hideo Vasconcelos Nakamura and J{\'{u}}lio Cezar Estrella and Stephan Reiff{-}Marganiec}, editor = {Rami Bahsoon and Liang{-}Jie Zhang}, title = {PEESOS-Cloud: {A} Workload-Aware Architecture for Performance Evaluation in Service-Oriented Systems}, booktitle = {{IEEE} World Congress on Services, {SERVICES} 2016, San Francisco, CA, USA, June 27 - July 2, 2016}, pages = {118--125}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/SERVICES.2016.25}, doi = {10.1109/SERVICES.2016.25}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/services/FerreiraNPNER16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KrolFFGZ16, author = {Laurens R. Krol and Sarah{-}Christin Freytag and Markus Fleck and Klaus Gramann and Thorsten O. Zander}, title = {A task-independent workload classifier for neuroadaptive technology: Preliminary data}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {3171--3174}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844722}, doi = {10.1109/SMC.2016.7844722}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/KrolFFGZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ZahabiZPLSK16, author = {Maryam Zahabi and Wenjuan Zhang and Carl Pankok and Mei Ying Lau and James Shirley and David B. Kaber}, title = {Effect of physical workload on navigation task performance by high-fit young males}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {350--354}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844265}, doi = {10.1109/SMC.2016.7844265}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ZahabiZPLSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/ZhangWZWZHK16, author = {Wenjuan Zhang and Melissa Mae White and Maryam Zahabi and Anna T. Winslow and Fan Zhang and He Huang and David Kaber}, title = {Cognitive workload in conventional direct control vs. pattern recognition control of an upper-limb prosthesis}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {2335--2340}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844587}, doi = {10.1109/SMC.2016.7844587}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/ZhangWZWZHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sose/PanneerselvamLA16, author = {John Panneerselvam and Lu Liu and Nick Antonopoulos and Marcello Trovati}, title = {Latency-Aware Empirical Analysis of the Workloads for Reducing Excess Energy Consumptions at Cloud Datacentres}, booktitle = {2016 {IEEE} Symposium on Service-Oriented System Engineering, {SOSE} 2016, Oxford, United Kingdom, March 29 - April 2, 2016}, pages = {44--52}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/SOSE.2016.60}, doi = {10.1109/SOSE.2016.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sose/PanneerselvamLA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ssrr/BabergCSNO16, author = {Fredrik Baberg and Sergio Caccamo and Nanja J. J. M. Smets and Mark A. Neerincx and Petter {\"{O}}gren}, title = {Free look {UGV} teleoperation control tested in game environment: Enhanced performance and reduced workload}, booktitle = {2016 {IEEE} International Symposium on Safety, Security, and Rescue Robotics, {SSRR} 2016, Lausanne, Switzerland, October 23-27, 2016}, pages = {312--319}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SSRR.2016.7784321}, doi = {10.1109/SSRR.2016.7784321}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ssrr/BabergCSNO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/symbiotic/MiklodyUHKB16, author = {Daniel Miklody and Wendie M. Uitterhoeve and Dimitri van Heel and Kerstin Klinkenberg and Benjamin Blankertz}, editor = {Luciano Gamberini and Anna Spagnolli and Giulio Jacucci and Benjamin Blankertz and Jonathan Freeman}, title = {Maritime Cognitive Workload Assessment}, booktitle = {Symbiotic Interaction - 5th International Workshop, Symbiotic 2016, Padua, Italy, September 29-30, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9961}, pages = {102--114}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-57753-1\_9}, doi = {10.1007/978-3-319-57753-1\_9}, timestamp = {Tue, 04 Feb 2020 18:06:36 +0100}, biburl = {https://dblp.org/rec/conf/symbiotic/MiklodyUHKB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/valuetools/CerottiGPS16, author = {Davide Cerotti and Marco Gribaudo and Riccardo Pinciroli and Giuseppe Serazzi}, editor = {Antonio Puliafito and Kishor S. Trivedi and Bruno Tuffin and Marco Scarpa and Fumio Machida and Javier Alonso}, title = {Optimal population mix in pool depletion systems with two-class workload}, booktitle = {10th {EAI} International Conference on Performance Evaluation Methodologies and Tools, {VALUETOOLS} 2016, Taormina, Italy, 25th-28th Oct 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.4108/eai.25-10-2016.2266566}, doi = {10.4108/EAI.25-10-2016.2266566}, timestamp = {Fri, 15 Mar 2024 12:30:47 +0100}, biburl = {https://dblp.org/rec/conf/valuetools/CerottiGPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/valuetools/RossiM16, author = {Sabina Rossi and Andrea Marin}, editor = {Antonio Puliafito and Kishor S. Trivedi and Bruno Tuffin and Marco Scarpa and Fumio Machida and Javier Alonso}, title = {Fair workload distribution for multi-server systems with pulling strategies}, booktitle = {10th {EAI} International Conference on Performance Evaluation Methodologies and Tools, {VALUETOOLS} 2016, Taormina, Italy, 25th-28th Oct 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.4108/eai.25-10-2016.2267058}, doi = {10.4108/EAI.25-10-2016.2267058}, timestamp = {Fri, 03 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/valuetools/RossiM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vecpar/FerroMS16, author = {Mariza Ferro and Giacomo V. McEvoy and Bruno Schulze}, editor = {In{\^{e}}s Dutra and Rui Camacho and Jorge G. Barbosa and Osni Marques}, title = {Analysis of High Performance Applications Using Workload Requirements}, booktitle = {High Performance Computing for Computational Science - {VECPAR} 2016 - 12th International Conference, Porto, Portugal, June 28-30, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10150}, pages = {7--10}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-61982-8\_2}, doi = {10.1007/978-3-319-61982-8\_2}, timestamp = {Wed, 24 Mar 2021 17:11:59 +0100}, biburl = {https://dblp.org/rec/conf/vecpar/FerroMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vl/HillEOHB16, author = {Charles Hill and Shannon Ernst and Alannah Oleson and Amber Horvath and Margaret M. Burnett}, editor = {Alan F. Blackwell and Beryl Plimmer and Gem Stapleton}, title = {GenderMag experiences in the field: The whole, the parts, and the workload}, booktitle = {2016 {IEEE} Symposium on Visual Languages and Human-Centric Computing, {VL/HCC} 2016, Cambridge, United Kingdom, September 4-8, 2016}, pages = {199--207}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/VLHCC.2016.7739685}, doi = {10.1109/VLHCC.2016.7739685}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vl/HillEOHB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/Perez-PalacinMS16, author = {Diego Perez{-}Palacin and Raffaela Mirandola and Marco Scoppetta}, editor = {Alberto Avritzer and Alexandru Iosup and Xiaoyun Zhu and Steffen Becker}, title = {Simulation of Techniques to Improve the Utilization of Cloud Elasticity in Workload-aware Adaptive Software}, booktitle = {Companion Publication for {ACM/SPEC} on International Conference on Performance Engineering, {ICPE} 2016 Companion, Delft, The Netherlands, March 12-16, 2016}, pages = {51--56}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2859889.2859897}, doi = {10.1145/2859889.2859897}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wosp/Perez-PalacinMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/AgorMOMPH16, author = {Joseph Agor and Kendall McKenzie and Osman Y. {\"{O}}zaltin and Maria E. Mayorga and Riddhi S. Parikh and Jeanne Huddleston}, title = {Simulation of triaging patients into an Internal Medicine Department to validate the use of an optimization based workload score}, booktitle = {Winter Simulation Conference, {WSC} 2016, Washington, DC, USA, December 11-14, 2016}, pages = {3708--3709}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WSC.2016.7822411}, doi = {10.1109/WSC.2016.7822411}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/AgorMOMPH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BaruchiMSN16, author = {Artur Baruchi and Edson T. Midorikawa and Liria M. Sato and Marco A. S. Netto}, title = {Exploiting Workload Cycles for Orchestration of Virtual Machine Live Migrations in Clouds}, journal = {CoRR}, volume = {abs/1607.07846}, year = {2016}, url = {http://arxiv.org/abs/1607.07846}, eprinttype = {arXiv}, eprint = {1607.07846}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/BaruchiMSN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GrangeLPWVEDMM16, author = {Yan G. Grange and R. Lakhoo and Matthias Petschow and C. Wu and Bram Veenboer and I. Emsley and T. J. Dijkema and Alexandar P. Mechev and G. Mariani}, title = {Characterising radio telescope software with the Workload Characterisation Framework}, journal = {CoRR}, volume = {abs/1612.00456}, year = {2016}, url = {http://arxiv.org/abs/1612.00456}, eprinttype = {arXiv}, eprint = {1612.00456}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/GrangeLPWVEDMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Lowe-PowerHW16, author = {Jason Lowe{-}Power and Mark D. Hill and David A. Wood}, title = {When to use 3D Die-Stacked Memory for Bandwidth-Constrained Big Data Workloads}, journal = {CoRR}, volume = {abs/1608.07485}, year = {2016}, url = {http://arxiv.org/abs/1608.07485}, eprinttype = {arXiv}, eprint = {1608.07485}, timestamp = {Mon, 25 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/Lowe-PowerHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MarcusP16, author = {Ryan Marcus and Olga Papaemmanouil}, title = {WiSeDB: {A} Learning-based Workload Management Advisor for Cloud Databases}, journal = {CoRR}, volume = {abs/1601.08221}, year = {2016}, url = {http://arxiv.org/abs/1601.08221}, eprinttype = {arXiv}, eprint = {1601.08221}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MarcusP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/MagalhaesCBG15, author = {Deborah Maria Vieira Magalh{\~{a}}es and Rodrigo N. Calheiros and Rajkumar Buyya and Danielo Goncalves Gomes}, title = {Workload modeling for resource usage analysis and simulation in cloud computing}, journal = {Comput. Electr. Eng.}, volume = {47}, pages = {69--81}, year = {2015}, url = {https://doi.org/10.1016/j.compeleceng.2015.08.016}, doi = {10.1016/J.COMPELECENG.2015.08.016}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/MagalhaesCBG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iwc/BoyerCSS15, author = {Mark Boyer and Mary L. Cummings and Lee B. Spence and Erin Treacy Solovey}, title = {Investigating Mental Workload Changes in a Long Duration Supervisory Control Task}, journal = {Interact. Comput.}, volume = {27}, number = {5}, pages = {512--520}, year = {2015}, url = {https://doi.org/10.1093/iwc/iwv012}, doi = {10.1093/IWC/IWV012}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iwc/BoyerCSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/MarquartW15, author = {Gerhard Marquart and Joost C. F. de Winter}, title = {Workload assessment for mental arithmetic tasks using the task-evoked pupillary response}, journal = {PeerJ Comput. Sci.}, volume = {1}, pages = {e16}, year = {2015}, url = {https://doi.org/10.7717/peerj-cs.16}, doi = {10.7717/PEERJ-CS.16}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/peerj-cs/MarquartW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rts/Stigge015, author = {Martin Stigge and Wang Yi}, title = {Graph-based models for real-time workload: a survey}, journal = {Real Time Syst.}, volume = {51}, number = {5}, pages = {602--636}, year = {2015}, url = {https://doi.org/10.1007/s11241-015-9234-z}, doi = {10.1007/S11241-015-9234-Z}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rts/Stigge015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vjcs/ZimniakGB15, author = {Marcin Zimniak and Janusz R. Getta and Wolfgang Benn}, title = {Predicting database workloads through mining periodic patterns in database audit trails}, journal = {Vietnam. J. Comput. Sci.}, volume = {2}, number = {4}, pages = {201--211}, year = {2015}, url = {https://doi.org/10.1007/s40595-015-0042-0}, doi = {10.1007/S40595-015-0042-0}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vjcs/ZimniakGB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/WardMS15, author = {David Ward and Nuno C. Martins and Brian M. Sadler}, title = {Optimal remote estimation over Action Dependent Switching Channels: Managing workload and bias of a human operator}, booktitle = {American Control Conference, {ACC} 2015, Chicago, IL, USA, July 1-3, 2015}, pages = {3168--3174}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ACC.2015.7171820}, doi = {10.1109/ACC.2015.7171820}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/WardMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ZhangACARZWHWBG15, author = {Jing Zhang and Kellie Avery and Yunan Chen and Shazia Ashfaq and Steven Rick and Kai Zheng and Nadir Weibel and Harry Hochheiser and Charlene R. Weir and Kristin Bell and Mark T. Gabuzda and Neil J. Farber and Braj Pandey and Alan Calvitti and Lin Liu and Richard L. Street Jr. and Zia Agha}, title = {A Preliminary Study on EHR-Associated Extra Workload Among Physicians}, booktitle = {{AMIA} 2015, American Medical Informatics Association Annual Symposium, San Francisco, CA, USA, November 14-18, 2015}, publisher = {{AMIA}}, year = {2015}, url = {https://knowledge.amia.org/59310-amia-1.2741865/t005-1.2744350/f005-1.2744351/2249230-1.2744376/2248934-1.2744373}, timestamp = {Wed, 17 Apr 2024 11:47:40 +0200}, biburl = {https://dblp.org/rec/conf/amia/ZhangACARZWHWBG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csedu/BlesaDGPS15, author = {Maria J. Blesa and Amalia Duch and Joaquim Gabarr{\'{o}} and Jordi Petit and Maria J. Serna}, editor = {Susan Zvacek and Maria Teresa Restivo and James Onohuome Uhomoibhi and Markus Helfert}, title = {Continuous Assessment in the Evolution of a {CS1} Course: The Pass Rate/Workload Ratio}, booktitle = {Computer Supported Education - 7th International Conference, {CSEDU} 2015, Lisbon, Portugal, May 23-25, 2015, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {583}, pages = {313--332}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-29585-5\_18}, doi = {10.1007/978-3-319-29585-5\_18}, timestamp = {Sat, 19 Oct 2019 20:34:21 +0200}, biburl = {https://dblp.org/rec/conf/csedu/BlesaDGPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/CartocciMVFCSMG15, author = {Giulia Cartocci and Anton Giulio Maglione and Giovanni Vecchiato and Gianluca Di Flumeri and Alfredo Colosimo and Alessandro Scorpecci and Pasquale Marsella and Sara Giannantonio and Paolo Malerba and Gianluca Borghini and Pietro Aric{\`{o}} and Fabio Babiloni}, title = {Mental workload estimations in unilateral deafened children}, booktitle = {37th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2015, Milan, Italy, August 25-29, 2015}, pages = {1654--1657}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/EMBC.2015.7318693}, doi = {10.1109/EMBC.2015.7318693}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/CartocciMVFCSMG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/ZapaterTMAC15, author = {Marina Zapater and Ata Turk and Jos{\'{e}} Manuel Moya and Jos{\'{e}} Luis Ayala and Ayse K. Coskun}, title = {Dynamic workload and cooling management in high-efficiency data centers}, booktitle = {Sixth International Green and Sustainable Computing Conference, {IGSC} 2015, Las Vegas, NV, USA, December 14-16, 2015}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IGCC.2015.7393715}, doi = {10.1109/IGCC.2015.7393715}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/ZapaterTMAC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpsr/PrisacariRMG0B15, author = {Bogdan Prisacari and Germ{\'{a}}n Rodr{\'{\i}}guez and Cyriel Minkenberg and Marina Garc{\'{\i}}a and Enrique Vallejo and Ram{\'{o}}n Beivide}, title = {Performance optimization of load imbalanced workloads in large scale Dragonfly systems}, booktitle = {16th {IEEE} International Conference on High Performance Switching and Routing, {HPSR} 2015, Budapest, Hungary, July 1-4, 2015}, pages = {202--207}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPSR.2015.7483107}, doi = {10.1109/HPSR.2015.7483107}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpsr/PrisacariRMG0B15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/MalikH15, author = {Maria Malik and Houman Homayoun}, title = {Big data on low power cores: Are low power embedded processors a good fit for the big data workloads?}, booktitle = {33rd {IEEE} International Conference on Computer Design, {ICCD} 2015, New York City, NY, USA, October 18-21, 2015}, pages = {379--382}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCD.2015.7357128}, doi = {10.1109/ICCD.2015.7357128}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/MalikH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/ClappDKVW15, author = {Russell M. Clapp and Martin Dimitrov and Karthik Kumar and Vish Viswanathan and Thomas Willhalm}, title = {Quantifying the Performance Impact of Memory Latency and Bandwidth for Big Data Workloads}, booktitle = {2015 {IEEE} International Symposium on Workload Characterization, {IISWC} 2015, Atlanta, GA, USA, October 4-6, 2015}, pages = {213--224}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IISWC.2015.32}, doi = {10.1109/IISWC.2015.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/ClappDKVW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/AhmadHHDK15, author = {Masab Ahmad and Syed Kamran Haider and Farrukh Hijaz and Marten van Dijk and Omer Khan}, editor = {Ruby B. Lee and Weidong Shi and Jakub Szefer}, title = {Exploring the performance implications of memory safety primitives in many-core processors executing multi-threaded workloads}, booktitle = {Proceedings of the Fourth Workshop on Hardware and Architectural Support for Security and Privacy, HASP@ISCA 2015, Portland, OR, USA, June 14, 2015}, pages = {6:1--6:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2768566.2768572}, doi = {10.1145/2768566.2768572}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/AhmadHHDK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itch/HudsonKB15, author = {Darren Hudson and Andre W. Kushniruk and Elizabeth M. Borycki}, editor = {Karen L. Courtney and Alex Kuo and Omid Shabestari}, title = {Using the {NASA} Task Load Index to Assess Workload in Electronic Medical Records}, booktitle = {Driving Quality in Informatics: Fulfilling the Promise, {ITCH} 2015, Victoria, BC, Canada, February 26 - March 1, 2015}, series = {Studies in Health Technology and Informatics}, volume = {208}, pages = {190--194}, publisher = {{IOS} Press}, year = {2015}, url = {https://doi.org/10.3233/978-1-61499-488-6-190}, doi = {10.3233/978-1-61499-488-6-190}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itch/HudsonKB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwocl/Martinez-Vallina15, author = {Fernando Martinez{-}Vallina and Spenser Gilliland}, editor = {Simon McIntosh{-}Smith and Ben Bergen}, title = {Performance optimization for a {SHA-1} cryptographic workload expressed in OpenCL for {FPGA} execution}, booktitle = {Proceedings of the 3rd International Workshop on OpenCL, {IWOCL} 2015, Palo Alto, California, USA, May 12-13, 2015}, pages = {7:1}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2791321.2791328}, doi = {10.1145/2791321.2791328}, timestamp = {Mon, 21 Dec 2020 16:56:10 +0100}, biburl = {https://dblp.org/rec/conf/iwocl/Martinez-Vallina15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwomp/VidalCMCFMALV15, author = {Raul Vidal and Marc Casas and Miquel Moret{\'{o}} and Dimitrios Chasapis and Roger Ferrer and Xavier Martorell and Eduard Ayguad{\'{e}} and Jes{\'{u}}s Labarta and Mateo Valero}, editor = {Christian Terboven and Bronis R. de Supinski and Pablo Reble and Barbara M. Chapman and Matthias S. M{\"{u}}ller}, title = {Evaluating the Impact of OpenMP 4.0 Extensions on Relevant Parallel Workloads}, booktitle = {OpenMP: Heterogenous Execution and Data Movements - 11th International Workshop on OpenMP, {IWOMP} 2015, Aachen, Germany, October 1-2, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9342}, pages = {60--72}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24595-9\_5}, doi = {10.1007/978-3-319-24595-9\_5}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwomp/VidalCMCFMALV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/Ali-EldinKTE15, author = {Ahmed Ali{-}Eldin and Maria Kihl and Johan Tordsson and Erik Elmroth}, editor = {Wei Tsang Ooi and Wu{-}chi Feng and Feng Liu}, title = {Analysis and characterization of a video-on-demand service workload}, booktitle = {Proceedings of the 6th {ACM} Multimedia Systems Conference, MMSys 2015, Portland, OR, USA, March 18-20, 2015}, pages = {189--200}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2713168.2713183}, doi = {10.1145/2713168.2713183}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/Ali-EldinKTE15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobiarch/DamascenoMAM15, author = {Agripino Damasceno and Raquel A. F. Mini and Jussara M. Almeida and Humberto Marques{-}Neto}, editor = {Stefano Secci and Xinbing Wang}, title = {A Base Station Workload-Aware Dynamic Pricing Scheme for Mobile Internet Access}, booktitle = {Proceedings of the 10th International Workshop on Mobility in the Evolving Internet Architecture, MobiArch 2015, Paris, France, September 7, 2015}, pages = {45--50}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2795381.2795393}, doi = {10.1145/2795381.2795393}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobiarch/DamascenoMAM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nossdav/OlsenNHG15, author = {Preben N. Olsen and Martin Nyhus and P{\aa}l Halvorsen and Carsten Griwodz}, title = {A logical memory model for scaling parallel multimedia workloads}, booktitle = {Proceedings of the 25th {ACM} Workshop on Network and Operating Systems Support for Digital Audio and Video, {NOSSDAV} 2015, Portland, OR, USA, March 20, 2015}, pages = {49--54}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2736084.2736096}, doi = {10.1145/2736084.2736096}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nossdav/OlsenNHG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/NavarroSSGR15, author = {Paula Navarro and Vicent Selfa and Julio Sahuquillo and Mar{\'{\i}}a Engracia G{\'{o}}mez and Crisp{\'{\i}}n G{\'{o}}mez Requena}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {Row Tables: Design Choices to Exploit Bank Locality in Multiprogram Workloads}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {22--26}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.100}, doi = {10.1109/PDP.2015.100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/NavarroSSGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/SelfaSRG15, author = {Vicent Selfa and Julio Sahuquillo and Crisp{\'{\i}}n G{\'{o}}mez Requena and Mar{\'{\i}}a Engracia G{\'{o}}mez}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {Methodologies and Performance Metrics to Evaluate Multiprogram Workloads}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {150--154}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.74}, doi = {10.1109/PDP.2015.74}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/SelfaSRG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/CesatiMBC15, author = {Marco Cesati and Renato Mancuso and Emiliano Betti and Marco Caccamo}, title = {A Memory Access Detection Methodology for Accurate Workload Characterization}, booktitle = {21st {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2015, Hong Kong, China, August 19-21, 2015}, pages = {141--148}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/RTCSA.2015.30}, doi = {10.1109/RTCSA.2015.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/CesatiMBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbrc/CurtoMZACM15, author = {Hayala Nepomuceno Curto and Humberto Torres Marques{-}Neto and Artur Ziviani and Jussara M. Almeida and Josemar Alves Caetano and Carlos Henrique S. Malab}, title = {Using {SMS} to Transfer Small Data Packets during Periods of High Workload on Mobile Data Networks}, booktitle = {{XXXIII} Brazilian Symposium on Computer Networks and Distributed Systems, {SBRC} 2015, Vit{\'{o}}ria, Brazil, May 18-22, 2015}, pages = {278--287}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SBRC.2015.40}, doi = {10.1109/SBRC.2015.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbrc/CurtoMZACM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/softcom/TankovicBGZ15, author = {Nikola Tankovic and Nikola Bogunovic and Tihana Galinac Grbac and Mario Zagar}, editor = {Nikola Rozic and Dinko Begusic and Matko Saric and Petar Solic}, title = {Analyzing incoming workload in Cloud business services}, booktitle = {23rd International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2015, Split, Croatia, September 16-18, 2015}, pages = {300--304}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SOFTCOM.2015.7314068}, doi = {10.1109/SOFTCOM.2015.7314068}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/softcom/TankovicBGZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/GuoK15, author = {Cong Guo and Martin Karsten}, editor = {Rajesh Bordawekar and Tirthankar Lahiri and Bugra Gedik and Christian A. Lang}, title = {Towards Adaptive Resource Allocation for Database Workloads}, booktitle = {International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures - {ADMS} 2015, Kohala Coast, Hawaii, USA, August 31, 2015}, pages = {49--60}, year = {2015}, url = {http://www.adms-conf.org/2015/adms15\_guo.pdf}, timestamp = {Wed, 11 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vldb/GuoK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/MeyerBMVTSUR15, author = {Carsten Alexander Meyer and Martin Boissier and Adrian Michaud and Jan Ole Vollmer and Ken Taylor and David Schwalb and Matthias Uflacker and Kurt Roedszus}, editor = {Rajesh Bordawekar and Tirthankar Lahiri and Bugra Gedik and Christian A. Lang}, title = {Dynamic and Transparent Data Tiering for In-Memory Databases in Mixed Workload Environments}, booktitle = {International Workshop on Accelerating Data Management Systems Using Modern Processor and Storage Architectures - {ADMS} 2015, Kohala Coast, Hawaii, USA, August 31, 2015}, pages = {37--48}, year = {2015}, url = {http://www.adms-conf.org/2015/adms15\_meyer.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vldb/MeyerBMVTSUR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/BuschNKKRA15, author = {Axel Busch and Qais Noorshams and Samuel Kounev and Anne Koziolek and Ralf H. Reussner and Erich Amrehn}, editor = {Lizy K. John and Connie U. Smith and Kai Sachs and Catalina M. Llad{\'{o}}}, title = {Automated Workload Characterization for {I/O} Performance Analysis in Virtualized Environments}, booktitle = {Proceedings of the 6th {ACM/SPEC} International Conference on Performance Engineering, Austin, TX, USA, January 31 - February 4, 2015}, pages = {265--276}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2668930.2688050}, doi = {10.1145/2668930.2688050}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wosp/BuschNKKRA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsede/AndersonGHT15, author = {Bill Anderson and Marc Genty and David L. Hart and Erich Thanhardt}, editor = {Gregory D. Peterson}, title = {Using data science to understand tape-based archive workloads}, booktitle = {Proceedings of the 2015 {XSEDE} Conference: Scientific Advancements Enabled by Enhanced Cyberinfrastructure, St. Louis, MO, USA, July 26 - 30, 2015}, pages = {31:1--31:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2792745.2792776}, doi = {10.1145/2792745.2792776}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/xsede/AndersonGHT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MerzkySTJ15, author = {Andr{\'{e}} Merzky and Mark Santcroos and Matteo Turilli and Shantenu Jha}, title = {RADICAL-Pilot: Scalable Execution of Heterogeneous and Dynamic Workloads on Supercomputers}, journal = {CoRR}, volume = {abs/1512.08194}, year = {2015}, url = {http://arxiv.org/abs/1512.08194}, eprinttype = {arXiv}, eprint = {1512.08194}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MerzkySTJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerjpre/MarquartW15, author = {Gerhard Marquart and Joost C. F. de Winter}, title = {Workload assessment for mental arithmetic tasks using the task-evoked pupillary response}, journal = {PeerJ Prepr.}, volume = {3}, pages = {e1105}, year = {2015}, url = {https://doi.org/10.7287/peerj.preprints.1105v1}, doi = {10.7287/PEERJ.PREPRINTS.1105V1}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerjpre/MarquartW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Kayyoor14, author = {Ashwin Kumar Kayyoor}, title = {Minimization of Resource Consumption through Workload Consolidation in Large-Scale Distributed Data Platforms}, school = {University of Maryland, College Park, MD, {USA}}, year = {2014}, url = {https://hdl.handle.net/1903/16201}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Kayyoor14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Stigge14, author = {Martin Stigge}, title = {Real-Time Workload Models : Expressiveness vs. Analysis Efficiency}, school = {Uppsala University, Sweden}, year = {2014}, url = {https://nbn-resolving.org/urn:nbn:se:uu:diva-219307}, urn = {urn:nbn:se:uu:diva-219307}, timestamp = {Wed, 12 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Stigge14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/GlaropoulosLFP14, author = {Ioannis Glaropoulos and Alex Vizcaino Luna and Vikt{\'{o}}ria Fodor and Maria Papadopouli}, title = {Closing the gap between traffic workload and channel occupancy models for 802.11 networks}, journal = {Ad Hoc Networks}, volume = {21}, pages = {60--83}, year = {2014}, url = {https://doi.org/10.1016/j.adhoc.2014.05.001}, doi = {10.1016/J.ADHOC.2014.05.001}, timestamp = {Fri, 06 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/adhoc/GlaropoulosLFP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/CeselliCCT14, author = {Alberto Ceselli and Fabio Colombo and Roberto Cordone and Marco Trubian}, title = {Employee workload balancing by graph partitioning}, journal = {Discret. Appl. Math.}, volume = {165}, pages = {112--129}, year = {2014}, url = {https://doi.org/10.1016/j.dam.2013.02.014}, doi = {10.1016/J.DAM.2013.02.014}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/CeselliCCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/NealHSBMM14, author = {Andrew Neal and Sam Hannah and Penelope Sanderson and Scott Bolland and Martijn Mooij and Sean Murphy}, title = {Development and Validation of a Multilevel Model for Predicting Workload Under Routine and Nonroutine Conditions in an Air Traffic Management Center}, journal = {Hum. Factors}, volume = {56}, number = {2}, pages = {287--305}, year = {2014}, url = {https://doi.org/10.1177/0018720813491283}, doi = {10.1177/0018720813491283}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/hf/NealHSBMM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbidm/0003F14, author = {Pedro Martins and Pedro Furtado}, title = {DynLW: balancing and scalability for heavy dynamic stream-DB workloads}, journal = {Int. J. Bus. Intell. Data Min.}, volume = {9}, number = {1}, pages = {15--30}, year = {2014}, url = {https://doi.org/10.1504/IJBIDM.2014.062879}, doi = {10.1504/IJBIDM.2014.062879}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijbidm/0003F14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/it/ZhangMPBK14, author = {Mingyi Zhang and Patrick Martin and Wendy Powley and Paul Bird and David Kalmuk}, title = {A framework for autonomic workload management in DBMSs}, journal = {it Inf. Technol.}, volume = {56}, number = {1}, pages = {22--32}, year = {2014}, url = {https://doi.org/10.1515/itit-2014-1016}, doi = {10.1515/ITIT-2014-1016}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/it/ZhangMPBK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/MiwaTOA14, author = {Makoto Miwa and James Thomas and Alison O'Mara{-}Eves and Sophia Ananiadou}, title = {Reducing systematic review workload through certainty-based screening}, journal = {J. Biomed. Informatics}, volume = {51}, pages = {242--253}, year = {2014}, url = {https://doi.org/10.1016/j.jbi.2014.06.005}, doi = {10.1016/J.JBI.2014.06.005}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/MiwaTOA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/PhilbrickC14, author = {Robert M. Philbrick and Mark B. Colton}, title = {Effects of Haptic and 3D Audio Feedback on Operator Performance and Workload for Quadrotor UAVs in Indoor Environments}, journal = {J. Robotics Mechatronics}, volume = {26}, number = {5}, pages = {580--591}, year = {2014}, url = {https://doi.org/10.20965/jrm.2014.p0580}, doi = {10.20965/JRM.2014.P0580}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/PhilbrickC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/MattosRFP14, author = {Carlos Ignacio Mattos and Eduardo Parente Ribeiro and Evelio Mart{\'{\i}}n Garc{\'{\i}}a Fern{\'{a}}ndez and Carlos Marcelo Pedroso}, title = {An unified VoIP model for workload generation}, journal = {Multim. Tools Appl.}, volume = {70}, number = {3}, pages = {2309--2329}, year = {2014}, url = {https://doi.org/10.1007/s11042-012-1243-5}, doi = {10.1007/S11042-012-1243-5}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/MattosRFP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/questa/PalmowskiVZ14, author = {Zbigniew Palmowski and Maria Vlasiou and Bert Zwart}, title = {A L{\'{e}}vy input fluid queue with input and workload regulation}, journal = {Queueing Syst. Theory Appl.}, volume = {76}, number = {1}, pages = {21--36}, year = {2014}, url = {https://doi.org/10.1007/s11134-013-9358-6}, doi = {10.1007/S11134-013-9358-6}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/questa/PalmowskiVZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/Gonzalez-DominguezMMT14, author = {Jorge Gonz{\'{a}}lez{-}Dom{\'{\i}}nguez and Osni A. Marques and Mar{\'{\i}}a J. Mart{\'{\i}}n and Juan Touri{\~{n}}o}, title = {A 2D algorithm with asymmetric workload for the {UPC} conjugate gradient method}, journal = {J. Supercomput.}, volume = {70}, number = {2}, pages = {816--829}, year = {2014}, url = {https://doi.org/10.1007/s11227-014-1300-0}, doi = {10.1007/S11227-014-1300-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/Gonzalez-DominguezMMT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/SteinbergerKBKDS14, author = {Markus Steinberger and Michael Kenzel and Pedro Boechat and Bernhard Kerbl and Mark Dokter and Dieter Schmalstieg}, title = {Whippletree: task-based scheduling of dynamic workloads on the {GPU}}, journal = {{ACM} Trans. Graph.}, volume = {33}, number = {6}, pages = {228:1--228:11}, year = {2014}, url = {https://doi.org/10.1145/2661229.2661250}, doi = {10.1145/2661229.2661250}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tog/SteinbergerKBKDS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adc/SchwalbDFWP14, author = {David Schwalb and Markus Dreseler and Martin Faust and Johannes Wust and Hasso Plattner}, editor = {Hua Wang and Mohamed A. Sharaf}, title = {Split Dictionaries for In-memory Column Stores in Mixed Workload Environments}, booktitle = {Databases Theory and Applications - 25th Australasian Database Conference, {ADC} 2014, Brisbane, QLD, Australia, July 14-16, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8506}, pages = {180--188}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-08608-8\_16}, doi = {10.1007/978-3-319-08608-8\_16}, timestamp = {Tue, 26 Mar 2024 13:06:25 +0100}, biburl = {https://dblp.org/rec/conf/adc/SchwalbDFWP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asmta/CerottiGKPSS14, author = {Davide Cerotti and Marco Gribaudo and Ingolf Kr{\"{u}}ger and Pietro Piazzolla and Filippo Seracini and Giuseppe Serazzi}, editor = {Bruno Sericola and Mikl{\'{o}}s Telek and G{\'{a}}bor Horv{\'{a}}th}, title = {Throughput Maximization with Multiclass Workloads and Resource Constraints}, booktitle = {Analytical and Stochastic Modelling Techniques and Applications - 21st International Conference, {ASMTA} 2014, Budapest, Hungary, June 30 - July 2, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8499}, pages = {238--252}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-08219-6\_17}, doi = {10.1007/978-3-319-08219-6\_17}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asmta/CerottiGKPSS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdata/0003AF14, author = {Pedro Martins and Maryam Abbasi and Pedro Furtado}, title = {AuDy: Automatic Dynamic Least-Weight Balancing for Stream Workloads Scalability}, booktitle = {2014 {IEEE} International Congress on Big Data, Anchorage, AK, USA, June 27 - July 2, 2014}, pages = {176--183}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BigData.Congress.2014.33}, doi = {10.1109/BIGDATA.CONGRESS.2014.33}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/bigdata/0003AF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/CoppaDFM14, author = {Emilio Coppa and Camil Demetrescu and Irene Finocchi and Romolo Marotta}, editor = {David R. Kaeli and Tipp Moseley}, title = {Estimating the Empirical Cost Function of Routines with Dynamic Workloads}, booktitle = {12th Annual {IEEE/ACM} International Symposium on Code Generation and Optimization, {CGO} 2014, Orlando, FL, USA, February 15-19, 2014}, pages = {230}, publisher = {{ACM}}, year = {2014}, url = {https://dl.acm.org/citation.cfm?id=2544143}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/CoppaDFM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/PikeMPSW14, author = {Matthew F. Pike and Horia A. Maior and Martin Porcheron and Sarah C. Sharples and Max L. Wilson}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Measuring the effect of think aloud protocols on workload using fNIRS}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {3807--3816}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2556974}, doi = {10.1145/2556288.2556974}, timestamp = {Tue, 01 Jun 2021 15:21:43 +0200}, biburl = {https://dblp.org/rec/conf/chi/PikeMPSW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/SoloveyZPRM14, author = {Erin Treacy Solovey and Marin Zec and Enrique Abdon Garcia Perez and Bryan Reimer and Bruce Mehler}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Classifying driver workload using physiological and driving performance data: two field studies}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {4057--4066}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2557068}, doi = {10.1145/2556288.2557068}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/SoloveyZPRM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloud/SfakianakisMPPF14, author = {Yannis Sfakianakis and Stelios Mavridis and Anastasios Papagiannis and Spyridon Papageorgiou and Markos Fountoulakis and Manolis Marazakis and Angelos Bilas}, editor = {Ed Lazowska and Doug Terry and Remzi H. Arpaci{-}Dusseau and Johannes Gehrke}, title = {Vanguard: Increasing Server Efficiency via Workload Isolation in the Storage {I/O} Path}, booktitle = {Proceedings of the {ACM} Symposium on Cloud Computing, Seattle, WA, USA, November 3-5, 2014}, pages = {19:1--19:13}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2670979.2670998}, doi = {10.1145/2670979.2670998}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cloud/SfakianakisMPPF14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cnsm/BaruchiMN14, author = {Artur Baruchi and Edson Toshimi Midorikawa and Marco Aur{\'{e}}lio Stelmar Netto}, editor = {Danny Raz and Michele Nogueira and Edmundo Roberto Mauro Madeira and Brendan Jennings and Lisandro Zambenedetti Granville and Luciano Paschoal Gaspary}, title = {Improving Virtual Machine live migration via application-level workload analysis}, booktitle = {10th International Conference on Network and Service Management, {CNSM} 2014 and Workshop, Rio de Janeiro, Brazil, November 17-21, 2014}, pages = {163--168}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CNSM.2014.7014153}, doi = {10.1109/CNSM.2014.7014153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cnsm/BaruchiMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compute/IndarapuMK14, author = {Siva Rama Krishna Bharadwaj Indarapu and Manoj Kumar Maramreddy and Kishore Kothapalli}, editor = {Pushpak Bhattacharyya and P. J. Narayanan and Srinivas Padmanabhuni}, title = {Architecture- and workload- aware heterogeneous algorithms for sparse matrix vector multiplication}, booktitle = {Proceedings of the 7th {ACM} India Computing Conference, {COMPUTE} 2014, Nagpur, India, October 9-11, 2014}, pages = {3:1--3:9}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2675744.2675749}, doi = {10.1145/2675744.2675749}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compute/IndarapuMK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/WustGHSP14, author = {Johannes Wust and Martin Grund and Kai Hoewelmeyer and David Schwalb and Hasso Plattner}, editor = {Sourav S. Bhowmick and Curtis E. Dyreson and Christian S. Jensen and Mong{-}Li Lee and Agus Muliantara and Bernhard Thalheim}, title = {Concurrent Execution of Mixed Enterprise Workloads on In-Memory Databases}, booktitle = {Database Systems for Advanced Applications - 19th International Conference, {DASFAA} 2014, Bali, Indonesia, April 21-24, 2014. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8421}, pages = {126--140}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-05810-8\_9}, doi = {10.1007/978-3-319-05810-8\_9}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/WustGHSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecms/CerottiGIP14, author = {Davide Cerotti and Marco Gribaudo and Mauro Iacono and Pietro Piazzolla}, editor = {Flaminio Squazzoni and Fabio Baronio and Claudia Archetti and Marco Castellani}, title = {Workload Characterization Of Multithreaded Applications On Multicore Architectures}, booktitle = {28th European Conference on Modelling and Simulation, {ECMS} 2014, Brescia, Italy, May 27-30, 2014}, pages = {480--486}, publisher = {European Council for Modeling and Simulation}, year = {2014}, url = {https://doi.org/10.7148/2014-0480}, doi = {10.7148/2014-0480}, timestamp = {Thu, 21 Sep 2023 08:59:29 +0200}, biburl = {https://dblp.org/rec/conf/ecms/CerottiGIP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ZhangZJG14, author = {Haihong Zhang and Yongwei Zhu and Maniyeri Jayachandran and Cuntai Guan}, title = {Detection of variations in cognitive workload using multi-modality physiological sensors and a large margin unbiased regression machine}, booktitle = {36th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2014, Chicago, IL, USA, August 26-30, 2014}, pages = {2985--2988}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EMBC.2014.6944250}, doi = {10.1109/EMBC.2014.6944250}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ZhangZJG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/BandariSA14, author = {Maryam Bandari and Robert Simon and Hakan Aydin}, title = {Energy management of embedded wireless systems through voltage and modulation scaling under probabilistic workloads}, booktitle = {International Green Computing Conference, {IGCC} 2014, Dallas, TX, USA, November 3-5, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IGCC.2014.7039168}, doi = {10.1109/IGCC.2014.7039168}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/green/BandariSA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HarbersN14, author = {Maaike Harbers and Mark A. Neerincx}, editor = {Don Harris}, title = {Value Sensitive Design of Automated Workload Distribution Support for Traffic Control Teams}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 11th International Conference, {EPCE} 2014, Held as Part of {HCI} International 2014, Heraklion, Crete, Greece, June 22-27, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8532}, pages = {12--21}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07515-0\_2}, doi = {10.1007/978-3-319-07515-0\_2}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/HarbersN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ParlangeliCG14, author = {Oronzo Parlangeli and Maria Cristina Caratozzolo and Stefano Guidi}, editor = {Don Harris}, title = {Multitasking and Mentalizing Machines: How the Workload Can Have Influence on the System Comprehension}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 11th International Conference, {EPCE} 2014, Held as Part of {HCI} International 2014, Heraklion, Crete, Greece, June 22-27, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8532}, pages = {50--58}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07515-0\_6}, doi = {10.1007/978-3-319-07515-0\_6}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ParlangeliCG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdse/MuralidharanKB14, author = {K. B. Muralidharan and G. Santhosh Kumar and M. Bhasi}, title = {Fault tolerant state management for high-volume low-latency data stream workloads}, booktitle = {International Conference on Data Science {\&} Engineering, {ICDSE} 2014, Kochi, India, August 26-28, 2014}, pages = {24--27}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICDSE.2014.6974606}, doi = {10.1109/ICDSE.2014.6974606}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdse/MuralidharanKB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/KarakostasUNCS14, author = {Vasileios Karakostas and Osman S. Unsal and Mario Nemirovsky and Adri{\'{a}}n Cristal and Michael M. Swift}, title = {Performance analysis of the memory management unit under scale-out workloads}, booktitle = {2014 {IEEE} International Symposium on Workload Characterization, {IISWC} 2014, Raleigh, NC, USA, October 26-28, 2014}, pages = {1--12}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IISWC.2014.6983034}, doi = {10.1109/IISWC.2014.6983034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/KarakostasUNCS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/HabibMA14, author = {Sami J. Habib and Paulvanna Nayaki Marimuthu and Naser Al{-}Ibrahim}, editor = {Maria Indrawan{-}Santiago and Matthias Steinbauer and Hong{-}Quang Nguyen and A Min Tjoa and Ismail Khalil and Gabriele Anderst{-}Kotsis}, title = {Enterprise Workload Management through Ant Colony Optimization}, booktitle = {Proceedings of the 16th International Conference on Information Integration and Web-based Applications {\&} Services, Hanoi, Vietnam, December 4-6, 2014}, pages = {330--335}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2684200.2684319}, doi = {10.1145/2684200.2684319}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiwas/HabibMA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipccc/CurtoZCMAN14, author = {Hayala N. Curto and Artur Ziviani and Josemar Alves Caetano and Carlos Henrique S. Malab and Jussara M. Almeida and Humberto T. Marques Neto}, title = {Characterizing the workload dynamics of a mobile phone network during the {FIFA} 2014 World Cup}, booktitle = {{IEEE} 33rd International Performance Computing and Communications Conference, {IPCCC} 2014, Austin, TX, USA, December 5-7, 2014}, pages = {1--2}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PCCC.2014.7017034}, doi = {10.1109/PCCC.2014.7017034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipccc/CurtoZCMAN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/RosaBCGS14, author = {Andrea Ros{\`{a}} and Walter Binder and Lydia Y. Chen and Marco Gribaudo and Giuseppe Serazzi}, title = {ParSim: {A} Tool for Workload Modeling and Reproduction of Parallel Applications}, booktitle = {{IEEE} 22nd International Symposium on Modelling, Analysis {\&} Simulation of Computer and Telecommunication Systems, {MASCOTS} 2014, Paris, France, September 9-11, 2014}, pages = {494--497}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MASCOTS.2014.71}, doi = {10.1109/MASCOTS.2014.71}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mascots/RosaBCGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/Christodoulopoulos14, author = {Kostas Christodoulopoulos and Kostas Katrinis and Marco Ruffini and Donal O'Mahony}, title = {Accelerating {HPC} workloads with dynamic adaptation of a software-defined hybrid electronic/optical interconnect}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.Th2A.11}, doi = {10.1364/OFC.2014.TH2A.11}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/Christodoulopoulos14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbrc/GoncalvesDSAV14, author = {Glauber D. Gon{\c{c}}alves and Idilio Drago and Ana Paula Couto da Silva and Jussara M. Almeida and Alex Borges Vieira}, title = {Characterizing and Modeling the Dropbox Workload}, booktitle = {2014 Brazilian Symposium on Computer Networks and Distributed Systems, {SBRC} 2014, Florianopolis, Brazil, May 5-9, 2014}, pages = {266--274}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SBRC.2014.32}, doi = {10.1109/SBRC.2014.32}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbrc/GoncalvesDSAV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/CaoGHLTYD14, author = {Chongxiao Cao and Mark Gates and Azzam Haidar and Piotr Luszczek and Stanimire Tomov and Ichitaro Yamazaki and Jack J. Dongarra}, editor = {Vassil Alexandrov and Al Geist and Christian Engelmann}, title = {Performance and portability with OpenCL for throughput-oriented {HPC} workloads across accelerators, coprocessors, and multicore processors}, booktitle = {Proceedings of the 5th Workshop on Latest Advances in Scalable Algorithms for Large-Scale Systems, ScalA '14, New Orleans, Louisiana, USA, November 16-21, 2014}, pages = {61--68}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ScalA.2014.8}, doi = {10.1109/SCALA.2014.8}, timestamp = {Wed, 12 Jul 2023 15:16:18 +0200}, biburl = {https://dblp.org/rec/conf/sc/CaoGHLTYD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/LaurentVC14, author = {Nicolas Laurent and Stefano Vissicchio and Marco Canini}, editor = {Aditya Akella and Albert G. Greenberg}, title = {SDLoad: an extensible framework for {SDN} workload generation}, booktitle = {Proceedings of the third workshop on Hot topics in software defined networking, HotSDN '14, Chicago, Illinois, USA, August 22, 2014}, pages = {215--216}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2620728.2620771}, doi = {10.1145/2620728.2620771}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/LaurentVC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TanakaMH14, author = {Masahiro Tanaka and Koji Murai and Yuji Hayashi}, title = {Marine pilot trainee's mental workload for simulator based training using {R-R} interval}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {1522--1526}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SMC.2014.6974132}, doi = {10.1109/SMC.2014.6974132}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/TanakaMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/softcom/TarnebergK14, author = {William T{\"{a}}rneberg and Maria Kihl}, editor = {Nikola Rozic and Dinko Begusic}, title = {Workload displacement and mobility in an omnipresent cloud topology}, booktitle = {22nd International Conference on Software, Telecommunications and Computer Networks, SoftCOM 2014, Split, Croatia, September 17-19, 2014}, pages = {217--222}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SOFTCOM.2014.7039138}, doi = {10.1109/SOFTCOM.2014.7039138}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/softcom/TarnebergK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/springsim/BurkimsherBI14, author = {Andrew Burkimsher and Iain Bate and Leandro Soares Indrusiak}, title = {A characterisation of the workload on an engineering design grid}, booktitle = {2014 Spring Simulation Multiconference, SpringSim '14, Tampa, FL, USA, April 13-16, 2014, Proceedings of the High Performance Computing Symposium}, pages = {8}, publisher = {{ACM}}, year = {2014}, url = {http://dl.acm.org/citation.cfm?id=2663518}, timestamp = {Tue, 20 Jan 2015 17:44:55 +0100}, biburl = {https://dblp.org/rec/conf/springsim/BurkimsherBI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/SchwalbFWGP14, author = {David Schwalb and Martin Faust and Johannes Wust and Martin Grund and Hasso Plattner}, editor = {Justin J. Levandoski and Andrew Pavlo}, title = {Efficient Transaction Processing for Hyrise in Mixed Workload Environments}, booktitle = {Proceedings of the 2nd International Workshop on In Memory Data Management and Analytics, {IMDM} 2014, Hangzhou, China, September 1, 2014}, pages = {16--29}, year = {2014}, url = {http://www-db.in.tum.de/hosted/imdm2014/papers/schwalb.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vldb/SchwalbFWGP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/SchwalbFWGP14a, author = {David Schwalb and Martin Faust and Johannes Wust and Martin Grund and Hasso Plattner}, editor = {Arun Jagatheesan and Justin J. Levandoski and Thomas Neumann and Andrew Pavlo}, title = {Efficient Transaction Processing for Hyrise in Mixed Workload Environments}, booktitle = {In Memory Data Management and Analysis - First and Second International Workshops, {IMDM} 2013, Riva del Garda, Italy, August 26, 2013, {IMDM} 2014, Hongzhou, China, September 1, 2014, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8921}, pages = {112--125}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13960-9\_9}, doi = {10.1007/978-3-319-13960-9\_9}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/vldb/SchwalbFWGP14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Guazzone14, author = {Marco Guazzone}, title = {Mining the Workload of Real Grid Computing Systems}, journal = {CoRR}, volume = {abs/1412.2673}, year = {2014}, url = {http://arxiv.org/abs/1412.2673}, eprinttype = {arXiv}, eprint = {1412.2673}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Guazzone14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Altowaijri13, author = {Saleh M. Altowaijri}, title = {Grid and cloud computing: technologies, applications, market sectors, and workloads}, school = {Swansea University, {UK}}, year = {2013}, url = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.678445}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Altowaijri13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/BurkimsherBI13, author = {Andrew Burkimsher and Iain Bate and Leandro Soares Indrusiak}, title = {A survey of scheduling metrics and an improved ordering policy for list schedulers operating on workloads with dependencies and a wide variation in execution times}, journal = {Future Gener. Comput. Syst.}, volume = {29}, number = {8}, pages = {2009--2025}, year = {2013}, url = {https://doi.org/10.1016/j.future.2012.12.005}, doi = {10.1016/J.FUTURE.2012.12.005}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/BurkimsherBI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/MianMV13, author = {Rizwan Mian and Patrick Martin and Jos{\'{e}} Luis V{\'{a}}zquez{-}Poletti}, title = {Provisioning data analytic workloads in a cloud}, journal = {Future Gener. Comput. Syst.}, volume = {29}, number = {6}, pages = {1452--1458}, year = {2013}, url = {https://doi.org/10.1016/j.future.2012.01.008}, doi = {10.1016/J.FUTURE.2012.01.008}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/MianMV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpp/ViannaCPAAWKD13, author = {Emanuel Vianna and Giovanni Comarela and Tatiana Pontes and Jussara M. Almeida and Virg{\'{\i}}lio A. F. Almeida and Kevin Wilkinson and Harumi A. Kuno and Umeshwar Dayal}, title = {Analytical Performance Models for MapReduce Workloads}, journal = {Int. J. Parallel Program.}, volume = {41}, number = {4}, pages = {495--525}, year = {2013}, url = {https://doi.org/10.1007/s10766-012-0227-4}, doi = {10.1007/S10766-012-0227-4}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpp/ViannaCPAAWKD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ChouliarasKPMM13, author = {Vassilios A. Chouliaras and Konstantia Koutsomyti and Simon Parr and David J. Mulvaney and Mark Milward}, title = {Architecture, performance modeling and {VLSI} implementation methodologies for {ASIC} vector processors: {A} case study in telephony workloads}, journal = {Microprocess. Microsystems}, volume = {37}, number = {8-D}, pages = {1122--1143}, year = {2013}, url = {https://doi.org/10.1016/j.micpro.2013.10.001}, doi = {10.1016/J.MICPRO.2013.10.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/ChouliarasKPMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/CinazAMT13, author = {Burcu Cinaz and Bert Arnrich and Roberto La Marca and Gerhard Tr{\"{o}}ster}, title = {Monitoring of mental workload levels during an everyday life office-work scenario}, journal = {Pers. Ubiquitous Comput.}, volume = {17}, number = {2}, pages = {229--239}, year = {2013}, url = {https://doi.org/10.1007/s00779-011-0466-1}, doi = {10.1007/S00779-011-0466-1}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/CinazAMT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/questa/KaynarM13, author = {Bahar Kaynar and Michel Mandjes}, title = {Estimation of the workload correlation in a Markov fluid queue}, journal = {Queueing Syst. Theory Appl.}, volume = {73}, number = {4}, pages = {407--424}, year = {2013}, url = {https://doi.org/10.1007/s11134-013-9352-z}, doi = {10.1007/S11134-013-9352-Z}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/questa/KaynarM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmetrics/VatamidouAVZ13, author = {Eleni Vatamidou and Ivo J. B. F. Adan and Maria Vlasiou and Bert Zwart}, title = {Corrected phase-type approximations for the workload of the {MAP/G/1} queue with heavy-tailed service times}, journal = {{SIGMETRICS} Perform. Evaluation Rev.}, volume = {41}, number = {2}, pages = {53--55}, year = {2013}, url = {https://doi.org/10.1145/2518025.2518036}, doi = {10.1145/2518025.2518036}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmetrics/VatamidouAVZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/DeyWDS13, author = {Tanima Dey and Wei Wang and Jack W. Davidson and Mary Lou Soffa}, title = {ReSense: Mapping dynamic workloads of colocated multithreaded applications using resource sensitivity}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {10}, number = {4}, pages = {41:1--41:25}, year = {2013}, url = {https://doi.org/10.1145/2541228.2555298}, doi = {10.1145/2541228.2555298}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taco/DeyWDS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BruneoDLPS13, author = {Dario Bruneo and Salvatore Distefano and Francesco Longo and Antonio Puliafito and Marco Scarpa}, title = {Workload-Based Software Rejuvenation in Cloud Systems}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {6}, pages = {1072--1085}, year = {2013}, url = {https://doi.org/10.1109/TC.2013.30}, doi = {10.1109/TC.2013.30}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/BruneoDLPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/BessonBBDMMMGBV13, author = {Patricia Besson and Christophe Bourdin and Lionel Bringoux and Erick Dousset and Christophe Ma{\"{\i}}ano and Tanguy Marqueste and Daniel Mestre and Sophie Gaetan and Jean{-}Pierre Baudry and Jean{-}Louis Vercher}, title = {Effectiveness of Physiological and Psychological Features to Estimate Helicopter Pilots' Workload: {A} Bayesian Network Approach}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {14}, number = {4}, pages = {1872--1881}, year = {2013}, url = {https://doi.org/10.1109/TITS.2013.2269679}, doi = {10.1109/TITS.2013.2269679}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/BessonBBDMMMGBV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acii/WalterSRGB13, author = {Carina Walter and Stephanie Schmidt and Wolfgang Rosenstiel and Peter Gerjets and Martin Bogdan}, title = {Using Cross-Task Classification for Classifying Workload Levels in Complex Learning Tasks}, booktitle = {2013 Humaine Association Conference on Affective Computing and Intelligent Interaction, {ACII} 2013, Geneva, Switzerland, September 2-5, 2013}, pages = {876--881}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ACII.2013.164}, doi = {10.1109/ACII.2013.164}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acii/WalterSRGB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/MarthaZX13, author = {Venkata Swamy Martha and Weizhong Zhao and Xiaowei Xu}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu}, title = {h-MapReduce: {A} Framework for Workload Balancing in MapReduce}, booktitle = {27th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2013, Barcelona, Spain, March 25-28, 2013}, pages = {637--644}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/AINA.2013.48}, doi = {10.1109/AINA.2013.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/MarthaZX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asmta/ChisH13, author = {Tiberiu S. Chis and Peter G. Harrison}, editor = {Alexander N. Dudin and Koen De Turck}, title = {iSWoM: The Incremental Storage Workload Model Based on Hidden Markov Models}, booktitle = {Analytical and Stochastic Modelling Techniques and Applications - 20th International Conference, {ASMTA} 2013, Ghent, Belgium, July 8-10, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7984}, pages = {127--141}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39408-9\_10}, doi = {10.1007/978-3-642-39408-9\_10}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asmta/ChisH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/DimitrovKLVW13, author = {Martin Dimitrov and Karthik Kumar and Patrick Lu and Vish Viswanathan and Thomas Willhalm}, editor = {Xiaohua Hu and Tsau Young Lin and Vijay V. Raghavan and Benjamin W. Wah and Ricardo Baeza{-}Yates and Geoffrey C. Fox and Cyrus Shahabi and Matthew Smith and Qiang Yang and Rayid Ghani and Wei Fan and Ronny Lempel and Raghunath Nambiar}, title = {Memory system characterization of big data workloads}, booktitle = {2013 {IEEE} International Conference on Big Data {(IEEE} BigData 2013), 6-9 October 2013, Santa Clara, CA, {USA}}, pages = {15--22}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BigData.2013.6691693}, doi = {10.1109/BIGDATA.2013.6691693}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/DimitrovKLVW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/PutzeMHS13, author = {Felix Putze and Markus M{\"{u}}ller and Dominic Heger and Tanja Schultz}, editor = {Sergio Alvarez and Jordi Sol{\'{e}}{-}Casals and Ana L. N. Fred and Hugo Gamboa}, title = {Session-independent EEG-based Workload Recognition}, booktitle = {{BIOSIGNALS} 2013 - Proceedings of the International Conference on Bio-inspired Systems and Signal Processing, Barcelona, Spain, 11-14 February, 2013}, pages = {360--363}, publisher = {SciTePress}, year = {2013}, timestamp = {Thu, 18 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biostec/PutzeMHS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/BorriBBG13, author = {Alessandro Borri and Domenico Bianchi and Maria Domenica Di Benedetto and Stefano Di Gennaro}, title = {Vehicle attitude control with saturating actuators: Workload balancing and reference adaptation}, booktitle = {Proceedings of the 52nd {IEEE} Conference on Decision and Control, {CDC} 2013, Florence, Italy, December 10-13, 2013}, pages = {1558--1563}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CDC.2013.6760104}, doi = {10.1109/CDC.2013.6760104}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/BorriBBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcoss/SilvaMAF13, author = {Thiago Henrique Silva and Pedro O. S. Vaz de Melo and Jussara M. Almeida and Juliana F. S. Salles and Antonio A. F. Loureiro}, title = {A Picture of Instagram is Worth More Than a Thousand Words: Workload Characterization and Application}, booktitle = {{IEEE} International Conference on Distributed Computing in Sensor Systems, {DCOSS} 2013, Cambridge, MA, USA, May 20-23, 2013}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DCOSS.2013.59}, doi = {10.1109/DCOSS.2013.59}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dcoss/SilvaMAF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egve/Markov-VetterZLS13, author = {Daniela Markov{-}Vetter and Vanja Zander and Joachim Latsch and Oliver G. Staadt}, editor = {Betty J. Mohler and Bruno Raffin and Hideo Saito and Oliver G. Staadt}, title = {The Impact of Altered Gravitation on Performance and Workload of Augmented Reality Hand-Eye-Coordination: Inside vs. Outside of Human Body Frame of Reference}, booktitle = {Joint Virtual Reality Conference of {EGVE} - EuroVR, Paris, France, 2013. Proceedings}, pages = {65--72}, publisher = {Eurographics Association}, year = {2013}, url = {https://doi.org/10.2312/EGVE.JVRC13.065-072}, doi = {10.2312/EGVE.JVRC13.065-072}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/egve/Markov-VetterZLS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/LugonesCKROC13, author = {Diego Lugones and Konstantinos Christodoulopoulos and Kostas Katrinis and Marco Ruffini and Donal O'Mahony and Martin Collier}, editor = {Felix Wolf and Bernd Mohr and Dieter an Mey}, title = {Accelerating Communication-Intensive Parallel Workloads Using Commodity Optical Switches and a Software-Configurable Control Stack}, booktitle = {Euro-Par 2013 Parallel Processing - 19th International Conference, Aachen, Germany, August 26-30, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8097}, pages = {713--724}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40047-6\_71}, doi = {10.1007/978-3-642-40047-6\_71}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/LugonesCKROC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/feedback/LunaAH13, author = {Jos{\'{e}}{-}Marcio Luna and Chaouki T. Abdallah and Gregory L. Heileman}, editor = {Yixin Diao and Jie Liu and Ming Zhao}, title = {On the Stability of a Market-Oriented Cloud Computing Model with Time-Varying Workloads}, booktitle = {8th International Workshop on Feedback Computing, San Jose, CA, USA, June 25, 2013}, publisher = {{USENIX} Association}, year = {2013}, url = {https://www.usenix.org/conference/feedbackcomputing13/workshop-program/presentation/luna}, timestamp = {Wed, 04 Jul 2018 13:06:35 +0200}, biburl = {https://dblp.org/rec/conf/feedback/LunaAH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gi/WustGP13, author = {Johannes Wust and Martin Grund and Hasso Plattner}, editor = {Matthias Horbach}, title = {{TAMEX:} a Task-Based Query Execution Framework for Mixed Enterprise Workloads on In-Memory Databases}, booktitle = {43. Jahrestagung der Gesellschaft f{\"{u}}r Informatik, Informatik angepasst an Mensch, Organisation und Umwelt, {INFORMATIK} 2013, Koblenz, Germany, September 16-20, 2013}, series = {{LNI}}, volume = {{P-220}}, pages = {487--501}, publisher = {{GI}}, year = {2013}, url = {https://dl.gi.de/handle/20.500.12116/20773}, timestamp = {Tue, 04 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gi/WustGP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmi/CalandraCCOR13, author = {Davide Maria Calandra and Antonio Caso and Francesco Cutugno and Antonio Origlia and Silvia Rossi}, editor = {Julien Epps and Fang Chen and Sharon L. Oviatt and Kenji Mase and Andrew Sears and Kristiina Jokinen and Bj{\"{o}}rn W. Schuller}, title = {CoWME: a general framework to evaluate cognitive workload during multimodal interaction}, booktitle = {2013 International Conference on Multimodal Interaction, {ICMI} '13, Sydney, NSW, Australia, December 9-13, 2013}, pages = {111--118}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2522848.2522867}, doi = {10.1145/2522848.2522867}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmi/CalandraCCOR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifachms/CoupatMBRPA13, author = {Rapha{\"{e}}l Coupat and Marc Meslay and Marc{-}Axel Burette and Bernard Riera and Alexandre Philippot and David Annebicque}, editor = {Sundaram Narayanan}, title = {The Standardized Generation and the Robust Filtering of the Command As Tools of Optimization of the Mental Workload of the Systems Engineer}, booktitle = {12th {IFAC/IFIP/IFORS/IEA} Symposium on Analysis, Design, and Evaluation of Human-Machine Systems, {IFAC} {HMS} 2013, Las Vegas, Nevada, USA, August 11-15, 2013}, pages = {254--259}, publisher = {International Federation of Automatic Control}, year = {2013}, url = {https://doi.org/10.3182/20130811-5-US-2037.00010}, doi = {10.3182/20130811-5-US-2037.00010}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifachms/CoupatMBRPA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/NilakantanH13, author = {Siddharth Nilakantan and Mark Hempstead}, title = {Platform-independent analysis of function-level communication in workloads}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {196--206}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704685}, doi = {10.1109/IISWC.2013.6704685}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/NilakantanH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/XuHR13, author = {Zhihong Xu and Martin Hirzel and Gregg Rothermel}, title = {Semantic characterization of MapReduce workloads}, booktitle = {Proceedings of the {IEEE} International Symposium on Workload Characterization, {IISWC} 2013, Portland, OR, USA, September 22-24, 2013}, pages = {87--97}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IISWC.2013.6704673}, doi = {10.1109/IISWC.2013.6704673}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/XuHR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/im/NevesRASCMS13, author = {M{\'{a}}rcio Neves and Mois{\'{e}}s Rodrigues and Ernani Azevedo and Djamel Sadok and Arthur C. Callado and Josilene Moreira and Victor Souza}, editor = {Filip De Turck and Yixin Diao and Choong Seon Hong and Deep Medhi and Ramin Sadre}, title = {Selecting the most suited cache strategy for specific streaming media workloads}, booktitle = {2013 {IFIP/IEEE} International Symposium on Integrated Network Management {(IM} 2013), Ghent, Belgium, May 27-31, 2013}, pages = {792--795}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6573080/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/im/NevesRASCMS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcpc/ProkopecO13, author = {Aleksandar Prokopec and Martin Odersky}, editor = {Calin Cascaval and Pablo Montesinos}, title = {Near Optimal Work-Stealing Tree Scheduler for Highly Irregular Data-Parallel Workloads}, booktitle = {Languages and Compilers for Parallel Computing - 26th International Workshop, {LCPC} 2013, San Jose, CA, USA, September 25-27, 2013. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8664}, pages = {55--86}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-09967-5\_4}, doi = {10.1007/978-3-319-09967-5\_4}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/lcpc/ProkopecO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/RegalBDHLT13, author = {Georg Regal and Marc Busch and Stephanie Deutsch and Christina Hochleitner and Martin Lugmayr and Manfred Tscheligi}, editor = {Michael Rohs and Albrecht Schmidt and Daniel Ashbrook and Enrico Rukzio}, title = {Money on the move workload, usability and technology acceptance of second-screen atm-interactions}, booktitle = {15th International Conference on Human-Computer Interaction with Mobile Devices and Services, MobileHCI '13, Munich, Germany, August 27 - 30, 2013}, pages = {281--284}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2493190.2493211}, doi = {10.1145/2493190.2493211}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/RegalBDHLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/HausmansGWB13, author = {Joost P. H. M. Hausmans and Stefan J. Geuns and Maarten Wiggers and Marco Jan Gerrit Bekooij}, title = {Two parameter workload characterization for improved dataflow analysis accuracy}, booktitle = {19th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2013, Philadelphia, PA, USA, April 9-11, 2013}, pages = {117--126}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RTAS.2013.6531085}, doi = {10.1109/RTAS.2013.6531085}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/HausmansGWB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/NigroD13, author = {Mario Rinc{\'{o}}n Nigro and Zhigang Deng}, title = {Cost-based workload balancing for ray tracing on multi-GPU systems}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '13, Anaheim, CA, USA, July 21-25, 2013, Poster Proceedings}, pages = {41}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2503385.2503431}, doi = {10.1145/2503385.2503431}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/NigroD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tabletop/AslanMFFT13, author = {Ilhan Aslan and Martin Murer and Verena Fuchsberger and Andrew J. B. Fugard and Manfred Tscheligi}, editor = {Aaron J. Quigley and Giulio Jacucci and Michael S. Horn and Miguel A. Nacenta}, title = {Workload on your fingertips: the influence of workload on touch-based drag and drop}, booktitle = {The {ACM} International Conference on Interactive Tabletops and Surfaces, {ITS} '13, St Andrews, United Kingdom - October 06 - 09, 2013}, pages = {417--420}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2512349.2514918}, doi = {10.1145/2512349.2514918}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/tabletop/AslanMFFT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/MianMZV13, author = {Rizwan Mian and Patrick Martin and Farhana H. Zulkernine and Jos{\'{e}} Luis V{\'{a}}zquez{-}Poletti}, editor = {Seetharami Seelam and Petr Tuma and Giuliano Casale and Tony Field and Jos{\'{e}} Nelson Amaral}, title = {Towards building performance models for data-intensive workloads in public clouds}, booktitle = {{ACM/SPEC} International Conference on Performance Engineering, ICPE'13, Prague, Czech Republic - April 21 - 24, 2013}, pages = {259--270}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2479871.2479908}, doi = {10.1145/2479871.2479908}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wosp/MianMZV13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/PatonAF12, author = {Norman W. Paton and Marcelo A. T. Arag{\~{a}}o and Alvaro A. A. Fernandes}, title = {Utility-driven adaptive query workload execution}, journal = {Future Gener. Comput. Syst.}, volume = {28}, number = {7}, pages = {1070--1079}, year = {2012}, url = {https://doi.org/10.1016/j.future.2011.08.014}, doi = {10.1016/J.FUTURE.2011.08.014}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/PatonAF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/MoreiraA12, author = {Maria do Ros{\'{a}}rio Alves Moreira and Rui Alberto F. S. Alves}, title = {Input-output control order release mechanism in a job-shop: how workload control improves manufacturing operations}, journal = {Int. J. Comput. Sci. Eng.}, volume = {7}, number = {3}, pages = {214--223}, year = {2012}, url = {https://doi.org/10.1504/IJCSE.2012.048239}, doi = {10.1504/IJCSE.2012.048239}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/MoreiraA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijicthd/MulderH12, author = {Bert Mulder and Martijn Hartog}, title = {Determine the Workload of the Structural Implementation of E-Democracy: Local Government Policy Issues, Policy Cycle and Styles of Citizenship Combined}, journal = {Int. J. Inf. Commun. Technol. Hum. Dev.}, volume = {4}, number = {1}, pages = {52--61}, year = {2012}, url = {https://doi.org/10.4018/jicthd.2012010104}, doi = {10.4018/JICTHD.2012010104}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijicthd/MulderH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iks/YeAYH12, author = {Nong Ye and Billibaldo Martinez Aranda and Stephen S. Yau and Dazhi Huang}, title = {Analysis and modeling of service impacts on system activities, resource workloads and service performance on computer and network systems}, journal = {Inf. Knowl. Syst. Manag.}, volume = {11}, number = {3-4}, pages = {255--274}, year = {2012}, url = {https://doi.org/10.3233/IKS-2012-0210}, doi = {10.3233/IKS-2012-0210}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iks/YeAYH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jeric/BendaBG12, author = {Klara Benda and Amy S. Bruckman and Mark Guzdial}, title = {When Life and Learning Do Not Fit: Challenges of Workload and Communication in Introductory Computer Science Online}, journal = {{ACM} Trans. Comput. Educ.}, volume = {12}, number = {4}, pages = {15:1--15:38}, year = {2012}, url = {https://doi.org/10.1145/2382564.2382567}, doi = {10.1145/2382564.2382567}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jeric/BendaBG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/HarrisonHPZ12, author = {Peter G. Harrison and S. K. Harrison and Naresh M. Patel and Soraya Zertal}, title = {Storage workload modelling by hidden Markov models: Application to Flash memory}, journal = {Perform. Evaluation}, volume = {69}, number = {1}, pages = {17--40}, year = {2012}, url = {https://doi.org/10.1016/j.peva.2011.07.022}, doi = {10.1016/J.PEVA.2011.07.022}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/HarrisonHPZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/HashemianKA12, author = {Raoufehsadat Hashemian and Diwakar Krishnamurthy and Martin F. Arlitt}, title = {Web workload generation challenges - an empirical investigation}, journal = {Softw. Pract. Exp.}, volume = {42}, number = {5}, pages = {629--647}, year = {2012}, url = {https://doi.org/10.1002/spe.1093}, doi = {10.1002/SPE.1093}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/HashemianKA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tos/AdamsSM12, author = {Ian F. Adams and Mark W. Storer and Ethan L. Miller}, title = {Analysis of Workload Behavior in Scientific and Historical Long-Term Data Repositories}, journal = {{ACM} Trans. Storage}, volume = {8}, number = {2}, pages = {6:1--6:27}, year = {2012}, url = {https://doi.org/10.1145/2180905.2180907}, doi = {10.1145/2180905.2180907}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tos/AdamsSM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/automotiveUI/MehlerRZ12, author = {Bruce Mehler and Bryan Reimer and Marin Zec}, editor = {Andrew L. Kun}, title = {Defining workload in the context of driver state detection and {HMI} evaluation}, booktitle = {International Conference on Automotive User Interfaces and Interactive Vehicular Applications, AutomotiveUI '12, Portsmouth, NH, {USA} - October 17 - 19, 2012}, pages = {187--191}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2390256.2390288}, doi = {10.1145/2390256.2390288}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/automotiveUI/MehlerRZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/automotiveUI/TrutschelGHSSE12, author = {Udo Trutschel and Martin Golz and Christian Heinze and David Sommer and Bill Sirois and David Edwards}, editor = {Andrew L. Kun}, title = {Heart rate measures reflect the interaction of low mental workload and fatigue during driving simulation}, booktitle = {International Conference on Automotive User Interfaces and Interactive Vehicular Applications, AutomotiveUI '12, Portsmouth, NH, {USA} - October 17 - 19, 2012}, pages = {261--264}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2390256.2390299}, doi = {10.1145/2390256.2390299}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/automotiveUI/TrutschelGHSSE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/balt/LubckeSKS12, author = {Andreas L{\"{u}}bcke and Martin Sch{\"{a}}ler and Veit K{\"{o}}ppen and Gunter Saake}, editor = {Albertas Caplinskas and Gintautas Dzemyda and Audrone Lupeikiene and Olegas Vasilecas}, title = {Workload-based Heuristics for Evaluation of Physical Database Architectures}, booktitle = {Local Proceedings and Materials of Doctoral Consortium of the Tenth International Baltic Conference on Databases and Information Systems, Vilnius, Lithuania, July 8-11, 2012}, series = {{CEUR} Workshop Proceedings}, volume = {924}, pages = {3--10}, publisher = {CEUR-WS.org}, year = {2012}, url = {https://ceur-ws.org/Vol-924/paper01.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:32 +0100}, biburl = {https://dblp.org/rec/conf/balt/LubckeSKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcshci/BjornesethRDHK12, author = {Fr{\o}y Birte Bj{\o}rneseth and Sathiya Kumar Renganayagalu and Mark D. Dunlop and Eva Homecker and Sashidharan Komandur}, title = {Towards an experimental design framework for evaluation of dynamic workload and situational awareness in safety critical maritime settings}, booktitle = {{BCS-HCI} '12 Proceedings of the 26th Annual {BCS} Interaction Specialist Group Conference on People and Computers, {BCS-HCI} 2012, 12-14 September 2012, Birmingham, {UK}}, pages = {309--314}, publisher = {British Computer Society}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2377958}, timestamp = {Tue, 09 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bcshci/BjornesethRDHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caise/NakatumbaWA12, author = {Joyce Nakatumba and Michael Westergaard and Wil M. P. van der Aalst}, editor = {Marko Bajec and Johann Eder}, title = {Generating Event Logs with Workload-Dependent Speeds from Simulation Models}, booktitle = {Advanced Information Systems Engineering Workshops - CAiSE 2012 International Workshops, Gda{\'{n}}sk, Poland, June 25-26, 2012. Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {112}, pages = {383--397}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31069-0\_31}, doi = {10.1007/978-3-642-31069-0\_31}, timestamp = {Sun, 23 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/caise/NakatumbaWA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/MianM12, author = {Rizwan Mian and Patrick Martin}, title = {Executing Data-Intensive Workloads in a Cloud}, booktitle = {12th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2012, Ottawa, Canada, May 13-16, 2012}, pages = {758--763}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CCGrid.2012.18}, doi = {10.1109/CCGRID.2012.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/MianM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conext/XavierSAZMM12, author = {Faber Henrique Zacarias Xavier and Lucas Maia Silveira and Jussara Marques de Almeida and Artur Ziviani and Carlos Henrique Silva Malab and Humberto Torres Marques{-}Neto}, editor = {Marco Fiore and Catherine Rosenberg}, title = {Analyzing the workload dynamics of a mobile phone network in large scale events}, booktitle = {Proceedings of the first workshop on Urban networking, UrbaNe@CoNEXT 2012, Nice, France, December 10, 2012}, pages = {37--42}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2413236.2413245}, doi = {10.1145/2413236.2413245}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/conext/XavierSAZMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esocc/DellkrantzKR12, author = {Manfred Dellkrantz and Maria Kihl and Anders Robertsson}, editor = {Flavio De Paoli and Ernesto Pimentel and Gianluigi Zavattaro}, title = {Performance Modeling and Analysis of a Database Server with Write-Heavy Workload}, booktitle = {Service-Oriented and Cloud Computing - First European Conference, {ESOCC} 2012, Bertinoro, Italy, September 19-21, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7592}, pages = {184--191}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33427-6\_13}, doi = {10.1007/978-3-642-33427-6\_13}, timestamp = {Wed, 12 May 2021 10:56:07 +0200}, biburl = {https://dblp.org/rec/conf/esocc/DellkrantzKR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etra/BartelsM12, author = {Michael Bartels and Sandra P. Marshall}, editor = {Carlos Hitoshi Morimoto and Howell O. Istance and Stephen N. Spencer and Jeffrey B. Mulligan and Pernilla Qvarfordt}, title = {Measuring cognitive workload across different eye tracking hardware platforms}, booktitle = {Proceedings of the 2012 Symposium on Eye-Tracking Research and Applications, {ETRA} 2012, Santa Barbara, CA, USA, March 28-30, 2012}, pages = {161--164}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2168556.2168582}, doi = {10.1145/2168556.2168582}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/etra/BartelsM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/WallaceDQSSCH12, author = {Grant Wallace and Fred Douglis and Hangwei Qian and Philip Shilane and Stephen Smaldone and Mark Chamness and Windsor Hsu}, editor = {William J. Bolosky and Jason Flinn}, title = {Characteristics of backup workloads in production systems}, booktitle = {Proceedings of the 10th {USENIX} conference on File and Storage Technologies, {FAST} 2012, San Jose, CA, USA, February 14-17, 2012}, pages = {4}, publisher = {{USENIX} Association}, year = {2012}, url = {https://www.usenix.org/conference/fast12/characteristics-backup-workloads-production-systems}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fast/WallaceDQSSCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grid/CarvalhoB12, author = {Marcus Carvalho and Francisco Vilar Brasileiro}, title = {A User-Based Model of Grid Computing Workloads}, booktitle = {13th {ACM/IEEE} International Conference on Grid Computing, {GRID} 2012, Beijing, China, September 20-23, 2012}, pages = {40--48}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/Grid.2012.13}, doi = {10.1109/GRID.2012.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grid/CarvalhoB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/GeorgeMGL12, author = {Laurent George and Maud Marchal and Loe{\"{\i}}z Glondu and Anatole L{\'{e}}cuyer}, editor = {Poika Isokoski and Jukka Springare}, title = {Combining Brain-Computer Interfaces and Haptics: Detecting Mental Workload to Adapt Haptic Assistance}, booktitle = {Haptics: Perception, Devices, Mobility, and Communication - International Conference, EuroHaptics 2012, Tampere, Finland, June 13-15, 2012. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7282}, pages = {124--135}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31401-8\_12}, doi = {10.1007/978-3-642-31401-8\_12}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/haptics/GeorgeMGL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haw/VolpAH12, author = {Marcus V{\"{o}}lp and Nils Asmussen and Hermann H{\"{a}}rtig}, editor = {Rainer Keller and David Kramer and Jan{-}Philipp Weiss}, title = {The IMData Approach to Accelerate Data Intensive Workloads}, booktitle = {Facing the Multicore-Challenge - Aspects of New Paradigms and Technologies in Parallel Computing [Proceedings of a conference held at Stuttgart, Germany, September 19-21, 2012]}, series = {Lecture Notes in Computer Science}, volume = {7686}, pages = {141--142}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35893-7\_21}, doi = {10.1007/978-3-642-35893-7\_21}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/haw/VolpAH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icait/JuniorP12, author = {Valter Klein Junior and Carlos Marcelo Pedroso}, editor = {Vincent Guyot}, title = {{SAVI} - {A} Model for Video Workload Generation Based on Scene Length}, booktitle = {Advanced Infocomm Technology - 5th {IEEE} International Conference, {ICAIT} 2012, Paris, France, July 25-27, 2012. Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {7593}, pages = {116--127}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-38227-7\_15}, doi = {10.1007/978-3-642-38227-7\_15}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/icait/JuniorP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ManualiCLCGCR12, author = {Carlo Manuali and Alessandro Costantini and Antonio Lagan{\`{a}} and Marco Cecchi and Antonia Ghiselli and Michele Carpen{\`{e}} and Elda Rossi}, editor = {Beniamino Murgante and Osvaldo Gervasi and Sanjay Misra and Nadia Nedjah and Ana Maria A. C. Rocha and David Taniar and Bernady O. Apduhan}, title = {Efficient Workload Distribution Bridging {HTC} and {HPC} in Scientific Computing}, booktitle = {Computational Science and Its Applications - {ICCSA} 2012 - 12th International Conference, Salvador de Bahia, Brazil, June 18-21, 2012, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7333}, pages = {345--357}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31125-3\_27}, doi = {10.1007/978-3-642-31125-3\_27}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ManualiCLCGCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceac/StockmanAAK12, author = {Melissa Stockman and Mariette Awad and Haitham Akkary and Rahul Khanna}, title = {Thermal status and workload prediction using support vector regression}, booktitle = {International Conference on Energy Aware Computing, {ICEAC} 2012, Guzelyurt, Cyprus, December 3-5, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICEAC.2012.6471027}, doi = {10.1109/ICEAC.2012.6471027}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iceac/StockmanAAK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KurianSCMMWAPKSA12, author = {George Kurian and Chen Sun and Chia{-}Hsin Owen Chen and Jason E. Miller and J{\"{u}}rgen Michel and Lan Wei and Dimitri A. Antoniadis and Li{-}Shiuan Peh and Lionel C. Kimerling and Vladimir Stojanovic and Anant Agarwal}, title = {Cross-layer Energy and Performance Evaluation of a Nanophotonic Manycore Processor System Using Real Application Workloads}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {1117--1130}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPS.2012.103}, doi = {10.1109/IPDPS.2012.103}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KurianSCMMWAPKSA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/is/BessonMBMMBDDV12, author = {Patricia Besson and Christophe Ma{\"{\i}}ano and Lionel Bringoux and Tanguy Marqueste and Daniel Mestre and Christophe Bourdin and Erick Dousset and Mathilde Durand and Jean{-}Louis Vercher}, title = {Cognitive workload and affective state: {A} computational study using Bayesian networks}, booktitle = {6th {IEEE} International Conference on Intelligent Systems, {IS} 2012, Sofia, Bulgaria, September 6-8, 2012}, pages = {140--145}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IS.2012.6335127}, doi = {10.1109/IS.2012.6335127}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/is/BessonMBMMBDDV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/GanesanSGM12, author = {Rajeshwari Ganesan and Santonu Sarkar and Geetika Goel and Catello Di Martino}, title = {Measurements-Based Analysis of Workload-Error Relationship in a Production SaaS Cloud}, booktitle = {23rd {IEEE} International Symposium on Software Reliability Engineering Workshops, {ISSRE} Workshops, Dallas, TX, USA, November 27-30, 2012}, pages = {96--105}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISSREW.2012.76}, doi = {10.1109/ISSREW.2012.76}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/GanesanSGM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/BessonDBBMMV12, author = {Patricia Besson and Erick Dousset and Christophe Bourdin and Lionel Bringoux and Tanguy Marqueste and Daniel Mestre and Jean{-}Louis Vercher}, title = {Bayesian Network classifiers inferring workload from physiological features: Compared performance}, booktitle = {2012 {IEEE} Intelligent Vehicles Symposium, {IV} 2012, Alcal de Henares, Madrid, Spain, June 3-7, 2012}, pages = {282--287}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IVS.2012.6232134}, doi = {10.1109/IVS.2012.6232134}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ivs/BessonDBBMMV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/MianMZV12, author = {Rizwan Mian and Patrick Martin and Farhana H. Zulkernine and Jos{\'{e}} Luis V{\'{a}}zquez{-}Poletti}, editor = {Bruno Schulze and Rafael Tolosana{-}Calasanz and Luiz F. Bittencourt}, title = {Estimating resource costs of data-intensive workloads in public clouds}, booktitle = {Proceedings of the 10th International Workshop on Middleware for Grids, Clouds and e-Science, {MCG} 2012, Montreal, QC, Canada, December 3-7, 2012}, pages = {3}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2405136.2405139}, doi = {10.1145/2405136.2405139}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/middleware/MianMZV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/YenXHMM12, author = {Po{-}Yin Yen and Yang Xiang and Kun Huang and Marian McDonagh and Jacqueline Merrill}, editor = {Kaija Saranto and Charlotte A. Weaver and Polun Chang}, title = {A Proposal of An Article Network Analysis Approach to Reduce Systematic Review Workload}, booktitle = {Nursing Informatics 2014 - East Meets West eSMART+ - Proceedings of the 12th International Congress on Nursing Informatics, Taipei, Taiwan, June 21-25, 2014}, series = {Studies in Health Technology and Informatics}, volume = {201}, publisher = {{IOS} Press}, year = {2012}, url = {http://knowledge.amia.org/amia-55142-cni2012a-1.641359/t-005-1.642724/f-001-1.642725/a-334-1.642756/a-335-1.642753}, timestamp = {Wed, 29 Mar 2017 16:45:22 +0200}, biburl = {https://dblp.org/rec/conf/ni/YenXHMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/BogdanMJG12, author = {Paul Bogdan and Radu Marculescu and Siddharth Jain and Rafael Tornero Gavil{\'{a}}}, title = {An Optimal Control Approach to Power Management for Multi-Voltage and Frequency Islands Multiprocessor Platforms under Highly Variable Workloads}, booktitle = {2012 Sixth {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Copenhagen, Denmark, 9-11 May, 2012}, pages = {35--42}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NOCS.2012.32}, doi = {10.1109/NOCS.2012.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/BogdanMJG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pam/CarlssonDMA12, author = {Niklas Carlsson and Gy{\"{o}}rgy D{\'{a}}n and Anirban Mahanti and Martin F. Arlitt}, editor = {Nina Taft and Fabio Ricciato}, title = {A Longitudinal Characterization of Local and Global BitTorrent Workload Dynamics}, booktitle = {Passive and Active Measurement - 13th International Conference, {PAM} 2012, Vienna, Austria, March 12-14th, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7192}, pages = {252--262}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-28537-0\_25}, doi = {10.1007/978-3-642-28537-0\_25}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/pam/CarlssonDMA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/DwyerFBRGP12, author = {Tyler Dwyer and Alexandra Fedorova and Sergey Blagodurov and Mark Roth and Fabien Gaud and Jian Pei}, editor = {Jeffrey K. Hollingsworth}, title = {A practical method for estimating performance degradation on multicore processors, and its application to {HPC} workloads}, booktitle = {{SC} Conference on High Performance Computing Networking, Storage and Analysis, {SC} '12, Salt Lake City, UT, {USA} - November 11 - 15, 2012}, pages = {83}, publisher = {{IEEE/ACM}}, year = {2012}, url = {https://doi.org/10.1109/SC.2012.11}, doi = {10.1109/SC.2012.11}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/DwyerFBRGP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/LankfordEN12, author = {Peter Lankford and Lars Ericson and Andrey Nikolaev}, title = {End-User Driven Technology Benchmarks Based on Market-Risk Workloads}, booktitle = {2012 {SC} Companion: High Performance Computing, Networking Storage and Analysis, Salt Lake City, UT, USA, November 10-16, 2012}, pages = {1171--1175}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SC.Companion.2012.141}, doi = {10.1109/SC.COMPANION.2012.141}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/LankfordEN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/LiuCBWGWMH12, author = {Zhenhua Liu and Yuan Chen and Cullen E. Bash and Adam Wierman and Daniel Gmach and Zhikui Wang and Manish Marwah and Chris Hyser}, editor = {Peter G. Harrison and Martin F. Arlitt and Giuliano Casale}, title = {Renewable and cooling aware workload management for sustainable data centers}, booktitle = {{ACM} {SIGMETRICS/PERFORMANCE} Joint International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} '12, London, United Kingdom, June 11-15, 2012}, pages = {175--186}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2254756.2254779}, doi = {10.1145/2254756.2254779}, timestamp = {Wed, 08 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/LiuCBWGWMH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/GustavoGCS12, author = {Gustavo Patino Alvarez and Jorge Gonz{\'{a}}lez and Jiang Chau Wang and Marius Strum}, editor = {Ramalingam Sridhar and Norbert Schuhmann and Kaijian Shi}, title = {Workload and task characterization based on operation modes timing analysis}, booktitle = {{IEEE} 25th International {SOC} Conference, {SOCC} 2012, Niagara Falls, NY, USA, September 12-14, 2012}, pages = {248--253}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SOCC.2012.6398356}, doi = {10.1109/SOCC.2012.6398356}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/socc/GustavoGCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1207-3351, author = {Laurent George and Maud Marchal and Loe{\"{\i}}z Glondu and Anatole L{\'{e}}cuyer}, title = {Combining Brain-Computer Interfaces and Haptics: Detecting Mental Workload to Adapt Haptic Assistance}, journal = {CoRR}, volume = {abs/1207.3351}, year = {2012}, url = {http://arxiv.org/abs/1207.3351}, eprinttype = {arXiv}, eprint = {1207.3351}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1207-3351.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1209-3315, author = {Peter G. Harrison and S. K. Harrison and Naresh M. Patel and Soraya Zertal}, title = {Storage Workload Modelling by Hidden Markov Models: Application to {FLASH} Memory}, journal = {CoRR}, volume = {abs/1209.3315}, year = {2012}, url = {http://arxiv.org/abs/1209.3315}, eprinttype = {arXiv}, eprint = {1209.3315}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1209-3315.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Khalid11, author = {Omer Khalid}, title = {Reducing deadline miss rate for grid workloads running in virtual machines : a deadline-aware and adaptive approach}, school = {Medway School of Science, Chatham Maritime, {UK}}, year = {2011}, url = {http://gala.gre.ac.uk/8010/}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Khalid11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/SilvaAG11, author = {Thiago Henrique Silva and Jussara M. Almeida and Dorgival O. Guedes}, title = {Live streaming of user generated videos: Workload characterization and content delivery architectures}, journal = {Comput. Networks}, volume = {55}, number = {18}, pages = {4055--4068}, year = {2011}, url = {https://doi.org/10.1016/j.comnet.2011.07.016}, doi = {10.1016/J.COMNET.2011.07.016}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/SilvaAG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/MarculescuB11, author = {Radu Marculescu and Paul Bogdan}, title = {Cyberphysical Systems: Workload Modeling and Design Optimization}, journal = {{IEEE} Des. Test Comput.}, volume = {28}, number = {4}, pages = {78--87}, year = {2011}, url = {https://doi.org/10.1109/MDT.2010.142}, doi = {10.1109/MDT.2010.142}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/MarculescuB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/ManzeyLMDMS11, author = {Dietrich Manzey and Maria Luz and Stefan M{\"{u}}ller and Andreas Dietz and J{\"{u}}rgen Meixensberger and Gero Strau{\ss}}, title = {Automation in Surgery: The Impact of Navigated-Control Assistance on Performance, Workload, Situation Awareness, and Acquisition of Surgical Skills}, journal = {Hum. Factors}, volume = {53}, number = {6}, pages = {584--599}, year = {2011}, url = {https://doi.org/10.1177/0018720811426141}, doi = {10.1177/0018720811426141}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/ManzeyLMDMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijnc/Forsell11, author = {Martti Forsell}, title = {A {PRAM-NUMA} Model of Computation for Addressing Low-TLP Workloads}, journal = {Int. J. Netw. Comput.}, volume = {1}, number = {1}, pages = {21--35}, year = {2011}, url = {http://www.ijnc.org/index.php/ijnc/article/view/11}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijnc/Forsell11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/internet/AlmeidaA11, author = {Virg{\'{\i}}lio A. F. Almeida and Jussara M. Almeida}, title = {Internet Workloads: Measurement, Characterization, and Modeling}, journal = {{IEEE} Internet Comput.}, volume = {15}, number = {2}, pages = {15--18}, year = {2011}, url = {https://doi.org/10.1109/MIC.2011.43}, doi = {10.1109/MIC.2011.43}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/internet/AlmeidaA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/NovakMM11, author = {Domen Novak and Matjaz Mihelj and Marko Munih}, title = {Psychophysiological responses to different levels of cognitive and physical workload in haptic interaction}, journal = {Robotica}, volume = {29}, number = {3}, pages = {367--374}, year = {2011}, url = {https://doi.org/10.1017/S0263574710000184}, doi = {10.1017/S0263574710000184}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/robotica/NovakMM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/Pacheco-SanchezCSMPD11, author = {Sergio Pacheco{-}Sanchez and Giuliano Casale and Bryan W. Scotney and Sally I. McClean and Gerard P. Parr and Stephen Dawson}, editor = {Ling Liu and Manish Parashar}, title = {Markovian Workload Characterization for QoS Prediction in the Cloud}, booktitle = {{IEEE} International Conference on Cloud Computing, {CLOUD} 2011, Washington, DC, USA, 4-9 July, 2011}, pages = {147--154}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CLOUD.2011.100}, doi = {10.1109/CLOUD.2011.100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcloud/Pacheco-SanchezCSMPD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiccsa/CanillasWRL11, author = {J. Martinez Canillas and Alvaro Wong and Dolores Rexachs and Emilio Luque}, editor = {Howard Jay Siegel and Amr El{-}Kadi}, title = {Predicting parallel applications performance using signatures: The workload effect}, booktitle = {The 9th {IEEE/ACS} International Conference on Computer Systems and Applications, {AICCSA} 2011, Sharm El-Sheikh, Egypt, December 27-30, 2011}, pages = {299--300}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/AICCSA.2011.6126624}, doi = {10.1109/AICCSA.2011.6126624}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aiccsa/CanillasWRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/TrancosoML11, author = {Pedro Trancoso and Norbert Mart{\'{\i}}nez{-}Bazan and Josep Llu{\'{\i}}s Larriba{-}Pey}, editor = {Mladen Berekovic and William Fornaciari and Uwe Brinkschulte and Cristina Silvano}, title = {Memory-, Bandwidth-, and Power-Aware Multi-core for a Graph Database Workload}, booktitle = {Architecture of Computing Systems - {ARCS} 2011 - 24th International Conference, Como, Italy, February 24-25, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6566}, pages = {171--182}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19137-4\_15}, doi = {10.1007/978-3-642-19137-4\_15}, timestamp = {Thu, 10 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arcs/TrancosoML11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/KansoKT11, author = {Ali Kanso and Ferhat Khendek and Maria Toeroe}, title = {Workload Balancing for Highly Available Services: The Case of the {N+M} Redundancy Model}, booktitle = {{IEEE} Ninth International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2011, 12-14 December 2011, Sydney, Australia}, pages = {97--104}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/DASC.2011.40}, doi = {10.1109/DASC.2011.40}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/KansoKT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/BenoitDNP11, author = {Anne Benoit and Alexandru Dobrila and Jean{-}Marc Nicod and Laurent Philippe}, editor = {Emmanuel Jeannot and Raymond Namyst and Jean Roman}, title = {Workload Balancing and Throughput Optimization for Heterogeneous Systems Subject to Failures}, booktitle = {Euro-Par 2011 Parallel Processing - 17th International Conference, Euro-Par 2011, Bordeaux, France, August 29 - September 2, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6852}, pages = {242--254}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23400-2\_23}, doi = {10.1007/978-3-642-23400-2\_23}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/BenoitDNP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/MartinCA11, author = {Caroline Martin and Julien Cegarra and Philippe Averty}, editor = {Don Harris}, title = {Analysis of Mental Workload during En-route Air Traffic Control Task Execution Based on Eye-Tracking Technique}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 9th International Conference, {EPCE} 2011, Held as Part of {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6781}, pages = {592--597}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21741-8\_63}, doi = {10.1007/978-3-642-21741-8\_63}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/MartinCA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/UitterhoeveCH11, author = {Wendie Uitterhoeve and Marcella Croes{-}Schalken and Dick Ten Hove}, editor = {Constantine Stephanidis}, title = {Physiological Measurement Applied in Maritime Situations: {A} Newly Developed Method to Measure Workload on Board of Ships}, booktitle = {{HCI} International 2011 - Posters' Extended Abstracts - International Conference, {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {173}, pages = {327--331}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22098-2\_66}, doi = {10.1007/978-3-642-22098-2\_66}, timestamp = {Wed, 24 May 2017 08:28:59 +0200}, biburl = {https://dblp.org/rec/conf/hci/UitterhoeveCH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/CanillasWRL11, author = {J. Martinez Canillas and Alvaro Wong and Dolores Rexachs and Emilio Luque}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Including the Workload Effect in the Parallel Program Signature}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {304--311}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.47}, doi = {10.1109/HPCC.2011.47}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/CanillasWRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icac/MalkowskiHLPN11, author = {Simon Malkowski and Markus Hedwig and Jack Li and Calton Pu and Dirk Neumann}, editor = {Hartmut Schmeck and Wolfgang Rosenstiel and Tarek F. Abdelzaher and Joseph L. Hellerstein}, title = {Automated control for elastic n-tier workloads based on empirical modeling}, booktitle = {Proceedings of the 8th International Conference on Autonomic Computing, {ICAC} 2011, Karlsruhe, Germany, June 14-18, 2011}, pages = {131--140}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1998582.1998604}, doi = {10.1145/1998582.1998604}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icac/MalkowskiHLPN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceac/KhannaDLPDAS11, author = {Rahul Khanna and Kshitij A. Doshi and Christian Le and John Ping and Martin P. Dimitrov and Mariette Awad and Melissa Stockman}, title = {Dynamic energy allocation for coordinated optimization in enterprise workloads}, booktitle = {International Conference on Energy Aware Computing, {ICEAC} 2011, Istanbul, Turkey, November 30 - December 2, 2011}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICEAC.2011.6136681}, doi = {10.1109/ICEAC.2011.6136681}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iceac/KhannaDLPDAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ViswanathanLRPPG11, author = {Hariharasudhan Viswanathan and Eun Kyung Lee and Ivan Rodero and Dario Pompili and Manish Parashar and Marc Gamell}, title = {Energy-Aware Application-Centric {VM} Allocation for {HPC} Workloads}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May 2011 - Workshop Proceedings}, pages = {890--897}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.234}, doi = {10.1109/IPDPS.2011.234}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ViswanathanLRPPG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhci/ZieglerGSWMU11, author = {Jens Ziegler and Markus Graube and Alexander Suhrbier and Niels Wessel and Hagen Malberg and Leon Urbas}, editor = {Markus Bylund and Oskar Juhlin and Ylva Fernaeus}, title = {The influence of the spatial separation of control elements on the workload for mobile information systems}, booktitle = {Proceedings of the 13th Conference on Human-Computer Interaction with Mobile Devices and Services, Mobile {HCI} 2011, Stockholm, Sweden, August 30 - September 2, 2011}, pages = {191--200}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2037373.2037403}, doi = {10.1145/2037373.2037403}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhci/ZieglerGSWMU11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/LassnigFGMB11, author = {Mario Lassnig and Thomas Fahringer and Vincent Garonne and Angelos Molfetas and Martin Barisits}, editor = {Scott A. Lathrop and Jim Costa and William Kramer}, title = {A similarity measure for time, frequency, and dependencies in large-scale workloads}, booktitle = {Conference on High Performance Computing Networking, Storage and Analysis, {SC} 2011, Seattle, WA, USA, November 12-18, 2011}, pages = {43:1--43:11}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2063384.2063441}, doi = {10.1145/2063384.2063441}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/LassnigFGMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/Casale11, author = {Giuliano Casale}, editor = {Arif Merchant and Kimberly Keeton and Dan Rubenstein}, title = {Building accurate workload models using Markovian arrival processes}, booktitle = {{SIGMETRICS} 2011, Proceedings of the 2011 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Systems, San Jose, CA, USA, 07-11 June 2011 (Co-located with {FCRC} 2011)}, pages = {357--358}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1993744.1993783}, doi = {10.1145/1993744.1993783}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/Casale11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/fiore2011/MianMBZ11, author = {Rizwan Mian and Patrick Martin and Andrew Brown and Mingyi Zhang}, editor = {Sandro Fiore and Giovanni Aloisio}, title = {Managing Data-Intensive Workloads in a Cloud}, booktitle = {Grid and Cloud Database Management}, pages = {235--258}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20045-8\_12}, doi = {10.1007/978-3-642-20045-8\_12}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/fiore2011/MianMBZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/grid/LingrandMMC10, author = {Diane Lingrand and Johan Montagnat and Janusz Martyniak and Dave Colling}, title = {Optimization of Jobs Submission on the {EGEE} Production Grid: Modeling Faults Using Workload}, journal = {J. Grid Comput.}, volume = {8}, number = {2}, pages = {305--321}, year = {2010}, url = {https://doi.org/10.1007/s10723-010-9151-2}, doi = {10.1007/S10723-010-9151-2}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/grid/LingrandMMC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/LuizPS10, author = {Saulo Oliveira Dornellas Luiz and Angelo Perkusich and Antonio Marcus Nogueira de Lima}, title = {Multisize Sliding Window in Workload Estimation for Dynamic Power Management}, journal = {{IEEE} Trans. Computers}, volume = {59}, number = {12}, pages = {1625--1639}, year = {2010}, url = {https://doi.org/10.1109/TC.2010.90}, doi = {10.1109/TC.2010.90}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/LuizPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/DonmezNC10, author = {Birsen Donmez and Carl E. Nehme and Mary L. Cummings}, title = {Modeling Workload Impact in Multiple Unmanned Vehicle Supervisory Control}, journal = {{IEEE} Trans. Syst. Man Cybern. Part {A}}, volume = {40}, number = {6}, pages = {1180--1190}, year = {2010}, url = {https://doi.org/10.1109/TSMCA.2010.2046731}, doi = {10.1109/TSMCA.2010.2046731}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/DonmezNC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/MarongiuBB10, author = {Andrea Marongiu and Paolo Burgio and Luca Benini}, editor = {Vinod Kathail and Reid Tatge and Rajeev Barua}, title = {Vertical stealing: robust, locality-aware do-all workload distribution for 3D MPSoCs}, booktitle = {Proceedings of the 2010 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems, {CASES} 2010, Scottsdale, AZ, USA, October 24-29, 2010}, pages = {207--216}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1878921.1878952}, doi = {10.1145/1878921.1878952}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/MarongiuBB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/LassnigFGMB10, author = {Mario Lassnig and Thomas Fahringer and Vincent Garonne and Angelos Molfetas and Miguel Branco}, title = {Identification, Modelling and Prediction of Non-periodic Bursts in Workloads}, booktitle = {10th {IEEE/ACM} International Conference on Cluster, Cloud and Grid Computing, CCGrid 2010, 17-20 May 2010, Melbourne, Victoria, Australia}, pages = {485--494}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CCGRID.2010.118}, doi = {10.1109/CCGRID.2010.118}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/LassnigFGMB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/BogdanM10, author = {Paul Bogdan and Radu Marculescu}, editor = {Tony Givargis and Adam Donlin}, title = {Workload characterization and its impact on multicore platform design}, booktitle = {Proceedings of the 8th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2010, part of ESWeek '10 Sixth Embedded Systems Week, Scottsdale, AZ, USA, October 24-28, 2010}, pages = {231--240}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1878961.1879003}, doi = {10.1145/1878961.1879003}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/BogdanM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecce/BasahelYA10, author = {Abdulrahman M. Basahel and Mark S. Young and Marco Ajovalasit}, editor = {Mark A. Neerincx and Willem{-}Paul Brinkman}, title = {Impacts of physical and mental workload interaction on human attentional resources performance}, booktitle = {{ECCE} 2010 - European Conference on Cognitive Ergonomics, Delft, The Netherlands, August 25-27, 2010, Proceedings of the 28th annual conference of the European Association of Cognitive Ergonomics}, pages = {215--217}, publisher = {Mediamatica, Delft University of Technology, The Netherlands / {ACM}}, year = {2010}, url = {https://doi.org/10.1145/1962300.1962344}, doi = {10.1145/1962300.1962344}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecce/BasahelYA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecce/ComansPM10, author = {J. Comans and M. M. van Paassen and Max Mulder}, editor = {Mark A. Neerincx and Willem{-}Paul Brinkman}, title = {Pilot workload monitoring and adaptive aviation automation: a solution space-based approach}, booktitle = {{ECCE} 2010 - European Conference on Cognitive Ergonomics, Delft, The Netherlands, August 25-27, 2010, Proceedings of the 28th annual conference of the European Association of Cognitive Ergonomics}, pages = {245--250}, publisher = {Mediamatica, Delft University of Technology, The Netherlands / {ACM}}, year = {2010}, url = {https://doi.org/10.1145/1962300.1962351}, doi = {10.1145/1962300.1962351}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecce/ComansPM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/KrampeLS10, author = {Anne Krampe and Joachim Lepping and Wiebke Sieben}, editor = {Salim Hariri and Kate Keahey}, title = {A hybrid Markov chain model for workload on parallel computers}, booktitle = {Proceedings of the 19th {ACM} International Symposium on High Performance Distributed Computing, {HPDC} 2010, Chicago, Illinois, USA, June 21-25, 2010}, pages = {589--596}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1851476.1851563}, doi = {10.1145/1851476.1851563}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/KrampeLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/PoplavkoGB10, author = {Peter Poplavko and Marc Geilen and Twan Basten}, title = {Predicting the throughput of multiprocessor applications under dynamic workload}, booktitle = {28th International Conference on Computer Design, {ICCD} 2010, 3-6 October 2010, Amsterdam, The Netherlands, Proceedings}, pages = {282--288}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICCD.2010.5647740}, doi = {10.1109/ICCD.2010.5647740}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/PoplavkoGB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/HolzeHR10, author = {Marc Holze and Ali Haschimi and Norbert Ritter}, title = {Towards workload-aware self-management: Predicting significant workload shifts}, booktitle = {Workshops Proceedings of the 26th International Conference on Data Engineering, {ICDE} 2010, March 1-6, 2010, Long Beach, California, {USA}}, pages = {111--116}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICDEW.2010.5452738}, doi = {10.1109/ICDEW.2010.5452738}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/HolzeHR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/PowleyMZBM10, author = {Wendy Powley and Patrick Martin and Mingyi Zhang and Paul Bird and Keith McDonald}, title = {Autonomic workload execution control using throttling}, booktitle = {Workshops Proceedings of the 26th International Conference on Data Engineering, {ICDE} 2010, March 1-6, 2010, Long Beach, California, {USA}}, pages = {75--80}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICDEW.2010.5452744}, doi = {10.1109/ICDEW.2010.5452744}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/PowleyMZBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifachms/PichonME10, author = {Marianne Pichon and Patrick Millot and Simon Enjalbert}, editor = {Fr{\'{e}}d{\'{e}}ric Vanderhaegen}, title = {Toward an on-line and non-obtrusive workload assessment method}, booktitle = {11th {IFAC/IFIP/IFORS/IEA} Symposium on Analysis, Design, and Evaluation of Human-Machine Systems (2010), Valenciennes, France, August 31 - September 03, 2010}, pages = {281--286}, publisher = {International Federation of Automatic Control}, year = {2010}, url = {https://doi.org/10.3182/20100831-4-FR-2021.00050}, doi = {10.3182/20100831-4-FR-2021.00050}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifachms/PichonME10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/Forsell10, author = {Martti Forsell}, title = {A {PRAM-NUMA} model of computation for addressing low-TLP workloads}, booktitle = {24th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Workshop Proceedings}, pages = {1--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IPDPSW.2010.5470846}, doi = {10.1109/IPDPSW.2010.5470846}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/Forsell10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/StillwellVC10, author = {Mark Stillwell and Fr{\'{e}}d{\'{e}}ric Vivien and Henri Casanova}, title = {Dynamic fractional resource scheduling for {HPC} workloads}, booktitle = {24th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2010, Atlanta, Georgia, USA, 19-23 April 2010 - Conference Proceedings}, pages = {1--12}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IPDPS.2010.5470356}, doi = {10.1109/IPDPS.2010.5470356}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/StillwellVC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/FreitasSAN10, author = {Henrique Cota de Freitas and Lucas Mello Schnorr and Marco Antonio Zanata Alves and Philippe Olivier Alexandre Navaux}, editor = {Marco Danelutto and Julien Bourgeois and Tom Gross}, title = {Impact of Parallel Workloads on NoC Architecture Design}, booktitle = {Proceedings of the 18th Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2010, Pisa, Italy, February 17-19, 2010}, pages = {551--555}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PDP.2010.53}, doi = {10.1109/PDP.2010.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/FreitasSAN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/MalkowskiJHPKP10, author = {Simon Malkowski and Deepal Jayasinghe and Markus Hedwig and Junhee Park and Yasuhiko Kanemasa and Calton Pu}, editor = {Sung Y. Shin and Sascha Ossowski and Michael Schumacher and Mathew J. Palakal and Chih{-}Cheng Hung}, title = {Empirical analysis of database server scalability using an N-tier benchmark with read-intensive workload}, booktitle = {Proceedings of the 2010 {ACM} Symposium on Applied Computing (SAC), Sierre, Switzerland, March 22-26, 2010}, pages = {1680--1687}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1774088.1774449}, doi = {10.1145/1774088.1774449}, timestamp = {Sun, 02 Jun 2019 21:18:37 +0200}, biburl = {https://dblp.org/rec/conf/sac/MalkowskiJHPKP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/KrugerTGZP10, author = {Jens Kr{\"{u}}ger and Christian Tinnefeld and Martin Grund and Alexander Zeier and Hasso Plattner}, editor = {Shivnath Babu and G. N. Paulley}, title = {A case for online mixed workload processing}, booktitle = {Proceedings of the Third International Workshop on Testing Database Systems, DBTest 2010, Indianapolis, Indiana, USA, June 7, 2010}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1838126.1838134}, doi = {10.1145/1838126.1838134}, timestamp = {Thu, 11 Mar 2021 15:20:15 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/KrugerTGZP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wecwis/NiuXMP10, author = {Baoning Niu and Yayan Xue and Patrick Martin and Wendy Powley}, editor = {Kuo{-}Ming Chao and Christian Huemer and Birgit Hofreiter and Yinsheng Li and Nazaraf Shah}, title = {Managing Workload Importance in Enterprise DBMSs}, booktitle = {12th {IEEE} Conference on Commerce and Enterprise Computing, {CEC} 2010, Shanghai, China, November 10-12, 2010}, pages = {168--173}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CEC.2010.36}, doi = {10.1109/CEC.2010.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wecwis/NiuXMP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/RohrHHLA10, author = {Matthias Rohr and Andr{\'{e}} van Hoorn and Wilhelm Hasselbring and Marco L{\"{u}}bcke and Sergej Alekseev}, editor = {Alan Adamson and Andre B. Bondi and Carlos Juiz and Mark S. Squillante}, title = {Workload-intensity-sensitive timing behavior analysis for distributed multi-user software systems}, booktitle = {Proceedings of the first joint {WOSP/SIPEW} International Conference on Performance Engineering, San Jose, California, USA, January 28-30, 2010}, pages = {87--92}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1712605.1712621}, doi = {10.1145/1712605.1712621}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wosp/RohrHHLA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1009-4841, author = {Omer Khalid and Ivo Maljevic and Richard J. Anthony and Miltos Petridis and Kevin Parrott and Markus Schulz}, title = {Dynamic scheduling of virtual machines running hpc workloads in scientific grids}, journal = {CoRR}, volume = {abs/1009.4841}, year = {2010}, url = {http://arxiv.org/abs/1009.4841}, eprinttype = {arXiv}, eprint = {1009.4841}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1009-4841.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/MartinezPJ09, author = {Christopher Martinez and Mythri Pinnamaneni and Eugene B. John}, title = {Performance of commercial multimedia workloads on the Intel Pentium 4: {A} case study}, journal = {Comput. Electr. Eng.}, volume = {35}, number = {1}, pages = {18--32}, year = {2009}, url = {https://doi.org/10.1016/j.compeleceng.2008.02.003}, doi = {10.1016/J.COMPELECENG.2008.02.003}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/MartinezPJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dbsk/AlbutiuSKK09, author = {Martina{-}Cezara Albutiu and Andreas Scholz and Stefan Krompass and Alfons Kemper}, title = {Synergie-basiertes Scheduling von Datenbank-Workloads}, journal = {Datenbank-Spektrum}, volume = {9}, number = {28}, pages = {44--51}, year = {2009}, timestamp = {Wed, 27 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dbsk/AlbutiuSKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/debu/PatonALFS09, author = {Norman W. Paton and Marcelo A. T. Arag{\~{a}}o and Kevin Lee and Alvaro A. A. Fernandes and Rizos Sakellariou}, title = {Optimizing Utility in Cloud Computing through Autonomic Workload Execution}, journal = {{IEEE} Data Eng. Bull.}, volume = {32}, number = {1}, pages = {51--58}, year = {2009}, url = {http://sites.computer.org/debull/A09mar/paton.pdf}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/debu/PatonALFS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/ElnaffarM09, author = {Said Elnaffar and Patrick Martin}, title = {The Psychic-Skeptic Prediction framework for effective monitoring of {DBMS} workloads}, journal = {Data Knowl. Eng.}, volume = {68}, number = {4}, pages = {393--414}, year = {2009}, url = {https://doi.org/10.1016/j.datak.2008.10.007}, doi = {10.1016/J.DATAK.2008.10.007}, timestamp = {Mon, 20 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dke/ElnaffarM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/DavidseHWB09, author = {Ragnhild J. Davidse and Marjan P. Hagenzieker and Peter C. van Wolffelaar and Wiebo H. Brouwer}, title = {Effects of In-Car Support on Mental Workload and Driving Performance of Older Drivers}, journal = {Hum. Factors}, volume = {51}, number = {4}, pages = {463--476}, year = {2009}, url = {https://doi.org/10.1177/0018720809344977}, doi = {10.1177/0018720809344977}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/DavidseHWB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcit/OdagiriMYTI09, author = {Kazuya Odagiri and Giuseppe De Marco and Rihito Yaegashi and Masaharu Tadauchi and Naohiro Ishii}, title = {The Processing Workload Evaluation in two Network Management Models of {IP} Networks}, journal = {J. Convergence Inf. Technol.}, volume = {4}, number = {3}, pages = {7--16}, year = {2009}, url = {http://www.aicit.org/jcit/ppl/01.pdf}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcit/OdagiriMYTI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdm/NiuMP09, author = {Baoning Niu and Patrick Martin and Wendy Powley}, title = {Towards Autonomic Workload Management in DBMSs}, journal = {J. Database Manag.}, volume = {20}, number = {3}, pages = {1--17}, year = {2009}, url = {https://doi.org/10.4018/jdm.2009070101}, doi = {10.4018/JDM.2009070101}, timestamp = {Thu, 16 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdm/NiuMP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/TzagkarakisPT09, author = {George Tzagkarakis and Maria Papadopouli and Panagiotis Tsakalides}, title = {Trend forecasting based on Singular Spectrum Analysis of traffic workload in a large-scale wireless {LAN}}, journal = {Perform. Evaluation}, volume = {66}, number = {3-5}, pages = {173--190}, year = {2009}, url = {https://doi.org/10.1016/j.peva.2008.10.010}, doi = {10.1016/J.PEVA.2008.10.010}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/TzagkarakisPT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scpe/BeltranG09, author = {Marta Beltr{\'{a}}n and Antonio Guzm{\'{a}}n}, title = {The Impact of Workload Variability on Load Balancing Algorithms}, journal = {Scalable Comput. Pract. Exp.}, volume = {10}, number = {2}, year = {2009}, url = {http://www.scpe.org/index.php/scpe/article/view/607}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scpe/BeltranG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/Miskowicz09, author = {Marek Miskowicz}, title = {Average Channel Utilization of {CSMA} with Geometric Distribution under Varying Workload}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {5}, number = {2}, pages = {123--131}, year = {2009}, url = {https://doi.org/10.1109/TII.2009.2017524}, doi = {10.1109/TII.2009.2017524}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/Miskowicz09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/BhattacharjeeM09, author = {Abhishek Bhattacharjee and Margaret Martonosi}, title = {Characterizing the {TLB} Behavior of Emerging Parallel Workloads on Chip Multiprocessors}, booktitle = {{PACT} 2009, Proceedings of the 18th International Conference on Parallel Architectures and Compilation Techniques, 12-16 September 2009, Raleigh, North Carolina, {USA}}, pages = {29--40}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/PACT.2009.26}, doi = {10.1109/PACT.2009.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEpact/BhattacharjeeM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/c3s2e/SharifimehrS09, author = {Nima Sharifimehr and Samira Sadaoui}, editor = {Bipin C. Desai and Carson Kai{-}Sang Leung and Olga Ormandjieva}, title = {Markovian workload modeling for Enterprise Application Servers}, booktitle = {Canadian Conference on Computer Science {\&} Software Engineering, {C3S2E} 2009, Montreal, Quebec, Canada, May 19-21, 2009, Proceedings}, series = {{ACM} International Conference Proceeding Series}, pages = {161--168}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1557626.1557653}, doi = {10.1145/1557626.1557653}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/c3s2e/SharifimehrS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/GargSKRP09, author = {Rajat Garg and Seung Woo Son and Mahmut T. Kandemir and Padma Raghavan and Ramya Prabhakar}, editor = {Franck Cappello and Cho{-}Li Wang and Rajkumar Buyya}, title = {Markov Model Based Disk Power Management for Data Intensive Workloads}, booktitle = {9th {IEEE/ACM} International Symposium on Cluster Computing and the Grid, CCGrid 2009, Shanghai, China, 18-21 May 2009}, pages = {76--83}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CCGRID.2009.67}, doi = {10.1109/CCGRID.2009.67}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/GargSKRP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HolzeGR09, author = {Marc Holze and Claas Gaidies and Norbert Ritter}, editor = {David Wai{-}Lok Cheung and Il{-}Yeol Song and Wesley W. Chu and Xiaohua Hu and Jimmy Lin}, title = {Consistent on-line classification of dbs workload events}, booktitle = {Proceedings of the 18th {ACM} Conference on Information and Knowledge Management, {CIKM} 2009, Hong Kong, China, November 2-6, 2009}, pages = {1641--1644}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1645953.1646193}, doi = {10.1145/1645953.1646193}, timestamp = {Fri, 27 Aug 2021 11:13:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/HolzeGR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/KasimMS09, author = {Henry Kasim and Verdi March and Simon See}, title = {Performance Comparison of Four-Socket Server Architecture on {HPC} Workload}, booktitle = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, pages = {306--311}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSE.2009.258}, doi = {10.1109/CSE.2009.258}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/KasimMS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/FogliaPPS09, author = {Pierfrancesco Foglia and Francesco Panicucci and Cosimo Antonio Prete and Marco Solinas}, editor = {Antonio N{\'{u}}{\~{n}}ez and Pedro P. Carballo}, title = {An Evaluation of Behaviors of {S-NUCA} CMPs Running Scientific Workload}, booktitle = {12th Euromicro Conference on Digital System Design, Architectures, Methods and Tools, {DSD} 2009, 27-29 August 2009, Patras, Greece}, pages = {26--33}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DSD.2009.153}, doi = {10.1109/DSD.2009.153}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/FogliaPPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/MonfortG09, author = {Jordi Roca Monfort and Mark Grossman}, editor = {Stephen N. Spencer and David K. McAllister and Matt Pharr and Ingo Wald and David P. Luebke and Philipp Slusallek}, title = {Scaling of 3D game engine workloads on modern multi-GPU systems}, booktitle = {Proceedings of the {ACM} {SIGGRAPH/EUROGRAPHICS} Conference on High Performance Graphics 2009, New Orleans, Louisiana, USA, August 1-3, 2009}, pages = {37--46}, publisher = {Eurographics Association}, year = {2009}, url = {https://doi.org/10.2312/EGGH/HPG09/037-046}, doi = {10.2312/EGGH/HPG09/037-046}, timestamp = {Tue, 06 Nov 2018 11:06:57 +0100}, biburl = {https://dblp.org/rec/conf/egh/MonfortG09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/MarcoFAAFAMSFLF09, author = {Marco Cecchi and Capannini Fabio and Alvise Dorigo and Antonia Ghiselli and Francesco Giacomini and Alessandro Maraschini and Moreno Marzolla and Salvatore Monforte and Fabrizio Pacini and Luca Petronzio and Francesco Prelz}, editor = {Nabil Abdennadher and Dana Petcu}, title = {The gLite Workload Management System}, booktitle = {Advances in Grid and Pervasive Computing, 4th International Conference, {GPC} 2009, Geneva, Switzerland, May 4-8, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5529}, pages = {256--268}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01671-4\_24}, doi = {10.1007/978-3-642-01671-4\_24}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/gpc/MarcoFAAFAMSFLF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/Marshall09, author = {Sandra P. Marshall}, editor = {Vincent G. Duffy}, title = {What the Eyes Reveal: Measuring the Cognitive Workload of Teams}, booktitle = {Digital Human Modeling, Second International Conference, {ICDHM} 2009, Held as Part of {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5620}, pages = {265--274}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02809-0\_29}, doi = {10.1007/978-3-642-02809-0\_29}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/Marshall09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/TremouletCRWBSGC09, author = {Patrice D. Tremoulet and Patrick L. Craven and Susan Harkness Regli and Saki Wilcox and Joyce Barton and Kathleen Stibler and Adam Gifford and Marianne Clark}, editor = {Vincent G. Duffy}, title = {Workload-Based Assessment of a User Interface Design}, booktitle = {Digital Human Modeling, Second International Conference, {ICDHM} 2009, Held as Part of {HCI} International 2009, San Diego, CA, USA, July 19-24, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5620}, pages = {333--342}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02809-0\_36}, doi = {10.1007/978-3-642-02809-0\_36}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/TremouletCRWBSGC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/LingrandMMC09, author = {Diane Lingrand and Johan Montagnat and Janusz Martyniak and Dave Colling}, editor = {Eitan Frachtenberg and Uwe Schwiegelshohn}, title = {Analyzing the {EGEE} Production Grid Workload: Application to Jobs Submission Optimization}, booktitle = {Job Scheduling Strategies for Parallel Processing, 14th International Workshop, {JSSPP} 2009, Rome, Italy, May 29, 2009. Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {5798}, pages = {37--58}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04633-9\_3}, doi = {10.1007/978-3-642-04633-9\_3}, timestamp = {Fri, 27 Mar 2020 08:52:35 +0100}, biburl = {https://dblp.org/rec/conf/jsspp/LingrandMMC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/FreitasASN09, author = {Henrique C. Freitas and Marco A. Z. Alves and Lucas Mello Schnorr and Philippe Olivier Alexandre Navaux}, title = {Performance Evaluation of NoC Architectures for Parallel Workloads}, booktitle = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May 10-13 2009, La Jolla, CA, {USA.} Proceedings}, pages = {87}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NOCS.2009.5071450}, doi = {10.1109/NOCS.2009.5071450}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/FreitasASN09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntms/KhalidMAPPS09, author = {Omer Khalid and Ivo Maljevic and Richard J. Anthony and Miltos Petridis and Kevin Parrott and Markus Schulz}, editor = {Khaldoun Al Agha and Mohamad Badra and Gregory B. Newby}, title = {Dynamic Scheduling of Virtual Machines Running {HPC} Workloads in Scientific Grids}, booktitle = {{NTMS} 2009, 3rd International Conference on New Technologies, Mobility and Security, 20-23 December 2009, Cairo, Egypt}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/NTMS.2009.5384725}, doi = {10.1109/NTMS.2009.5384725}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ntms/KhalidMAPPS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/GalindoSMSGP09, author = {Hugo Estanislau Soares Galindo and Wagner Medeiros Santos and Paulo Romero Martins Maciel and Bruno Silva and Sergio Mario Lins Galdino and Jose Paulo Pires}, title = {Synthetic workload generation for capacity planning of virtual server environments}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, San Antonio, TX, USA, 11-14 October 2009}, pages = {2837--2842}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICSMC.2009.5346600}, doi = {10.1109/ICSMC.2009.5346600}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/GalindoSMSGP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vldb/AlbutiuK09, author = {Martina{-}Cezara Albutiu and Alfons Kemper}, editor = {Philippe Rigaux and Pierre Senellart}, title = {Synergy-based Workload Management}, booktitle = {Proceedings of the {VLDB} 2009 PhD Workshop. Co-located with the 35th International Conference on Very Large Data Bases {(VLDB} 2009). Lyon, France, August 24, 2009}, publisher = {{VLDB} Endowment}, year = {2009}, url = {http://www.vldb.org/pvldb/2/vldb09-21.pdf}, timestamp = {Mon, 17 Sep 2012 21:56:37 +0200}, biburl = {https://dblp.org/rec/conf/vldb/AlbutiuK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/EiseltM08, author = {Horst A. Eiselt and Vladimir Marianov}, title = {Employee positioning and workload allocation}, journal = {Comput. Oper. Res.}, volume = {35}, number = {2}, pages = {513--524}, year = {2008}, url = {https://doi.org/10.1016/j.cor.2006.03.014}, doi = {10.1016/J.COR.2006.03.014}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/EiseltM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/debu/KrompassSAKWDK08, author = {Stefan Krompass and Andreas Scholz and Martina{-}Cezara Albutiu and Harumi A. Kuno and Janet L. Wiener and Umeshwar Dayal and Alfons Kemper}, title = {Quality of Service-enabled Management of Database Workloads}, journal = {{IEEE} Data Eng. Bull.}, volume = {31}, number = {1}, pages = {20--27}, year = {2008}, url = {http://sites.computer.org/debull/A08mar/krompass.pdf}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/debu/KrompassSAKWDK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/ElnaffarMSL08, author = {Said Elnaffar and Patrick Martin and Berni Schiefer and Sam Lightstone}, title = {Is it {DSS} or {OLTP:} automatically identifying {DBMS} workloads}, journal = {J. Intell. Inf. Syst.}, volume = {30}, number = {3}, pages = {249--271}, year = {2008}, url = {https://doi.org/10.1007/s10844-006-0036-6}, doi = {10.1007/S10844-006-0036-6}, timestamp = {Mon, 20 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jiis/ElnaffarMSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/LiY08, author = {Ning Li and Shun{-}Zheng Yu}, editor = {Qiang Wu and Xiangjian He and Quang Vinh Nguyen and Wenjing Jia and Mao Lin Huang}, title = {Periodic hidden Markov model-based workload clustering and characterization}, booktitle = {Proceedings of 8th {IEEE} International Conference on Computer and Information Technology, {CIT} 2008, Sydney, Australia, July 8-11, 2008}, pages = {378--383}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CIT.2008.4594705}, doi = {10.1109/CIT.2008.4594705}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcit/LiY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adbis/HolzeR08, author = {Marc Holze and Norbert Ritter}, editor = {Paolo Atzeni and Albertas Caplinskas and Hannu Jaakkola}, title = {Autonomic Databases: Detection of Workload Shifts with n-Gram-Models}, booktitle = {Advances in Databases and Information Systems, 12th East European Conference, {ADBIS} 2008, Pori, Finland, September 5-9, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5207}, pages = {127--142}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85713-6\_10}, doi = {10.1007/978-3-540-85713-6\_10}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/adbis/HolzeR08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/OdagiriMTYTI08, author = {Kazuya Odagiri and Giuseppe De Marco and Nao Tanoue and Rihito Yaegashi and Masaharu Tadauchi and Naohiro Ishii}, title = {Evaluation of the Processing Workload for Two Models of Communication Control in {IP} Networks}, booktitle = {22nd International Conference on Advanced Information Networking and Applications, {AINA} 2008, GinoWan, Okinawa, Japan, March 25-28, 2008}, pages = {348--354}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/AINA.2008.63}, doi = {10.1109/AINA.2008.63}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/OdagiriMTYTI08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cascon/PowleyMB08, author = {Wendy Powley and Patrick Martin and Paul Bird}, editor = {Marsha Chechik and Mark R. Vigder and Darlene A. Stewart}, title = {{DBMS} workload control using throttling: experimental insights}, booktitle = {Proceedings of the 2008 conference of the Centre for Advanced Studies on Collaborative Research, October 27-30, 2008, Richmond Hill, Ontario, Canada}, pages = {1}, publisher = {{IBM}}, year = {2008}, url = {https://doi.org/10.1145/1463788.1463790}, doi = {10.1145/1463788.1463790}, timestamp = {Fri, 30 Nov 2018 02:24:54 +0100}, biburl = {https://dblp.org/rec/conf/cascon/PowleyMB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/TodriMK08, author = {Aida Todri and Malgorzata Marek{-}Sadowska and Joseph N. Kozhaya}, editor = {Sani R. Nassif and Jaijeet S. Roychowdhury}, title = {Power supply noise aware workload assignment for multi-core systems}, booktitle = {2008 International Conference on Computer-Aided Design, {ICCAD} 2008, San Jose, CA, USA, November 10-13, 2008}, pages = {330--337}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCAD.2008.4681594}, doi = {10.1109/ICCAD.2008.4681594}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/TodriMK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/SharifimehrS08, author = {Nima Sharifimehr and Samira Sadaoui}, editor = {Jos{\'{e}} Cordeiro and Joaquim Filipe}, title = {Dynamic Semi-Markovian Workload Modeling}, booktitle = {{ICEIS} 2008 - Proceedings of the Tenth International Conference on Enterprise Information Systems, Volume DISI, Barcelona, Spain, June 12-16, 2008}, pages = {125--130}, year = {2008}, timestamp = {Tue, 08 Sep 2009 16:03:39 +0200}, biburl = {https://dblp.org/rec/conf/iceis/SharifimehrS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/BecchiFC08, author = {Michela Becchi and Mark A. Franklin and Patrick Crowley}, editor = {David Christie and Alan Lee and Onur Mutlu and Benjamin G. Zorn}, title = {A workload for evaluating deep packet inspection architectures}, booktitle = {4th International Symposium on Workload Characterization {(IISWC} 2008), Seattle, Washington, USA, September 14-16, 2008}, pages = {79--89}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/IISWC.2008.4636093}, doi = {10.1109/IISWC.2008.4636093}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/BecchiFC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispdc/BeltranG08, author = {Marta Beltr{\'{a}}n and Antonio Guzm{\'{a}}n}, title = {Designing Load Balancing Algorithms Capable of Dealing with Workload Variability}, booktitle = {7th International Symposium on Parallel and Distributed Computing {(ISPDC} 2008), 1-5 July 2008, Krakow, Poland}, pages = {107--114}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISPDC.2008.13}, doi = {10.1109/ISPDC.2008.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/BeltranG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/YounsiGPLS08, author = {Karine Younsi and Jean{-}Marc Girard and Jean{-}Christophe Popieul and Pierre Loslever and Philippe Simon}, title = {Improving safety through online driver workload assessment}, booktitle = {11th International {IEEE} Conference on Intelligent Transportation Systems, {ITSC} 2008, Beijing, China, 12-15 October 2008}, pages = {1107--1112}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ITSC.2008.4732572}, doi = {10.1109/ITSC.2008.4732572}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/YounsiGPLS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Albayraktaroglu07, author = {Kursad Albayraktaroglu}, title = {Characterizing and Accelerating Bioinformatics Workloads on Modern Microarchitectures}, school = {University of Maryland, College Park, MD, {USA}}, year = {2007}, url = {https://hdl.handle.net/1903/6828}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Albayraktaroglu07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/LoftSNM07, author = {Shayne Loft and Penelope Sanderson and Andrew Neal and Martijn Mooij}, title = {Modeling and Predicting Mental Workload in En Route Air Traffic Control: Critical Review and Broader Implications}, journal = {Hum. Factors}, volume = {49}, number = {3}, pages = {376--399}, year = {2007}, url = {https://doi.org/10.1518/001872007X197017}, doi = {10.1518/001872007X197017}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/LoftSNM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/GomesCAAM07, author = {Lu{\'{\i}}z Henrique Gomes and Cristiano Cazita and Jussara M. Almeida and Virg{\'{\i}}lio A. F. Almeida and Wagner Meira Jr.}, title = {Workload models of spam and legitimate e-mails}, journal = {Perform. Evaluation}, volume = {64}, number = {7-8}, pages = {690--714}, year = {2007}, url = {https://doi.org/10.1016/j.peva.2006.11.001}, doi = {10.1016/J.PEVA.2006.11.001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pe/GomesCAAM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/CaiSAR07, author = {Yuan Cai and Marcus T. Schmitz and Bashir M. Al{-}Hashimi and Sudhakar M. Reddy}, title = {Workload-ahead-driven online energy minimization techniques for battery-powered embedded systems with time-constraints}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {12}, number = {1}, pages = {5:1--5:24}, year = {2007}, url = {https://doi.org/10.1145/1217088.1217093}, doi = {10.1145/1217088.1217093}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/CaiSAR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/HolzeR07, author = {Marc Holze and Norbert Ritter}, editor = {Aparna S. Varde and Jian Pei}, title = {Towards workload shift detection and prediction for autonomic databases}, booktitle = {Proceedings of the First Ph.D. Workshop in CIKM, {PIKM} 2007, Sixteenth {ACM} Conference on Information and Knowledge Management, {CIKM} 2007, Lisbon, Portugal, November 9, 2007}, pages = {109--116}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1316874.1316892}, doi = {10.1145/1316874.1316892}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/HolzeR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gca/OteroC07, author = {Beatriz Otero and Jos{\'{e}} M. Cela}, editor = {Hamid R. Arabnia}, title = {A Workload Distribution Pattern for Grid Environments}, booktitle = {Proceedings of the 2007 International Conference on Grid Computing {\&} Applications, {GCA} 2007, Las Vegas, Nevada, USA, June 25-28, 2007}, pages = {56--62}, publisher = {{CSREA} Press}, year = {2007}, timestamp = {Thu, 03 Jan 2008 09:21:54 +0100}, biburl = {https://dblp.org/rec/conf/gca/OteroC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/YoungSWJS07, author = {Mark S. Young and Neville A. Stanton and Guy H. Walker and Daniel P. Jenkins and Paul M. Salmon}, editor = {Don Harris}, title = {Mental Workload in Command and Control Teams: Musings on the Outputs of {EAST} and {WESTT}}, booktitle = {Engineering Psychology and Cognitive Ergonomics, 7th International Conference, {EPCE} 2007, Held as Part of {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4562}, pages = {455--464}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73331-7\_50}, doi = {10.1007/978-3-540-73331-7\_50}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/YoungSWJS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/NiuMPBH07, author = {Baoning Niu and Patrick Martin and Wendy Powley and Paul Bird and Randy Horman}, title = {Adapting Mixed Workloads to Meet SLOs in Autonomic DBMSs}, booktitle = {Proceedings of the 23rd International Conference on Data Engineering Workshops, {ICDE} 2007, 15-20 April 2007, Istanbul, Turkey}, pages = {478--484}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICDEW.2007.4401031}, doi = {10.1109/ICDEW.2007.4401031}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/NiuMPBH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/TeisanuLCZKZ07, author = {Adrian M. Teisanu and Sam Lightstone and Mariano P. Consens and Daniel C. Zilio and Mokhtar Kandil and Calisto Zuzarte}, title = {Problem definition for effective workload management}, booktitle = {Proceedings of the 23rd International Conference on Data Engineering Workshops, {ICDE} 2007, 15-20 April 2007, Istanbul, Turkey}, pages = {492--497}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICDEW.2007.4401033}, doi = {10.1109/ICDEW.2007.4401033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/TeisanuLCZKZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceis/BenschBRBSB07, author = {Michael Bensch and Dominik Brugger and Wolfgang Rosenstiel and Martin Bogdan and Wilhelm G. Spruth and Peter Baeuerle}, editor = {Jorge Cardoso and Jos{\'{e}} Cordeiro and Joaquim Filipe}, title = {Self-Learning Prediction System for Optimisation of Workload Management in a Mainframe Operating System}, booktitle = {{ICEIS} 2007 - Proceedings of the Ninth International Conference on Enterprise Information Systems, Volume AIDSS, Funchal, Madeira, Portugal, June 12-16, 2007}, pages = {212--218}, year = {2007}, timestamp = {Thu, 03 Feb 2022 09:27:49 +0100}, biburl = {https://dblp.org/rec/conf/iceis/BenschBRBSB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifachms/GirardYTP07, author = {Jean{-}Marc Girard and Karine Younsi and Nicolas J. Tricot and Jean{-}Christophe Popieul}, editor = {Daihwan Min}, title = {Towards a real time workload of the driver: The analysis of driving performance evolution under overloaded conditions}, booktitle = {10th {IFAC/IFIP/IFORS/IEA} Symposium on Analysis, Design, and Evaluation of Human-Machine Systems, Seoul, Korea, September 4-6, 2007}, pages = {286--291}, publisher = {International Federation of Automatic Control}, year = {2007}, url = {https://doi.org/10.3182/20070904-3-KR-2922.00050}, doi = {10.3182/20070904-3-KR-2922.00050}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifachms/GirardYTP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifachms/YoshimuraMOHM07, author = {Kenji Yoshimura and Nobuo Mitomo and Tadatsugi Okazaki and Kenjiro Hikida and Koji Murai}, editor = {Daihwan Min}, title = {Evaluating the mariner's workload using the bridge simulator}, booktitle = {10th {IFAC/IFIP/IFORS/IEA} Symposium on Analysis, Design, and Evaluation of Human-Machine Systems, Seoul, Korea, September 4-6, 2007}, pages = {57--60}, publisher = {International Federation of Automatic Control}, year = {2007}, url = {https://doi.org/10.3182/20070904-3-KR-2922.00010}, doi = {10.3182/20070904-3-KR-2922.00010}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifachms/YoshimuraMOHM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiswc/SachdevaSSC07, author = {Vipin Sachdeva and Evan Speight and Mark W. Stephenson and Lei Chen}, title = {Characterizing and Improving the Performance of Bioinformatics Workloads on the {POWER5} Architecture}, booktitle = {{IEEE} 10th International Symposium on Workload Characterization, {IISWC} 2007, Boston, MA, USA, 27-29 September, 2007}, pages = {89--97}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/IISWC.2007.4362184}, doi = {10.1109/IISWC.2007.4362184}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiswc/SachdevaSSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/TzagkarakisPT07, author = {George Tzagkarakis and Maria Papadopouli and Panagiotis Tsakalides}, editor = {Carla{-}Fabiana Chiasserini and Nael B. Abu{-}Ghazaleh and Sotiris E. Nikoletseas}, title = {Singular spectrum analysis of traffic workload in a large-scale wireless lan}, booktitle = {Proceedings of the 10th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2007, Chania, Crete Island, Greece, October 22-26, 2007}, pages = {99--108}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1298126.1298146}, doi = {10.1145/1298126.1298146}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mswim/TzagkarakisPT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/ThompsonP07, author = {Mark Thompson and Andy D. Pimentel}, editor = {Stamatis Vassiliadis and Mladen Berekovic and Timo D. H{\"{a}}m{\"{a}}l{\"{a}}inen}, title = {Towards Multi-application Workload Modeling in Sesame for System-Level Design Space Exploration}, booktitle = {Embedded Computer Systems: Architectures, Modeling, and Simulation, 7th International Workshop, {SAMOS} 2007, Samos, Greece, July 16-19, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4599}, pages = {222--232}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73625-7\_24}, doi = {10.1007/978-3-540-73625-7\_24}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/samos/ThompsonP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/BhadraLS07, author = {Sandeep Bhadra and Yingdong Lu and Mark S. Squillante}, editor = {Leana Golubchik and Mostafa H. Ammar and Mor Harchol{-}Balter}, title = {Optimal capacity planning in stochastic loss networks with time-varying workloads}, booktitle = {Proceedings of the 2007 {ACM} {SIGMETRICS} International Conference on Measurement and Modeling of Computer Systems, {SIGMETRICS} 2007, San Diego, California, USA, June 12-16, 2007}, pages = {227--238}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1254882.1254909}, doi = {10.1145/1254882.1254909}, timestamp = {Fri, 30 Jul 2021 16:13:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/BhadraLS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soqua/CotroneoPMP07, author = {Domenico Cotroneo and Roberto Pietrantuono and Leonardo Mariani and Fabrizio Pastore}, editor = {Mauro Pezz{\`{e}}}, title = {Investigation of failure causes in workload-driven reliability testing}, booktitle = {Fourth International Workshop on Software Quality Assurance, {SOQUA} 2007, in conjunction with the 6th {ESEC/FSE} joint meeting, Dubrovnik, Croatia, September 3-4, 2007}, pages = {78--85}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1295074.1295089}, doi = {10.1145/1295074.1295089}, timestamp = {Sun, 02 Oct 2022 16:15:55 +0200}, biburl = {https://dblp.org/rec/conf/soqua/CotroneoPMP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/xsym/ConsensR07, author = {Mariano P. Consens and Flavio Rizzolo}, editor = {Denilson Barbosa and Angela Bonifati and Zohra Bellahsene and Ela Hunt and Rainer Unland}, title = {Fast Answering of XPath Query Workloads on Web Collections}, booktitle = {Database and XMLTechnologies, 5th International {XML} Database Symposium, XSym 2007, Vienna, Austria, September 23-24, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4704}, pages = {31--45}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75288-2\_4}, doi = {10.1007/978-3-540-75288-2\_4}, timestamp = {Fri, 13 Jan 2023 16:47:12 +0100}, biburl = {https://dblp.org/rec/conf/xsym/ConsensR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bams/GroborzJG06, author = {Anna Groborz and Tadeusz Juliszewski and Marcin Gonciarz}, title = {Analysis of workloads on the basis of the heart rate index and {OWAS} method}, journal = {Bio Algorithms Med Syst.}, volume = {1}, number = {1-2}, pages = {291--296}, year = {2006}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bams/GroborzJG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/CamineroCQDY06, author = {Mar{\'{\i}}a Blanca Caminero and Carmen Carri{\'{o}}n and Francisco J. Quiles and Jos{\'{e}} Duato and Sudhakar Yalamanchili}, title = {{MMR:} {A} MultiMedia Router architecture to support hybrid workloads}, journal = {J. Parallel Distributed Comput.}, volume = {66}, number = {2}, pages = {307--321}, year = {2006}, url = {https://doi.org/10.1016/j.jpdc.2005.10.002}, doi = {10.1016/J.JPDC.2005.10.002}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/CamineroCQDY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PetitSPK06, author = {Salvador Petit and Julio Sahuquillo and Ana Pont and David R. Kaeli}, title = {Addressing a workload characterization study to the design of consistency protocols}, journal = {J. Supercomput.}, volume = {38}, number = {1}, pages = {49--72}, year = {2006}, url = {https://doi.org/10.1007/s11227-006-7866-4}, doi = {10.1007/S11227-006-7866-4}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/PetitSPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cascon/NiuMPHB06, author = {Baoning Niu and Patrick Martin and Wendy Powley and Randy Horman and Paul Bird}, editor = {Hakan Erdogmus and Eleni Stroulia and Darlene A. Stewart}, title = {Workload adaptation in autonomic DBMSs}, booktitle = {Proceedings of the 2006 conference of the Centre for Advanced Studies on Collaborative Research, October 16-19, 2006, Toronto, Ontario, Canada}, pages = {161--173}, publisher = {{IBM}}, year = {2006}, url = {https://doi.org/10.1145/1188966.1188984}, doi = {10.1145/1188966.1188984}, timestamp = {Fri, 30 Nov 2018 02:24:54 +0100}, biburl = {https://dblp.org/rec/conf/cascon/NiuMPHB06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/Gomez-VillamorMPTRL06, author = {Sergio G{\'{o}}mez{-}Villamor and Victor Munt{\'{e}}s{-}Mulero and Marta P{\'{e}}rez{-}Casany and John Tran and Steve Rees and Josep Llu{\'{\i}}s Larriba{-}Pey}, editor = {Wolfgang E. Nagel and Wolfgang V. Walter and Wolfgang Lehner}, title = {IOAgent: {A} Parallel {I/O} Workload Generator}, booktitle = {Euro-Par 2006, Parallel Processing, 12th International Euro-Par Conference, Dresden, Germany, August 28 - September 1, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4128}, pages = {3--14}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11823285\_2}, doi = {10.1007/11823285\_2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/Gomez-VillamorMPTRL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/SilbersteinGSL06, author = {Mark Silberstein and Dan Geiger and Assaf Schuster and Miron Livny}, title = {Scheduling Mixed Workloads in Multi-grids: The Grid Execution Hierarchy}, booktitle = {Proceedings of the 15th {IEEE} International Symposium on High Performance Distributed Computing, HPDC-15, Paris, France, June 19-23, 2006}, pages = {291--302}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/HPDC.2006.1652160}, doi = {10.1109/HPDC.2006.1652160}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/SilbersteinGSL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icas/MartinEW06, author = {Patrick Martin and Said Elnaffar and Ted J. Wasserman}, title = {Workload Models for Autonomic Database Management Systems}, booktitle = {2006 International Conference on Autonomic and Autonomous Systems {(ICAS} 2006), 16-21 July 2006, Silicon Valley, California, {USA}}, pages = {10}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICAS.2006.64}, doi = {10.1109/ICAS.2006.64}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icas/MartinEW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/MorilloOF06, author = {Pedro Morillo and Juan M. Ordu{\~{n}}a and Marcos Fern{\'{a}}ndez}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Workload Characterization in Multiplayer Online Games}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3980}, pages = {490--499}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751540\_52}, doi = {10.1007/11751540\_52}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/MorilloOF06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SleimanLS06, author = {Marwan S. Sleiman and Lester Lipsky and Robert Sheahan}, title = {Dynamic resource allocation of computer clusters with probabilistic workloads}, booktitle = {20th International Parallel and Distributed Processing Symposium {(IPDPS} 2006), Proceedings, 25-29 April 2006, Rhodes Island, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IPDPS.2006.1639673}, doi = {10.1109/IPDPS.2006.1639673}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SleimanLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/GirardYTP06, author = {Jean{-}Marc Girard and Karine Younsi and Nicolas J. Tricot and Jean{-}Christophe Popieul}, title = {When Does the Driver Workload Reaches Its Limits?}, booktitle = {{IEEE} Intelligent Transportation Systems Conference, {ITSC} 2006, Toronto, Ontario, Canada, 17-20 September 2006}, pages = {578--583}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ITSC.2006.1706803}, doi = {10.1109/ITSC.2006.1706803}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/GirardYTP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/otm/Vazquez-PolettiHML06, author = {Jos{\'{e}} Luis V{\'{a}}zquez{-}Poletti and Eduardo Huedo and Rub{\'{e}}n S. Montero and Ignacio Mart{\'{\i}}n Llorente}, editor = {Robert Meersman and Zahir Tari}, title = {A Comparative Analysis Between {EGEE} and Grid\emph{W}ay Workload Management Systems}, booktitle = {On the Move to Meaningful Internet Systems 2006: CoopIS, DOA, GADA, and ODBASE, {OTM} Confederated International Conferences, CoopIS, DOA, GADA, and {ODBASE} 2006, Montpellier, France, October 29 - November 3, 2006. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4276}, pages = {1143--1151}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11914952\_5}, doi = {10.1007/11914952\_5}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/otm/Vazquez-PolettiHML06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/policy/BoughtonMPH06, author = {Harley Boughton and Patrick Martin and Wendy Powley and Randy Horman}, title = {Workload Class Importance Policy in Autonomic Database Management Systems}, booktitle = {7th {IEEE} International Workshop on Policies for Distributed Systems and Networks {(POLICY} 2006), 5-7 June 2006, London, Ontario, Canada}, pages = {13--22}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/POLICY.2006.39}, doi = {10.1109/POLICY.2006.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/policy/BoughtonMPH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secrypt/GarciaNO06, author = {Juan Manuel Garc{\'{\i}}a and Tom{\'{a}}s Navarrete and Carlos Orozco}, editor = {Manu Malek and Eduardo Fern{\'{a}}ndez{-}Medina and Javier Hernando}, title = {Workload Hidden Markov Model for Anomaly Detection}, booktitle = {{SECRYPT} 2006, Proceedings of the International Conference on Security and Cryptography, Set{\'{u}}bal, Portugal, August 7-10, 2006, {SECRYPT} is part of {ICETE} - The International Joint Conference on e-Business and Telecommunications}, pages = {56--59}, publisher = {{INSTICC} Press}, year = {2006}, timestamp = {Thu, 28 Sep 2006 10:10:32 +0200}, biburl = {https://dblp.org/rec/conf/secrypt/GarciaNO06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wicon/Hernandez-Campos06, author = {F{\'{e}}lix Hern{\'{a}}ndez{-}Campos and Merkourios Karaliopoulos and Maria Papadopouli and Haipeng Shen}, editor = {Maria Papadopouli}, title = {Spatio-temporal modeling of traffic workload in a campus {WLAN}}, booktitle = {2nd International {ICST} Conference on Wireless Internet, {WICON} 2006, Boston, MA, USA, August 2-5, 2006}, pages = {1}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1234161.1234162}, doi = {10.1145/1234161.1234162}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wicon/Hernandez-Campos06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/IsciBM05, author = {Canturk Isci and Alper Buyuktosunoglu and Margaret Martonosi}, title = {Long-Term Workload Phases: Duration Predictions and Applications to {DVFS}}, journal = {{IEEE} Micro}, volume = {25}, number = {5}, pages = {39--51}, year = {2005}, url = {https://doi.org/10.1109/MM.2005.93}, doi = {10.1109/MM.2005.93}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/IsciBM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ors/EbbenHW05, author = {Mark J. R. Ebben and Erwin W. Hans and F. M. Olde Weghuis}, title = {Workload based order acceptance in job shop environments}, journal = {{OR} Spectr.}, volume = {27}, number = {1}, pages = {107--122}, year = {2005}, url = {https://doi.org/10.1007/s00291-004-0171-9}, doi = {10.1007/S00291-004-0171-9}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ors/EbbenHW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecctd/KalyanaramanMSS05a, author = {Vijayakumar Kalyanaraman and Matthias M{\"{u}}ller and Sven Simon and Mario Steinert and Holger Gryska}, title = {Power reduction of ASIPs by distributing the workload on several ASIP-instances}, booktitle = {Proceedings of the 2005 European Conference on Circuit Theory and Design, {ECCTD} 2005, Cork, Ireland, August 29th - September 1st 2005}, pages = {457--460}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ECCTD.2005.1523159}, doi = {10.1109/ECCTD.2005.1523159}, timestamp = {Thu, 28 Mar 2024 11:30:41 +0100}, biburl = {https://dblp.org/rec/conf/ecctd/KalyanaramanMSS05a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/MuthukrishnanSZ05, author = {S. Muthukrishnan and Martin Strauss and Xuan Zheng}, editor = {Gerth St{\o}lting Brodal and Stefano Leonardi}, title = {Workload-Optimal Histograms on Streams}, booktitle = {Algorithms - {ESA} 2005, 13th Annual European Symposium, Palma de Mallorca, Spain, October 3-6, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3669}, pages = {734--745}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11561071\_65}, doi = {10.1007/11561071\_65}, timestamp = {Tue, 27 Dec 2022 09:06:31 +0100}, biburl = {https://dblp.org/rec/conf/esa/MuthukrishnanSZ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RuggieroABB05, author = {Martino Ruggiero and Andrea Acquaviva and Davide Bertozzi and Luca Benini}, title = {Application-Specific Power-Aware Workload Allocation for Voltage Scalable MPSoC Platforms}, booktitle = {23rd International Conference on Computer Design {(ICCD} 2005), 2-5 October 2005, San Jose, CA, {USA}}, pages = {87--93}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCD.2005.24}, doi = {10.1109/ICCD.2005.24}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RuggieroABB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ishpc/VerduNGV05, author = {Javier Verd{\'{u}} and Mario Nemirovsky and Jorge Garc{\'{\i}}a{-}Vidal and Mateo Valero}, editor = {Jes{\'{u}}s Labarta and Kazuki Joe and Toshinori Sato}, title = {Workload Characterization of Stateful Networking Applications}, booktitle = {High-Performance Computing - 6th International Symposium, {ISHPC} 2005, Nara, Japan, September 7-9, 2005, First International Workshop on Advanced Low Power Systems, {ALPS} 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4759}, pages = {130--141}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-77704-5\_11}, doi = {10.1007/978-3-540-77704-5\_11}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/ishpc/VerduNGV05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/Hernandez-CamposP05, author = {F{\'{e}}lix Hern{\'{a}}ndez{-}Campos and Maria Papadopouli}, title = {A comparative measurement study the workload of wireless access points in campus networks}, booktitle = {Proceedings of the {IEEE} 16th International Symposium on Personal, Indoor and Mobile Radio Communications, Berlin, Germany, September 11-14, 2005}, pages = {1776--1780}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/PIMRC.2005.1651747}, doi = {10.1109/PIMRC.2005.1651747}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/Hernandez-CamposP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/Hansen05, author = {Martin B. Hansen}, title = {Nonparametric estimation of the stationary \emph{M/G}/1 workload distribution function}, booktitle = {Proceedings of the 37th Winter Simulation Conference, Orlando, FL, USA, December 4-7, 2005}, pages = {869--877}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WSC.2005.1574334}, doi = {10.1109/WSC.2005.1574334}, timestamp = {Thu, 10 Jun 2021 22:18:45 +0200}, biburl = {https://dblp.org/rec/conf/wsc/Hansen05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/DeharbeRV07, author = {David D{\'{e}}harbe and Silvio Ranise and Jorgiano Vidal}, editor = {Augusto Sampaio}, title = {Distributing the Workload in a Lazy Theorem-Prover}, booktitle = {Proceedings of the Second Brazilian Symposium on Formal Methods, {SBMF} 2005, Porto Alegre, RS, Brazil, November 30, 2005}, series = {Electronic Notes in Theoretical Computer Science}, volume = {184}, pages = {21--37}, publisher = {Elsevier}, year = {2005}, url = {https://doi.org/10.1016/j.entcs.2007.03.013}, doi = {10.1016/J.ENTCS.2007.03.013}, timestamp = {Tue, 24 Jan 2023 14:02:40 +0100}, biburl = {https://dblp.org/rec/journals/entcs/DeharbeRV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/grid/AvellinoBCMPSTCGRGMPSGPWKKMMPRSSSVMPMP04, author = {Giuseppe Avellino and Stefano Beco and Barbara Cantalupo and Alessandro Maraschini and Fabrizio Pacini and Massimo Sottilaro and Annalisa Terracina and D. Colling and Francesco Giacomini and Elisabetta Ronchieri and Alessio Gianelle and Mirco Mazzucato and Rosario Peluso and Massimo Sgaravatto and Andrea Guarise and Rosario M. Piro and Albert Werbrouck and Daniel Kouril and Ales Krenek and Ludek Matyska and Milos Mulac and Jir{\'{\i}} Posp{\'{\i}}sil and Miroslav Ruda and Zdenek Salvet and Jir{\'{\i}} Sitera and J. Skrabal and Michal Vocu and M. Mezzadri and Francesco Prelz and Salvatore Monforte and Marco Pappalardo}, title = {The DataGrid Workload Management System: Challenges and Results}, journal = {J. Grid Comput.}, volume = {2}, number = {4}, pages = {353--367}, year = {2004}, url = {https://doi.org/10.1007/s10723-005-0150-7}, doi = {10.1007/S10723-005-0150-7}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/grid/AvellinoBCMPSTCGRGMPSGPWKKMMPRSSSVMPMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/BranovicGM04, author = {Irina Branovic and Roberto Giorgi and Enrico Martinelli}, title = {A workload characterization of elliptic curve cryptography methods in embedded environments}, journal = {{SIGARCH} Comput. Archit. News}, volume = {32}, number = {3}, pages = {27--34}, year = {2004}, url = {https://doi.org/10.1145/1024295.1024299}, doi = {10.1145/1024295.1024299}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/BranovicGM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cascon/WassermanMR04, author = {Ted J. Wasserman and Patrick Martin and Haider Rizvi}, editor = {Hanan Lutfiyya and Janice Singer and Darlene A. Stewart}, title = {Sizing {DB2} UDB\({}^{\mbox{{\textregistered}}}\) servers for business intelligence workloads}, booktitle = {Proceedings of the 2004 conference of the Centre for Advanced Studies on Collaborative research, October 5-7, 2004, Markham, Ontario, Canada}, pages = {135--149}, publisher = {{IBM}}, year = {2004}, url = {https://dl.acm.org/citation.cfm?id=1034925}, timestamp = {Fri, 30 Nov 2018 02:24:54 +0100}, biburl = {https://dblp.org/rec/conf/cascon/WassermanMR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dolap/WassermanMSR04, author = {Ted J. Wasserman and Patrick Martin and David B. Skillicorn and Haider Rizvi}, editor = {Il{-}Yeol Song and Karen C. Davis}, title = {Developing a characterization of business intelligence workloads for sizing new database systems}, booktitle = {{DOLAP} 2004, {ACM} Seventh International Workshop on Data Warehousing and OLAP, Washington, DC, USA, November 12-13, 2004, Proceedings}, pages = {7--13}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1031763.1031766}, doi = {10.1145/1031763.1031766}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dolap/WassermanMSR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iptps/FessantHKM04, author = {Fabrice Le Fessant and Sidath B. Handurukande and Anne{-}Marie Kermarrec and Laurent Massouli{\'{e}}}, editor = {Geoffrey M. Voelker and Scott Shenker}, title = {Clustering in Peer-to-Peer File Sharing Workloads}, booktitle = {Peer-to-Peer Systems III, Third International Workshop, {IPTPS} 2004, La Jolla, CA, USA, February 26-27, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3279}, pages = {217--226}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30183-7\_21}, doi = {10.1007/978-3-540-30183-7\_21}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/iptps/FessantHKM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/SongEY04, author = {Baiyi Song and Carsten Ernemann and Ramin Yahyapour}, editor = {Dror G. Feitelson and Larry Rudolph and Uwe Schwiegelshohn}, title = {Parallel Computer Workload Modeling with Markov Chains}, booktitle = {Job Scheduling Strategies for Parallel Processing, 10th International Workshop, {JSSPP} 2004, New York, NY, USA, June 13, 2004, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3277}, pages = {47--62}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/11407522\_3}, doi = {10.1007/11407522\_3}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/jsspp/SongEY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmb/TraczinskiLH04, author = {Daniela Traczinski and Wolfram Luther and Gerhard Ha{\ss}linger}, editor = {Peter Buchholz and Ralf Lehnert and Michal Pi{\'{o}}ro}, title = {Computing the Workload of Discrete Time Semi-Markov Servers with Result Verification}, booktitle = {{MMB} {\&} {PGTS} 2004, 12th {GI/ITG} Conference on Measuring and Evaluation of Computer and Communication Systems {(MMB)} together with 3rd Polish-German Teletraffic Symposium (PGTS), September 12-15, 2004, Dresden, Germany}, pages = {245--254}, publisher = {{VDE} Verlag}, year = {2004}, timestamp = {Tue, 25 Sep 2018 18:26:26 +0200}, biburl = {https://dblp.org/rec/conf/mmb/TraczinskiLH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/PetitSPK04, author = {Salvador Petit and Julio Sahuquillo and Ana Pont and David R. Kaeli}, title = {Characterizing the Dynamic Behavior of Workload Execution in {SVM} systems}, booktitle = {16th Symposium on Computer Architecture and High Performance Computing {(SBAC-PAD} 2004), 27-29 October 2004, Foz do Iguacu, Brazil}, pages = {230--237}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/SBAC-PAD.2004.12}, doi = {10.1109/SBAC-PAD.2004.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/PetitSPK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wosp/AntonatosAM04, author = {Spyros Antonatos and Kostas G. Anagnostakis and Evangelos P. Markatos}, editor = {Jozo J. Dujmovic and Virg{\'{\i}}lio A. F. Almeida and Doug Lea}, title = {Generating realistic workloads for network intrusion detection systems}, booktitle = {Proceedings of the Fourth International Workshop on Software and Performance, {WOSP} 2004, Redwood Shores, California, USA, January 14-16, 2004}, pages = {207--215}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/974044.974078}, doi = {10.1145/974044.974078}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wosp/AntonatosAM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/04/KadayifKVIK04, author = {Ismail Kadayif and Mahmut T. Kandemir and Narayanan Vijaykrishnan and Mary Jane Irwin and Ibrahim Kolcu}, editor = {Enrico Macii}, title = {Reducing Energy Consumption in Chip Multiprocessors Using Workload Variations}, booktitle = {Ultra Low-Power Electronics and Design}, pages = {123--140}, publisher = {Kluwer / Springer}, year = {2004}, url = {https://doi.org/10.1007/1-4020-8076-X\_7}, doi = {10.1007/1-4020-8076-X\_7}, timestamp = {Tue, 02 Jul 2019 15:41:00 +0200}, biburl = {https://dblp.org/rec/books/sp/04/KadayifKVIK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/PrinzelFSMP03, author = {Lawrence J. Prinzel and Frederick G. Freeman and Mark W. Scerbo and Peter J. Mikulka and Alan T. Pope}, title = {Effects of a Psychophysiological System for Adaptive Automation on Performance, Workload, and the Event-Related Potential {P300} Component}, journal = {Hum. Factors}, volume = {45}, number = {4}, pages = {601--614}, year = {2003}, url = {https://doi.org/10.1518/hfes.45.4.601.27092}, doi = {10.1518/HFES.45.4.601.27092}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/PrinzelFSMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmg/CuiMP03, author = {Xilin Cui and Patrick Martin and Wendy Powley}, title = {A Study of Capacity Planning for Database Management Systems with {OLAP} Workloads}, booktitle = {29th International Computer Measurement Group Conference, December 7-12, 2003, Dallas, Texas, USA, Proceedings}, pages = {515--526}, publisher = {Computer Measurement Group}, year = {2003}, url = {http://www.cmg.org/?s2member\_file\_download=/proceedings/2003/3095.pdf}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cmg/CuiMP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecsqaru/LiuHA03, author = {Weiru Liu and Xin Hong and Kenneth Adamson}, editor = {Thomas D. Nielsen and Nevin Lianwen Zhang}, title = {Computational-Workload Based Binarization and Partition of Qualitative Markov Trees for Belief Combination}, booktitle = {Symbolic and Quantitative Approaches to Reasoning with Uncertainty, 7th European Conference, {ECSQARU} 2003, Aalborg, Denmark, July 2-5, 2003. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2711}, pages = {306--318}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45062-7\_25}, doi = {10.1007/978-3-540-45062-7\_25}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/ecsqaru/LiuHA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fast/EllardLMS03, author = {Daniel Ellard and Jonathan Ledlie and Pia Malkani and Margo I. Seltzer}, editor = {Jeff Chase}, title = {Passive {NFS} Tracing of Email and Research Workloads}, booktitle = {Proceedings of the {FAST} '03 Conference on File and Storage Technologies, March 31 - April 2, 2003, Cathedral Hill Hotel, San Francisco, California, {USA}}, publisher = {{USENIX}}, year = {2003}, url = {http://www.usenix.org/events/fast03/tech/ellard.html}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fast/EllardLMS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/MarshallPD03, author = {Sandra P. Marshall and Christopher W. Pleydell{-}Pearce and Blair T. Dickson}, title = {Integrating Psychophysiological Measures of Cognitive Workload and Eye Movements to Detect Strategy Shifts}, booktitle = {36th Hawaii International Conference on System Sciences {(HICSS-36} 2003), {CD-ROM} / Abstracts Proceedings, January 6-9, 2003, Big Island, HI, {USA}}, pages = {130}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/HICSS.2003.1174298}, doi = {10.1109/HICSS.2003.1174298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hicss/MarshallPD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/GurumurthiZSKFVI03, author = {Sudhanva Gurumurthi and Jianyong Zhang and Anand Sivasubramaniam and Mahmut T. Kandemir and Hubertus Franke and Narayanan Vijaykrishnan and Mary Jane Irwin}, title = {Interplay of energy and performance for disk arrays running transaction processing workloads}, booktitle = {2003 {IEEE} International Symposium on Performance Analysis of Systems and Software, March 6-8, 2003, Austin, Texas, USA, Proceedings}, pages = {123--132}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISPASS.2003.1190239}, doi = {10.1109/ISPASS.2003.1190239}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/GurumurthiZSKFVI03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/cs-DC-0306007, author = {Giuseppe Avellino and Stefano Beco and Barbara Cantalupo and Fabrizio Pacini and Annalisa Terracina and Alessandro Maraschini and D. Colling and Salvatore Monforte and Marco Pappalardo and Livio Salconi and Francesco Giacomini and Elisabetta Ronchieri and Daniel Kouril and Ales Krenek and Ludek Matyska and Milos Mulac and Jir{\'{\i}} Posp{\'{\i}}sil and Miroslav Ruda and Zdenek Salvet and Jir{\'{\i}} Sitera and Michal Vocu and M. Mezzadri and Francesco Prelz and Alessio Gianelle and R. Peluso and Massimo Sgaravatto and S. Barale and Andrea Guarise and Albert Werbrouck}, title = {The first deployment of workload management services on the {EU} DataGrid Testbed: feedback on design and implementation}, journal = {CoRR}, volume = {cs.DC/0306007}, year = {2003}, url = {http://arxiv.org/abs/cs/0306007}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/cs-DC-0306007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/cs-DC-0306072, author = {Giuseppe Avellino and S. Barale and Stefano Beco and Barbara Cantalupo and D. Colling and Francesco Giacomini and Alessio Gianelle and Andrea Guarise and Ales Krenek and Daniel Kouril and Alessandro Maraschini and Ludek Matyska and M. Mezzadri and Salvatore Monforte and Milos Mulac and Fabrizio Pacini and Marco Pappalardo and R. Peluso and Jir{\'{\i}} Posp{\'{\i}}sil and Francesco Prelz and Elisabetta Ronchieri and Miroslav Ruda and Livio Salconi and Zdenek Salvet and Massimo Sgaravatto and Jir{\'{\i}} Sitera and Annalisa Terracina and Michal Vocu and Albert Werbrouck}, title = {The {EU} DataGrid Workload Management System: towards the second major release}, journal = {CoRR}, volume = {cs.DC/0306072}, year = {2003}, url = {http://arxiv.org/abs/cs/0306072}, timestamp = {Fri, 10 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/cs-DC-0306072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/BriguglioMV02, author = {Sergio Briguglio and Beniamino Di Martino and Gregorio Vlad}, title = {Workload decomposition strategies for hierarchical distributed-shared memory parallel systems and their implementation with integration of high-level parallel languages}, journal = {Concurr. Comput. Pract. Exp.}, volume = {14}, number = {11}, pages = {933--956}, year = {2002}, url = {https://doi.org/10.1002/cpe.702}, doi = {10.1002/CPE.702}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/BriguglioMV02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HasegawaCJ02, author = {Mihoko Hasegawa and Patricia A. Carpenter and Marcel Adam Just}, title = {An fMRI Study of Bilingual Sentence Comprehension and Workload}, journal = {NeuroImage}, volume = {15}, number = {3}, pages = {647--660}, year = {2002}, url = {https://doi.org/10.1006/nimg.2001.1001}, doi = {10.1006/NIMG.2001.1001}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HasegawaCJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ButtazzoLCA02, author = {Giorgio C. Buttazzo and Giuseppe Lipari and Marco Caccamo and Luca Abeni}, title = {Elastic Scheduling for Flexible Workload Management}, journal = {{IEEE} Trans. Computers}, volume = {51}, number = {3}, pages = {289--302}, year = {2002}, url = {https://doi.org/10.1109/12.990127}, doi = {10.1109/12.990127}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ButtazzoLCA02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/LuHHSLS02, author = {Zhijian Lu and Jason Hein and Marty Humphrey and Mircea R. Stan and John C. Lach and Kevin Skadron}, editor = {Shuvra S. Bhattacharyya and Trevor N. Mudge and Wayne H. Wolf and Ahmed Amine Jerraya}, title = {Control-theoretic dynamic frequency and voltage scaling for multimedia workloads}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2002, Greenoble, France, October 8-11, 2002}, pages = {156--163}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/581630.581654}, doi = {10.1145/581630.581654}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/LuHHSLS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ElnaffarMH02, author = {Said Elnaffar and T. Patrick Martin and Randy Horman}, title = {Automatically classifying database workloads}, booktitle = {Proceedings of the 2002 {ACM} {CIKM} International Conference on Information and Knowledge Management, McLean, VA, USA, November 4-9, 2002}, pages = {622--624}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/584792.584898}, doi = {10.1145/584792.584898}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/ElnaffarMH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/BrobstM02, author = {Stephen Brobst and Mark Morris}, title = {An Advanced {I/O} Architecture for Supporting Mixed Workloads in an Active Data Warehouse Environment}, booktitle = {13th International Workshop on Database and Expert Systems Applications {(DEXA} 2002), 2-6 September 2002, Aix-en-Provence, France}, pages = {779--784}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DEXA.2002.1045992}, doi = {10.1109/DEXA.2002.1045992}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dexaw/BrobstM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/MartinFMB02, author = {Steven M. Martin and Kriszti{\'{a}}n Flautner and Trevor N. Mudge and David T. Blaauw}, editor = {Lawrence T. Pileggi and Andreas Kuehlmann}, title = {Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads}, booktitle = {Proceedings of the 2002 {IEEE/ACM} International Conference on Computer-aided Design, {ICCAD} 2002, San Jose, California, USA, November 10-14, 2002}, pages = {721--725}, publisher = {{ACM} / {IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1145/774572.774678}, doi = {10.1145/774572.774678}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/MartinFMB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/MarkatchevW02, author = {Nayden Markatchev and Carey L. Williamson}, title = {WebTraff: {A} {GUI} for Web Proxy Cache Workload Modeling and Analysis}, booktitle = {10th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems {(MASCOTS} 2002), 11-16 October 2002, Fort Worth, Texas, {USA}}, pages = {356--363}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/MASCOT.2002.1167096}, doi = {10.1109/MASCOT.2002.1167096}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/MarkatchevW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/ZhangXSM02, author = {Li Zhang and Cathy H. Xia and Mark S. Squillante and W. Nathaniel Mills III}, title = {Workload Service Requirements Analysis: {A} Queueing Network Optimization Approach}, booktitle = {10th International Workshop on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems {(MASCOTS} 2002), 11-16 October 2002, Fort Worth, Texas, {USA}}, pages = {23--32}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/MASCOT.2002.1167057}, doi = {10.1109/MASCOT.2002.1167057}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/ZhangXSM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/PetitSP02, author = {Salvador Petit and Julio Sahuquillo and Ana Pont}, title = {Characterizing Parallel Workloads to Reduce Multiple Writer Overhead in Shared Virtual Memory Systems}, booktitle = {10th Euromicro Workshop on Parallel, Distributed and Network-Based Processing {(PDP} 2002), 9-11 January 2002, Canary Islands, Spain}, pages = {261--268}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/EMPDP.2002.994285}, doi = {10.1109/EMPDP.2002.994285}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/PetitSP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sebd/GolfarelliRS02, author = {Matteo Golfarelli and Stefano Rizzi and Ettore Saltarelli}, editor = {Paolo Ciaccia and Fausto Rabitti and Giovanni Soda}, title = {{WAND:} {A} {CASE} Tool for Workload-Based Design of a Data Mart}, booktitle = {Decimo Convegno Nazionale su Sistemi Evoluti per Basi di Dati, {SEBD} 2002, Portoferraio, Isola d'Elba, Italy, 19-21 Giugno 2002}, pages = {422--426}, year = {2002}, timestamp = {Mon, 18 Jan 2016 11:07:09 +0100}, biburl = {https://dblp.org/rec/conf/sebd/GolfarelliRS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/BertolottiC01, author = {Laura Bertolotti and Mariacarla Calzarossa}, title = {Models of mail server workloads}, journal = {Perform. Evaluation}, volume = {46}, number = {2-3}, pages = {65--76}, year = {2001}, url = {https://doi.org/10.1016/S0166-5316(01)00047-5}, doi = {10.1016/S0166-5316(01)00047-5}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/BertolottiC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/MartinoBVFI01, author = {Beniamino Di Martino and Sergio Briguglio and Gregorio Vlad and Giuliana Fogaccia and Yutaka Ishikawa}, title = {Workload decomposition strategies for shared memory parallel systems with OpenMP}, journal = {Sci. Program.}, volume = {9}, number = {2-3}, pages = {109--122}, year = {2001}, url = {https://doi.org/10.1155/2001/891073}, doi = {10.1155/2001/891073}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/MartinoBVFI01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/CostenB01, author = {Fumie Costen and John Brooke}, editor = {Rizos Sakellariou and John A. Keane and John R. Gurd and Len Freeman}, title = {The Way to Produce the Quasi-workload in a Cluster}, booktitle = {Euro-Par 2001: Parallel Processing, 7th International Euro-Par Conference Manchester, {UK} August 28-31, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2150}, pages = {198--203}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44681-8\_30}, doi = {10.1007/3-540-44681-8\_30}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/CostenB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BriguglioMV01, author = {Sergio Briguglio and Beniamino Di Martino and Gregorio Vlad}, editor = {Mario Mango Furnari and Efstratios Gallopoulos}, title = {Workload decomposition for particle simulation applications on hierarchical distributed-shared memory parallel systems with integration of {HPF} and OpenMP}, booktitle = {Proceedings of the 15th international conference on Supercomputing, {ICS} 2001, Sorrento, Napoli, Italy, June 16-21, 2001}, pages = {464}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/377792.377904}, doi = {10.1145/377792.377904}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BriguglioMV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isas-sci/Martinovic01, author = {Goran Martinovic}, editor = {Nagib Callaos and Ivan Nunes da Silva and Jorge Molero}, title = {Evaluation of Real-Time Scheduling Algorithms by Periodic and Aperiodic Workload}, booktitle = {World Multiconference on Systemics, Cybernetics and Informatics, ISAS-SCIs 2001, July 22-25, 2001, Orlando, Florida, USA, Proceedings, Volume {I:} Information Systems Development}, pages = {476--479}, publisher = {{IIIS}}, year = {2001}, timestamp = {Thu, 03 Jan 2002 12:04:14 +0100}, biburl = {https://dblp.org/rec/conf/isas-sci/Martinovic01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/ChiangV01, author = {Su{-}Hui Chiang and Mary K. Vernon}, editor = {Dror G. Feitelson and Larry Rudolph}, title = {Characteristics of a Large Shared Memory Production Workload}, booktitle = {Job Scheduling Strategies for Parallel Processing, 7th International Workshop, {JSSPP} 2001, Cambridge, MA, USA, June 16, 2001, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2221}, pages = {159--187}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-45540-X\_10}, doi = {10.1007/3-540-45540-X\_10}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/jsspp/ChiangV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nossdav/AlmeidaKEV01, author = {Jussara M. Almeida and Jeffrey Krueger and Derek L. Eager and Mary K. Vernon}, title = {Analysis of educational media server workloads}, booktitle = {Network and Operating System Support for Digital Audio and Video, 11th International Workshop, {NOSSDAV} 2001, Port Jefferson, NY, USA, June 25-26, 2001, Proceedings}, pages = {21--30}, publisher = {{ACM}}, year = {2001}, url = {https://doi.org/10.1145/378344.378348}, doi = {10.1145/378344.378348}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nossdav/AlmeidaKEV01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/MartinWS01, author = {Phillip Martin and Christopher Watson and Andy Skinner}, editor = {Matthew W. Rohrer and Deborah J. Medeiros and Mark R. Grabau}, title = {A simulation of the mission crew workload in a multi mission aircraft}, booktitle = {Proceedings of the 33nd conference on Winter simulation, {WSC} 2001, Arlington, VA, USA, December 9-12, 2001}, pages = {684--690}, publisher = {{WSC}}, year = {2001}, url = {https://doi.org/10.1109/WSC.2001.977355}, doi = {10.1109/WSC.2001.977355}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/MartinWS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/HadjiefthymiadesVM00, author = {Stathes Hadjiefthymiades and Ioannis Varouxis and Drakoulis Martakos}, title = {Performance of {RDBMS-WWW} Interfaces under Heavy Workload}, journal = {J. Univers. Comput. Sci.}, volume = {6}, number = {6}, pages = {538--559}, year = {2000}, url = {https://doi.org/10.3217/jucs-006-06-0538}, doi = {10.3217/JUCS-006-06-0538}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jucs/HadjiefthymiadesVM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/ArlittJ00, author = {Martin F. Arlitt and Tai Jin}, title = {A workload characterization study of the 1998 World Cup Web site}, journal = {{IEEE} Netw.}, volume = {14}, number = {3}, pages = {30--37}, year = {2000}, url = {https://doi.org/10.1109/65.844498}, doi = {10.1109/65.844498}, timestamp = {Thu, 01 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/network/ArlittJ00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/DoPMM00, author = {N. Do and C. Peterson and D. Marcum and M. Marshal}, title = {Reduction of Clinic Telephone Consultation Workload Through a Novel Process Using Physician Extenders and Computer-based Medication Refill Algorithms}, booktitle = {{AMIA} 2000, American Medical Informatics Association Annual Symposium, Los Angeles, CA, USA, November 4-8, 2000}, publisher = {{AMIA}}, year = {2000}, url = {https://knowledge.amia.org/amia-55142-a2000a-1.606968/t-002-1.608710/f-001-1.608711/a-244-1.609242/a-245-1.609239}, timestamp = {Wed, 17 Apr 2024 11:48:44 +0200}, biburl = {https://dblp.org/rec/conf/amia/DoPMM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpe/LitoiuR00, author = {Marin Litoiu and Jerome A. Rolia}, editor = {Boudewijn R. Haverkort and Henrik C. Bohnenkamp and Connie U. Smith}, title = {Object Allocation for Distributed Applications with Complex Workloads}, booktitle = {Computer Performance Evaluation: Modelling Techniques and Tools, 11th International Conference, {TOOLS} 2000, Schaumburg, IL, USA, March 27-31, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1786}, pages = {25--39}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-46429-8\_3}, doi = {10.1007/3-540-46429-8\_3}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cpe/LitoiuR00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dagstuhl/CalzarossaMT00, author = {Maria Calzarossa and Luisa Massari and Daniele Tessera}, editor = {G{\"{u}}nter Haring and Christoph Lindemann and Martin Reiser}, title = {Workload Characterization Issues and Methodologies}, booktitle = {Performance Evaluation: Origins and Directions}, series = {Lecture Notes in Computer Science}, volume = {1769}, pages = {459--481}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-46506-5\_20}, doi = {10.1007/3-540-46506-5\_20}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dagstuhl/CalzarossaMT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/GomezS00, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Vicente Santonja}, title = {A New Approach in the Modeling and Generation of Synthetic Disk Workload}, booktitle = {{MASCOTS} 2000, Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 29 August - 1 September 2000, San Francisco, California, {USA}}, pages = {199--206}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/MASCOT.2000.876445}, doi = {10.1109/MASCOT.2000.876445}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/GomezS00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/MarinovMMPTM00, author = {Darko Marinov and Davor Magdic and Aleksandar Milenkovic and Jelica Protic and Igor Tartalja and Veljko M. Milutinovic}, title = {Scowl: {A} Tool for Characterization of Parallel Workload and its Use on Splash-2 Application Suite}, booktitle = {{MASCOTS} 2000, Proceedings of the 8th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 29 August - 1 September 2000, San Francisco, California, {USA}}, pages = {207--213}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/MASCOT.2000.876446}, doi = {10.1109/MASCOT.2000.876446}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/MarinovMMPTM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmetrics/ArlittFJ99, author = {Martin F. Arlitt and Rich Friedrich and Tai Jin}, title = {Workload characterization of a Web proxy in a cable modem environment}, journal = {{SIGMETRICS} Perform. Evaluation Rev.}, volume = {27}, number = {2}, pages = {25--36}, year = {1999}, url = {https://doi.org/10.1145/332944.332951}, doi = {10.1145/332944.332951}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmetrics/ArlittFJ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/SinnwellK99, author = {Markus Sinnwell and Arnd Christian K{\"{o}}nig}, editor = {Masaru Kitsuregawa and Michael P. Papazoglou and Calton Pu}, title = {Managing Distributed Memory to Meet Multiclass Workload Response Time Goals}, booktitle = {Proceedings of the 15th International Conference on Data Engineering, Sydney, Australia, March 23-26, 1999}, pages = {87--94}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICDE.1999.754903}, doi = {10.1109/ICDE.1999.754903}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/SinnwellK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/CurielP99, author = {Mariela Curiel and Ram{\'{o}}n Puigjaner}, title = {Modeling Overhead in Servers with Transactional Workloads}, booktitle = {{MASCOTS} 1999, Proceedings of the 7th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 24-28 October, 1999, College Park, Maryland, {USA}}, pages = {182--189}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/MASCOT.1999.805054}, doi = {10.1109/MASCOT.1999.805054}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/CurielP99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/GomezS99, author = {Mar{\'{\i}}a Engracia G{\'{o}}mez and Vicente Santonja}, title = {Analysis of Self-Similarity in {I/O} Workload Using Structural Modeling}, booktitle = {{MASCOTS} 1999, Proceedings of the 7th International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, 24-28 October, 1999, College Park, Maryland, {USA}}, pages = {234--242}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/MASCOT.1999.805060}, doi = {10.1109/MASCOT.1999.805060}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/GomezS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/LopesPT99, author = {St{\'{e}}phane Lopes and Jean{-}Marc Petit and Farouk Toumani}, editor = {Jan M. Zytkow and Jan Rauch}, title = {Discovery of "Interesting" Data Dependencies from a Workload of {SQL} Statements}, booktitle = {Principles of Data Mining and Knowledge Discovery, Third European Conference, {PKDD} '99, Prague, Czech Republic, September 15-18, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1704}, pages = {430--435}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/978-3-540-48247-5\_54}, doi = {10.1007/978-3-540-48247-5\_54}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/LopesPT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigecom/MenasceAFM99, author = {Daniel A. Menasc{\'{e}} and Virg{\'{\i}}lio A. F. Almeida and Rodrigo C. Fonseca and Marco A. Mendes}, editor = {Stuart I. Feldman and Michael P. Wellman}, title = {A methodology for workload characterization of E-commerce sites}, booktitle = {Proceedings of the First {ACM} Conference on Electronic Commerce (EC-99), Denver, CO, USA, November 3-5, 1999}, pages = {119--128}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/336992.337024}, doi = {10.1145/336992.337024}, timestamp = {Tue, 27 Nov 2018 11:56:48 +0100}, biburl = {https://dblp.org/rec/conf/sigecom/MenasceAFM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/AlmeidaCFMM98, author = {Virg{\'{\i}}lio A. F. Almeida and M{\'{a}}rcio G. Ces{\'{a}}rio and Rodrigo C. Fonseca and Wagner Meira Jr. and Cristina D. Murta}, title = {The Influence of Geographical and Cultural Issues on the Cache Proxy Server Workload}, journal = {Comput. Networks}, volume = {30}, number = {1-7}, pages = {601--603}, year = {1998}, url = {https://doi.org/10.1016/S0169-7552(98)00098-1}, doi = {10.1016/S0169-7552(98)00098-1}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/AlmeidaCFMM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/Jean-MarieLNT98, author = {Alain Jean{-}Marie and Zhen Liu and Philippe Nain and Don Towsley}, title = {Computational aspects of the workload distribution in the {MMPP/GI/1} queue}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {16}, number = {5}, pages = {640--652}, year = {1998}, url = {https://doi.org/10.1109/49.700902}, doi = {10.1109/49.700902}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/Jean-MarieLNT98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jsspp/LoMW98, author = {Virginia Mary Lo and Jens Mache and Kurt J. Windisch}, editor = {Dror G. Feitelson and Larry Rudolph}, title = {A Comparative Study of Real Workload Traces and Synthetic Workload Models for Parallel Job Scheduling}, booktitle = {Job Scheduling Strategies for Parallel Processing, IPPS/SPDP'98 Workshop, Orlando, Florida, USA, March 30, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1459}, pages = {25--46}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/BFb0053979}, doi = {10.1007/BFB0053979}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/jsspp/LoMW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/BarfordC98, author = {Paul Barford and Mark Crovella}, editor = {Mary K. Vernon and Garth Gibson and Guy Latouche and Scott T. Leutenegger}, title = {Generating Representative Web Workloads for Network and Server Performance Evaluation}, booktitle = {Proceedings of the 1998 {ACM} {SIGMETRICS} joint international conference on Measurement and modeling of computer systems, {SIGMETRICS} '98 / {PERFORMANCE} '98, Madison, Wisconsin, USA, June 22-26, 1998}, pages = {151--160}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/277851.277897}, doi = {10.1145/277851.277897}, timestamp = {Fri, 30 Jul 2021 16:13:33 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/BarfordC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/LekkouV97, author = {Maria E. Lekkou and Iakovos S. Venieris}, title = {A workload model for performance evaluation of multimedia signalling systems}, journal = {Comput. Commun.}, volume = {20}, number = {10}, pages = {884--898}, year = {1997}, url = {https://doi.org/10.1016/S0140-3664(97)00028-5}, doi = {10.1016/S0140-3664(97)00028-5}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/LekkouV97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cor/XieFH97, author = {Jennifer Xie and Martin J. Fischer and Carl M. Harris}, title = {Workload and waiting time in a fixed-time loop system}, journal = {Comput. Oper. Res.}, volume = {24}, number = {8}, pages = {789--803}, year = {1997}, url = {https://doi.org/10.1016/S0305-0548(96)00079-2}, doi = {10.1016/S0305-0548(96)00079-2}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cor/XieFH97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/SmothermanDWS97, author = {Mark Smotherman and Max J. Domeika and Jane Watkins and Darrell Suggs}, title = {Instruction cache performance of a commercial workload on the Motorola 88110 microprocessor}, journal = {Microprocess. Microsystems}, volume = {20}, number = {9}, pages = {521--527}, year = {1997}, url = {https://doi.org/10.1016/S0141-9331(96)01125-8}, doi = {10.1016/S0141-9331(96)01125-8}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/SmothermanDWS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ArlittW97, author = {Martin F. Arlitt and Carey L. Williamson}, title = {Internet Web servers: workload characterization and performance implications}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {5}, number = {5}, pages = {631--645}, year = {1997}, url = {https://doi.org/10.1109/90.649565}, doi = {10.1109/90.649565}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ton/ArlittW97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpe/BraunK97, author = {Markus Braun and Gabriele Kotsis}, editor = {Raymond A. Marie and Brigitte Plateau and Maria Calzarossa and Gerardo Rubino}, title = {Interval Based Workload Characterization for Distributed Systems}, booktitle = {Computer Performance Evaluation: Modelling Techniques and Tools, 9th International Conference, St. Malo, France, June 3-6, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1245}, pages = {181--192}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0022206}, doi = {10.1007/BFB0022206}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cpe/BraunK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/SimonVW97, author = {Jens Simon and Marco Vieth and Reinhold Weicker}, editor = {Christian Lengauer and Martin Griebl and Sergei Gorlatch}, title = {Workload Analysis of Computation Intensive Tasks: Case Study on {SPEC} {CPU95} Benchmarks}, booktitle = {Euro-Par '97 Parallel Processing, Third International Euro-Par Conference, Passau, Germany, August 26-29, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1300}, pages = {971--984}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0002841}, doi = {10.1007/BFB0002841}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/europar/SimonVW97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ShafferC97, author = {Margaret T. Shaffer and Helena K. Chandler}, editor = {Gavriel Salvendy and Michael J. Smith and Richard J. Koubek}, title = {Identifying Areas for Workload Reduction Through System Changes: Why Bother?}, booktitle = {Design of Computing Systems: Cognitive Considerations, Proceedings of the Seventh International Conference on Human-Computer Interaction, {(HCI} International '97), San Francisco, California, USA, August 24-29, 1997, Volume 1}, pages = {497--500}, publisher = {Elsevier}, year = {1997}, timestamp = {Wed, 23 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/ShafferC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/NuttallS97, author = {Mark Nuttall and Morris Sloman}, title = {Workload Characteristics for Process Migration and Load Balancing}, booktitle = {Proceedings of the 17th International Conference on Distributed Computing Systems, Baltimore, MD, USA, May 27-30, 1997}, pages = {133--140}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICDCS.1997.597896}, doi = {10.1109/ICDCS.1997.597896}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/NuttallS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/Dikaiakos97, author = {Marios D. Dikaiakos}, title = {Analyzing the Workload of Scientific Visualization Tools: {A} Preliminary Study on {TIPSY}}, booktitle = {{MASCOTS} 1997, Proceedings of the Fifth International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, January 12-15, 1997 Haifa, Israel}, pages = {59--62}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/MASCOT.1997.567581}, doi = {10.1109/MASCOT.1997.567581}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/Dikaiakos97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/SquillanteKS97, author = {Mark S. Squillante and David R. Kaeli and Himanshu Sinh}, title = {Analytic Models of Workload Behavior and Pipeline Performance}, booktitle = {{MASCOTS} 1997, Proceedings of the Fifth International Symposium on Modeling, Analysis and Simulation of Computer and Telecommunication Systems, January 12-15, 1997 Haifa, Israel}, pages = {91}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/MASCOT.1997.567589}, doi = {10.1109/MASCOT.1997.567589}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mascots/SquillanteKS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/CrovellaL97, author = {Mark Crovella and Lester Lipsky}, editor = {Sigr{\'{u}}n Andrad{\'{o}}ttir and Kevin J. Healy and David H. Withers and Barry L. Nelson}, title = {Long-Lasting Transient Conditions in Simulations with Heavy-Tailed Workloads}, booktitle = {Proceedings of the 29th conference on Winter simulation, {WSC} 1997, Atlanta, GA, USA, December 7-10, 1997}, pages = {1005--1012}, publisher = {{ACM}}, year = {1997}, url = {https://doi.ieeecomputersociety.org/10.1109/WSC.1997.640984}, doi = {10.1109/WSC.1997.640984}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/CrovellaL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/ArlittW96, author = {Martin F. Arlitt and Carey L. Williamson}, editor = {Daniel A. Reed and Blaine D. Gaither}, title = {Web Server Workload Characterization: The Search for Invariants}, booktitle = {Proceedings of the 1996 {ACM} {SIGMETRICS} international conference on Measurement and modeling of computer systems, Philadelphia, Pennsylvania, USA, May 23-26, 1996}, pages = {126--137}, publisher = {{ACM}}, year = {1996}, url = {https://doi.org/10.1145/233013.233034}, doi = {10.1145/233013.233034}, timestamp = {Fri, 30 Jul 2021 16:13:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/ArlittW96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/SawinS95, author = {David A. Sawin and Mark W. Scerbo}, title = {Effects of Instruction Type and Boredom Proneness in Vigilance: Implications for Boredom and Workload}, journal = {Hum. Factors}, volume = {37}, number = {4}, pages = {752--765}, year = {1995}, url = {https://doi.org/10.1518/001872095778995616}, doi = {10.1518/001872095778995616}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/SawinS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeecc/CalzarossaMMPT95, author = {Maria Calzarossa and Luisa Massari and Alessandro Merio and Mario Pantano and Daniele Tessera}, title = {Medea: a tool for workload characterization of parallel systems}, journal = {{IEEE} Parallel Distributed Technol. Syst. Appl.}, volume = {3}, number = {4}, pages = {72--80}, year = {1995}, url = {https://doi.org/10.1109/88.473615}, doi = {10.1109/88.473615}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeecc/CalzarossaMMPT95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcn/CalzarossaMTHK95, author = {Maria Calzarossa and Alessandro P. Merlo and Daniele Tessera and G{\"{u}}nter Haring and Gabriele Kotsis}, editor = {Louis O. Hertzberger and Giuseppe Serazzi}, title = {A hierarchical approach to workload characterization for parallel systems}, booktitle = {High-Performance Computing and Networking, International Conference and Exhibition, {HPCN} Europe 1995, Milan, Italy, May 3-5, 1995, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {919}, pages = {102--109}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/BFb0046616}, doi = {10.1007/BFB0046616}, timestamp = {Wed, 16 Mar 2022 23:55:31 +0100}, biburl = {https://dblp.org/rec/conf/hpcn/CalzarossaMTHK95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/FranklinAJMO94, author = {Maurice T. Franklin and William P. Alexander and Rajiv Jauhari and Ann Marie Grizzaffi Maynard and Bret R. Olszewski}, title = {Commercial workload performance in the {IBM} {POWER2} {RISC} System/6000 processor}, journal = {{IBM} J. Res. Dev.}, volume = {38}, number = {5}, pages = {555--562}, year = {1994}, url = {https://doi.org/10.1147/rd.385.0555}, doi = {10.1147/RD.385.0555}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/FranklinAJMO94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/CalzarossaS94, author = {Maria Calzarossa and Giuseppe Serazzi}, title = {Construction and Use of Multiclass Workload Models}, journal = {Perform. Evaluation}, volume = {19}, number = {4}, pages = {341--352}, year = {1994}, url = {https://doi.org/10.1016/0166-5316(94)90046-9}, doi = {10.1016/0166-5316(94)90046-9}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/CalzarossaS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/MaynardDO94, author = {Ann Marie Grizzaffi Maynard and Colette M. Donnelly and Bret R. Olszewski}, editor = {Forest Baskett and Douglas W. Clark}, title = {Contrasting Characteristics and Cache Performance of Technical and Multi-User Commercial Workloads}, booktitle = {{ASPLOS-VI} Proceedings - Sixth International Conference on Architectural Support for Programming Languages and Operating Systems, San Jose, California, USA, October 4-7, 1994}, pages = {145--156}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/195473.195524}, doi = {10.1145/195473.195524}, timestamp = {Wed, 07 Jul 2021 13:23:09 +0200}, biburl = {https://dblp.org/rec/conf/asplos/MaynardDO94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/CalzarossaS93, author = {Maria Calzarossa and Giuseppe Serazzi}, title = {Workload characterization: a survey}, journal = {Proc. {IEEE}}, volume = {81}, number = {8}, pages = {1136--1150}, year = {1993}, url = {https://doi.org/10.1109/5.236191}, doi = {10.1109/5.236191}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/CalzarossaS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/questa/KiesslerW92, author = {Peter C. Kiessler and Martin A. Wortman}, title = {Workload in queues having priorities assigned according to service time}, journal = {Queueing Syst. Theory Appl.}, volume = {10}, number = {4}, pages = {403--408}, year = {1992}, url = {https://doi.org/10.1007/BF01193328}, doi = {10.1007/BF01193328}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/questa/KiesslerW92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/RogerCFPMCG92, author = {Kathleen Roger and Christian Collet and Nicola Fumai and Marco Petroni and Alfred S. Malowany and Franco A. Carnevale and Ron D. Gottesman}, title = {Nursing workload management for a patient data management system}, booktitle = {Fifth Annual {IEEE} Symposium on Computer-Based Medical Systems (CBMS'92), June 14-17, 1992, Durham, NC, {USA}}, pages = {216--223}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/CBMS.1992.244945}, doi = {10.1109/CBMS.1992.244945}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/cbms/RogerCFPMCG92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmg/ChisholmBM92, author = {William J. Chisholm Jr. and Joe E. Bell and Marvin W. Miller}, title = {A Workload Sizing and Placement Methodology}, booktitle = {18th International Computer Measurement Group Conference, December 7-11, 1992, Reno, Nevada, USA, Proceedings}, pages = {1160--1168}, publisher = {Computer Measurement Group}, year = {1992}, url = {http://www.cmg.org/?s2member\_file\_download=/proceedings/1992/92INT135.pdf}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cmg/ChisholmBM92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BradleyCLAGS91, author = {David K. Bradley and George Cybenko and John L. Larson and F. Ahmad and J. Golab and Mark Straka}, editor = {Edward S. Davidson and Friedel Hossfeld}, title = {Supercomputer workload decomposition and analysis}, booktitle = {Proceedings of the 5th international conference on Supercomputing, {ICS} 1991, Cologne, Germany, June 17-21, 1991}, pages = {458--467}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/109025.109130}, doi = {10.1145/109025.109130}, timestamp = {Thu, 01 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ics/BradleyCLAGS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sosp/EdwardsM89, author = {David A. Edwards and Martin S. McKendry}, editor = {Gregory R. Andrews}, title = {Exploiting Read-Mostly Workloads in The FileNet File System}, booktitle = {Proceedings of the Twelfth {ACM} Symposium on Operating System Principles, {SOSP} 1989, The Wigwam, Litchfield Park, Arizona, USA, December 3-6, 1989}, pages = {58--70}, publisher = {{ACM}}, year = {1989}, url = {https://doi.org/10.1145/74850.74857}, doi = {10.1145/74850.74857}, timestamp = {Tue, 06 Nov 2018 16:59:32 +0100}, biburl = {https://dblp.org/rec/conf/sosp/EdwardsM89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tocs/AgarwalHH88, author = {Anant Agarwal and John L. Hennessy and Mark Horowitz}, title = {Cache Performance of Operating System and Multiprogramming Workloads}, journal = {{ACM} Trans. Comput. Syst.}, volume = {6}, number = {4}, pages = {393--431}, year = {1988}, url = {https://doi.org/10.1145/48012.48037}, doi = {10.1145/48012.48037}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tocs/AgarwalHH88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/HancockC88, author = {Peter A. Hancock and Mark H. Chignell}, title = {Mental workload dynamics in adaptive interface design}, journal = {{IEEE} Trans. Syst. Man Cybern.}, volume = {18}, number = {4}, pages = {647--658}, year = {1988}, url = {https://doi.org/10.1109/21.17382}, doi = {10.1109/21.17382}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/HancockC88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/CalzarossaHS88, author = {Maria Calzarossa and G{\"{u}}nter Haring and Giuseppe Serazzi}, editor = {Uwe Kastens and Franz J. Rammig}, title = {Workload Modeling for Computer Networks}, booktitle = {Architektur und Betrieb vpn Rechensystemen, 10. GI/ITG-Fachtagung, 9.-11. M{\"{a}}rz 1988, Paderborn, Germany, Proceedings}, series = {Informatik-Fachberichte}, volume = {168}, pages = {324--339}, publisher = {Springer}, year = {1988}, url = {https://doi.org/10.1007/978-3-642-73451-9\_24}, doi = {10.1007/978-3-642-73451-9\_24}, timestamp = {Wed, 24 May 2017 08:27:33 +0200}, biburl = {https://dblp.org/rec/conf/arcs/CalzarossaHS88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BierV88, author = {George E. Bier and Mary K. Vernon}, editor = {Jacques Lenfant}, title = {Measurements and prediction of contention in multiprocessor operating systems with scientific application workloads}, booktitle = {Proceedings of the 2nd international conference on Supercomputing, {ICS} 1988, Saint Malo, France, July 4-8, 1988}, pages = {9--15}, publisher = {{ACM}}, year = {1988}, url = {https://doi.org/10.1145/55364.55366}, doi = {10.1145/55364.55366}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/BierV88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dbmachine/SalzaT87, author = {Silvio Salza and Mario Terranova}, editor = {Francesca Cesarini and Silvio Salza}, title = {Database Workload Modeling}, booktitle = {Database Machine Performance: Modeling Methodologies and Evaluation Strategies}, series = {Lecture Notes in Computer Science}, volume = {257}, pages = {50--94}, publisher = {Springer}, year = {1987}, url = {https://doi.org/10.1007/3-540-17942-9\_14}, doi = {10.1007/3-540-17942-9\_14}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/dbmachine/SalzaT87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/acta/CalzarossaIS86, author = {Maria Calzarossa and M. Italiani and Giuseppe Serazzi}, title = {A Workload Model Representative of Static and Dynamic Characteristics}, journal = {Acta Informatica}, volume = {23}, number = {3}, pages = {255--266}, year = {1986}, url = {https://doi.org/10.1007/BF00289113}, doi = {10.1007/BF00289113}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/acta/CalzarossaIS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/CalzarossaF86, author = {Maria Calzarossa and Domenico Ferrari}, title = {A Sensitivity Study of the Clustering Approach to Workload Modeling}, journal = {Perform. Evaluation}, volume = {6}, number = {1}, pages = {25--33}, year = {1986}, url = {https://doi.org/10.1016/0166-5316(86)90006-4}, doi = {10.1016/0166-5316(86)90006-4}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/CalzarossaF86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmg/CalzarossaCQS86, author = {Maria Calzarossa and Valeriano Comincioli and P. Quaroni and Giuseppe Serazzi}, editor = {David Morley and Jason G. Shane and Sue Felix and Bernard Domanski and John Boelens and Gordon R. Stauffer and Roberta S. Terkowitz and Tom Scoumperdis and H. Pat Artis}, title = {Exploratory Analysis of an Interactive Workload}, booktitle = {Twelfth International Computer Measurement Group Conference, Las Vegas, NV, USA, December 8-12, 1986, Proceedings}, pages = {744--749}, publisher = {Computer Measurement Group}, year = {1986}, url = {http://www.cmg.org/?s2member\_file\_download=/proceedings/1986/86INT109.pdf}, timestamp = {Wed, 17 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cmg/CalzarossaCQS86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CalzarossaS85, author = {Maria Calzarossa and Giuseppe Serazzi}, title = {A Characterization of the Variation in Time of Workload Arrival Patterns}, journal = {{IEEE} Trans. Computers}, volume = {34}, number = {2}, pages = {156--162}, year = {1985}, url = {https://doi.org/10.1109/TC.1985.1676552}, doi = {10.1109/TC.1985.1676552}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/CalzarossaS85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdm/SalzaT85, author = {Silvio Salza and Mario Terranova}, editor = {David J. DeWitt and Haran Boral}, title = {Workload Modeling for Relational Database Systems}, booktitle = {Database Machines, Fourth International Workshop, Grand Bahama Island, March 1985}, pages = {233--255}, publisher = {Springer}, year = {1985}, timestamp = {Fri, 27 May 2016 09:15:37 +0200}, biburl = {https://dblp.org/rec/conf/iwdm/SalzaT85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmetrics/CalzarossaF85, author = {Maria Calzarossa and Domenico Ferrari}, editor = {Herbert D. Schwetman and Jeffrey A. Brumfield and Daniel A. Reed and Blaine D. Gaither}, title = {A Sensitivity Study of the Clustering Approach to Workload Modeling}, booktitle = {Proceedings of the 1985 {ACM} {SIGMETRICS} conference on Measurement and modeling of computer systems, Austin, Texas, USA, August 26-29, 1985}, pages = {38--39}, publisher = {{ACM}}, year = {1985}, url = {https://doi.org/10.1145/317795.317808}, doi = {10.1145/317795.317808}, timestamp = {Fri, 30 Jul 2021 16:13:33 +0200}, biburl = {https://dblp.org/rec/conf/sigmetrics/CalzarossaF85.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/sigmetrics/BolzoniCMS82, author = {M. L. Bolzoni and Maria Calzarossa and P. Mapelli and Giuseppe Serazzi}, editor = {Edward D. Lazowska}, title = {A Package for the Implementation of Static Workload Models}, booktitle = {Proceedings of the 1982 {ACM} {SIGMETRICS} conference on Measurement and modeling of computer systems, {SIGMETRICS} 1982, Seattle, Washington, USA, August 30 - September 1, 1982}, pages = {58--67}, publisher = {{ACM}}, year = {1982}, url = {https://doi.org/10.1145/1035293.1035303}, doi = {10.1145/1035293.1035303}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmetrics/BolzoniCMS82.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/MamrakA79, author = {Sandra A. Mamrak and Marshall D. Abrams}, title = {Special Feature: {A} Taxonomy for Valid Test Workload Generation}, journal = {Computer}, volume = {12}, number = {12}, pages = {60--65}, year = {1979}, url = {https://doi.org/10.1109/MC.1979.1658577}, doi = {10.1109/MC.1979.1658577}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/MamrakA79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mark2/Ferrari79, author = {Domenico Ferrari}, title = {Characterizing a workload for the comparison of interactive services}, booktitle = {1979 International Workshop on Managing Requirements Knowledge, {MARK} 1979, New York, NY, USA, June 4-7, 1979}, pages = {789--796}, publisher = {{IEEE}}, year = {1979}, url = {https://doi.org/10.1109/MARK.1979.8817063}, doi = {10.1109/MARK.1979.8817063}, timestamp = {Tue, 08 Nov 2022 21:42:40 +0100}, biburl = {https://dblp.org/rec/conf/mark2/Ferrari79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.