Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "many mode"
@article{DBLP:journals/aamas/HeDB24, author = {Keyang He and Prashant Doshi and Bikramjit Banerjee}, title = {Modeling and reinforcement learning in partially observable many-agent systems}, journal = {Auton. Agents Multi Agent Syst.}, volume = {38}, number = {1}, pages = {12}, year = {2024}, url = {https://doi.org/10.1007/s10458-024-09640-1}, doi = {10.1007/S10458-024-09640-1}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aamas/HeDB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apal/Yaar24, author = {Ur Ya'ar}, title = {Absoluteness for the theory of the inner model constructed from finitely many cofinality quantifiers}, journal = {Ann. Pure Appl. Log.}, volume = {175}, number = {Issue 1, Part {A}}, pages = {103358}, year = {2024}, url = {https://doi.org/10.1016/j.apal.2023.103358}, doi = {10.1016/J.APAL.2023.103358}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apal/Yaar24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/HabibK24, author = {Beenish Habib and Farida Khursheed}, title = {Time-based DDoS attack detection through hybrid {LSTM-CNN} model architectures: An investigation of many-to-one and many-to-many approaches}, journal = {Concurr. Comput. Pract. Exp.}, volume = {36}, number = {9}, year = {2024}, url = {https://doi.org/10.1002/cpe.7996}, doi = {10.1002/CPE.7996}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/HabibK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ZhaoWYCL24, author = {Haochen Zhao and Jie Wen and Jinqian Yang and Xingjuan Cai and Chunxia Liu}, title = {A many-objective optimization-based local tensor factorization model for skin cancer detection}, journal = {Concurr. Comput. Pract. Exp.}, volume = {36}, number = {6}, year = {2024}, url = {https://doi.org/10.1002/cpe.7947}, doi = {10.1002/CPE.7947}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/ZhaoWYCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/SunHSYW24, author = {Chen Sun and Guoling Huang and Jian Shu and Youfeng Yang and Bo Wu}, title = {Joint mode selection and resource allocation based on many-to-many reuse in D2D-aided IoT cellular networks}, journal = {Internet Things}, volume = {25}, pages = {101104}, year = {2024}, url = {https://doi.org/10.1016/j.iot.2024.101104}, doi = {10.1016/J.IOT.2024.101104}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/SunHSYW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/ZhouWLHGHWDL24, author = {Xu Zhou and Zhiqiang Wei and Hao Lu and Jiaqi He and Yuan Gao and Xiaotong Hu and Cunji Wang and Yujie Dong and Hao Liu}, title = {Large-Scale Molecular Dynamics Simulation Based on Heterogeneous Many-Core Architecture}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {3}, pages = {851--861}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c01254}, doi = {10.1021/ACS.JCIM.3C01254}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/ZhouWLHGHWDL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/CuiSZZC24, author = {Zhihua Cui and Xiangyu Shi and Zhixia Zhang and Wensheng Zhang and Jinjun Chen}, title = {Many-objective joint optimization of computation offloading and service caching in mobile edge computing}, journal = {Simul. Model. Pract. Theory}, volume = {133}, pages = {102917}, year = {2024}, url = {https://doi.org/10.1016/j.simpat.2024.102917}, doi = {10.1016/J.SIMPAT.2024.102917}, timestamp = {Tue, 21 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simpra/CuiSZZC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/WuDHH24, author = {Xiaofei Wu and Shoubin Dong and Jinlong Hu and Zhidong Huang}, title = {An efficient many-objective optimization algorithm for computation offloading in heterogeneous vehicular edge computing network}, journal = {Simul. Model. Pract. Theory}, volume = {131}, pages = {102870}, year = {2024}, url = {https://doi.org/10.1016/j.simpat.2023.102870}, doi = {10.1016/J.SIMPAT.2023.102870}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/WuDHH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/VincentWL24, author = {KounKou Vincent and Lin Wang and Wenyuan Liu}, title = {Toward One-to-Many Respiration Monitoring via Dual-Mode Acoustic Signals}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {2970--2978}, year = {2024}, url = {https://doi.org/10.1109/TCE.2023.3321683}, doi = {10.1109/TCE.2023.3321683}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/VincentWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/transci/ZhengZNYQ24, author = {Hongyu Zheng and Kenan Zhang and Yu (Marco) Nie and Pengyu Yan and Yuan Qu}, title = {How Many Are Too Many? Analyzing Dockless Bike-Sharing Systems with a Parsimonious Model}, journal = {Transp. Sci.}, volume = {58}, number = {1}, pages = {152--175}, year = {2024}, url = {https://doi.org/10.1287/trsc.2022.0304}, doi = {10.1287/TRSC.2022.0304}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/transci/ZhengZNYQ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/FinkbeinerGPTN24, author = {Jan Finkbeiner and Thomas Gmeinder and Mark Pupilli and Alexander Titterton and Emre Neftci}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Harnessing Manycore Processors with Distributed Memory for Accelerated Training of Sparse and Recurrent Models}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {11996--12005}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i11.29087}, doi = {10.1609/AAAI.V38I11.29087}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/FinkbeinerGPTN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/MalkinskiM24, author = {Mikolaj Malkinski and Jacek Mandziuk}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {One Self-Configurable Model to Solve Many Abstract Visual Reasoning Problems}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {14297--14305}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i13.29342}, doi = {10.1609/AAAI.V38I13.29342}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/MalkinskiM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2024pmam, title = {Proceedings of the 15th International Workshop on Programming Models and Applications for Multicores and Manycores, {PMAM} 2024, Edinburgh, United Kingdom, 3 March 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3649169}, doi = {10.1145/3649169}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2024pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-05861, author = {Pengzhi Gao and Zhongjun He and Hua Wu and Haifeng Wang}, title = {Towards Boosting Many-to-Many Multilingual Machine Translation with Large Language Models}, journal = {CoRR}, volume = {abs/2401.05861}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.05861}, doi = {10.48550/ARXIV.2401.05861}, eprinttype = {arXiv}, eprint = {2401.05861}, timestamp = {Thu, 25 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-05861.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15977, author = {Xiaoyu Shi and Zhaoyang Huang and Fu{-}Yun Wang and Weikang Bian and Dasong Li and Yi Zhang and Manyuan Zhang and Ka Chun Cheung and Simon See and Hongwei Qin and Jifeng Dai and Hongsheng Li}, title = {Motion-I2V: Consistent and Controllable Image-to-Video Generation with Explicit Motion Modeling}, journal = {CoRR}, volume = {abs/2401.15977}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15977}, doi = {10.48550/ARXIV.2401.15977}, eprinttype = {arXiv}, eprint = {2401.15977}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15977.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08310, author = {Thomas P{\"{o}}llabauer and Julius K{\"{u}}hn and Jiayi Li and Arjan Kuijper}, title = {One-to-many Reconstruction of 3D Geometry of cultural Artifacts using a synthetically trained Generative Model}, journal = {CoRR}, volume = {abs/2402.08310}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08310}, doi = {10.48550/ARXIV.2402.08310}, eprinttype = {arXiv}, eprint = {2402.08310}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08310.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12531, author = {Sagar Saxena and Mohammad Nayeem Teli}, title = {Improving Deep Generative Models on Many-To-One Image-to-Image Translation}, journal = {CoRR}, volume = {abs/2402.12531}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12531}, doi = {10.48550/ARXIV.2402.12531}, eprinttype = {arXiv}, eprint = {2402.12531}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12531.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13530, author = {Lin An and Andrew A. Li and Benjamin Moseley and Gabriel Visotsky}, title = {Best of Many in Both Worlds: Online Resource Allocation with Predictions under Unknown Arrival Model}, journal = {CoRR}, volume = {abs/2402.13530}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13530}, doi = {10.48550/ARXIV.2402.13530}, eprinttype = {arXiv}, eprint = {2402.13530}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13530.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-03154, author = {Haining Pan and Nayantara Mudur and Will Taranto and Maria Tikhanovskaya and Subhashini Venugopalan and Yasaman Bahri and Michael P. Brenner and Eun{-}Ah Kim}, title = {Quantum Many-Body Physics Calculations with Large Language Models}, journal = {CoRR}, volume = {abs/2403.03154}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.03154}, doi = {10.48550/ARXIV.2403.03154}, eprinttype = {arXiv}, eprint = {2403.03154}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-03154.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-03893, author = {Luiza Pozzobon and Patrick Lewis and Sara Hooker and Beyza Ermis}, title = {From One to Many: Expanding the Scope of Toxicity Mitigation in Language Models}, journal = {CoRR}, volume = {abs/2403.03893}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.03893}, doi = {10.48550/ARXIV.2403.03893}, eprinttype = {arXiv}, eprint = {2403.03893}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-03893.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10299, author = {Xinrun Xu and Zhanbiao Lian and Yurong Wu and Manying Lv and Zhiming Ding and Jin Yan and Shang Jiang}, title = {A Multi-constraint and Multi-objective Allocation Model for Emergency Rescue in IoT Environment}, journal = {CoRR}, volume = {abs/2403.10299}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10299}, doi = {10.48550/ARXIV.2403.10299}, eprinttype = {arXiv}, eprint = {2403.10299}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10299.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10967, author = {Sai Prasanna and Karim Farid and Raghu Rajan and Andr{\'{e}} Biedenkapp}, title = {Dreaming of Many Worlds: Learning Contextual World Models Aids Zero-Shot Generalization}, journal = {CoRR}, volume = {abs/2403.10967}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10967}, doi = {10.48550/ARXIV.2403.10967}, eprinttype = {arXiv}, eprint = {2403.10967}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10967.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-03109, author = {Ying Shen and Yizhe Zhang and Shuangfei Zhai and Lifu Huang and Joshua M. Susskind and Jiatao Gu}, title = {Many-to-many Image Generation with Auto-regressive Diffusion Models}, journal = {CoRR}, volume = {abs/2404.03109}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.03109}, doi = {10.48550/ARXIV.2404.03109}, eprinttype = {arXiv}, eprint = {2404.03109}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-03109.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-04850, author = {Shaoxiong Ji and Pinzhen Chen}, title = {Lucky 52: How Many Languages Are Needed to Instruction Fine-Tune Large Language Models?}, journal = {CoRR}, volume = {abs/2404.04850}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.04850}, doi = {10.48550/ARXIV.2404.04850}, eprinttype = {arXiv}, eprint = {2404.04850}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-04850.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-10201, author = {Hilal Asi and Vitaly Feldman and Jelani Nelson and Huy L. Nguyen and Kunal Talwar and Samson Zhou}, title = {Private Vector Mean Estimation in the Shuffle Model: Optimal Rates Require Many Messages}, journal = {CoRR}, volume = {abs/2404.10201}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.10201}, doi = {10.48550/ARXIV.2404.10201}, eprinttype = {arXiv}, eprint = {2404.10201}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-10201.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Krawczyk23, author = {Lukas Krawczyk}, title = {Model-based Deployment Optimization of Automotive Multi- and Many-Core Systems}, school = {Bielefeld University, Germany}, year = {2023}, url = {https://pub.uni-bielefeld.de/record/2978215}, urn = {urn:nbn:de:0070-pub-29782153}, timestamp = {Tue, 13 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Krawczyk23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WongsoJBS23, author = {Wilson Wongso and Ananto Joyoadikusumo and Brandon Scott Buana and Derwin Suhartono}, title = {Many-to-Many Multilingual Translation Model for Languages of Indonesia}, journal = {{IEEE} Access}, volume = {11}, pages = {91385--91397}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3308818}, doi = {10.1109/ACCESS.2023.3308818}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WongsoJBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/WangZW23, author = {Yong Wang and Qian Zhang and Gai{-}Ge Wang}, title = {Improving evolutionary algorithms with information feedback model for large-scale many-objective optimization}, journal = {Appl. Intell.}, volume = {53}, number = {10}, pages = {11439--11473}, year = {2023}, url = {https://doi.org/10.1007/s10489-022-03964-9}, doi = {10.1007/S10489-022-03964-9}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/WangZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/NamayalaKMH23, author = {Phesto Namayala and Tabu S. Kondo and Majuto Manyilizu and Kilavo Hassan}, title = {Practical applicability of user experience capability/maturity models in the development processes of free and open-source software: a systematic literature review}, journal = {Behav. Inf. Technol.}, volume = {42}, number = {5}, pages = {596--623}, year = {2023}, url = {https://doi.org/10.1080/0144929x.2022.2032348}, doi = {10.1080/0144929X.2022.2032348}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/behaviourIT/NamayalaKMH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/VillegasMorcilloRFB23, author = {Amelia Villegas{-}Morcillo and Louis Robinson and Arthur Flajolet and Thomas D. Barrett}, title = {ManyFold: an efficient and flexible library for training and validating protein folding models}, journal = {Bioinform.}, volume = {39}, number = {1}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btac773}, doi = {10.1093/BIOINFORMATICS/BTAC773}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/VillegasMorcilloRFB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/MomanyiZGADGL23, author = {Biffon Manyura Momanyi and Hasan Zulfiqar and Bakanina Kissanga Grace{-}Mercure and Zahoor Ahmed and Hui Ding and Hui Gao and Fen Liu}, title = {{CFNCM:} Collaborative filtering neighborhood-based model for predicting miRNA-disease associations}, journal = {Comput. Biol. Medicine}, volume = {163}, pages = {107165}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107165}, doi = {10.1016/J.COMPBIOMED.2023.107165}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/MomanyiZGADGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccfthpc/LiuWX23, author = {Kan Liu and Xinliang Wang and Wei Xue}, title = {Model guided algorithm optimization for tridiagonal solver on many-core architectures}, journal = {{CCF} Trans. High Perform. Comput.}, volume = {5}, number = {1}, pages = {43--55}, year = {2023}, url = {https://doi.org/10.1007/s42514-022-00124-w}, doi = {10.1007/S42514-022-00124-W}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ccfthpc/LiuWX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ZhangWLCX23, author = {Binquan Zhang and Di Wu and Zhuoxuan Lan and Zhihua Cui and Liping Xie}, title = {Malicious code detection based on many-objective transfer model}, journal = {Concurr. Comput. Pract. Exp.}, volume = {35}, number = {22}, year = {2023}, url = {https://doi.org/10.1002/cpe.7728}, doi = {10.1002/CPE.7728}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/ZhangWLCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/AddeRFPSBLZAPG23, author = {Antoine Adde and Pierre{-}Louis Rey and Fabian Fopp and Blaise Petitpierre and Anna K. Schweiger and Olivier Broennimann and Anthony Lehmann and Niklaus E. Zimmermann and Florian Altermatt and Lo{\"{\i}}c Pellissier and Antoine Guisan}, title = {Too many candidates: Embedded covariate selection procedure for species distribution modelling with the covsel {R} package}, journal = {Ecol. Informatics}, volume = {75}, pages = {102080}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102080}, doi = {10.1016/J.ECOINF.2023.102080}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/AddeRFPSBLZAPG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/JiZYZW23, author = {Bin Ji and Zheng Zhang and Samson S. Yu and Saiqi Zhou and Guohua Wu}, title = {Modelling and heuristically solving many-to-many heterogeneous vehicle routing problem with cross-docking and two-dimensional loading constraints}, journal = {Eur. J. Oper. Res.}, volume = {306}, number = {3}, pages = {1219--1235}, year = {2023}, url = {https://doi.org/10.1016/j.ejor.2022.08.001}, doi = {10.1016/J.EJOR.2022.08.001}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/JiZYZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/evi/BinhP23, author = {Le Thi Cam Binh and Van Nha Pham}, title = {Optimal centroids model approach for many-feature data structure prediction}, journal = {Evol. Intell.}, volume = {16}, number = {4}, pages = {1353--1367}, year = {2023}, url = {https://doi.org/10.1007/s12065-022-00747-6}, doi = {10.1007/S12065-022-00747-6}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/evi/BinhP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/flap/GabbayR23, author = {Dov M. Gabbay and Gadi Rozenberg}, title = {Evolutionary Temporal Logic for Modelling Many-Lives Argumentation Networks}, journal = {{FLAP}}, volume = {10}, number = {5}, pages = {909--966}, year = {2023}, url = {https://www.collegepublications.co.uk/downloads/ifcolog00061.pdf}, timestamp = {Mon, 15 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/flap/GabbayR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/LinL23, author = {Chun{-}Yu Lin and Churn{-}Jung Liau}, title = {Many-valued coalgebraic modal logic: One-step completeness and finite model property}, journal = {Fuzzy Sets Syst.}, volume = {467}, pages = {108564}, year = {2023}, url = {https://doi.org/10.1016/j.fss.2023.108564}, doi = {10.1016/J.FSS.2023.108564}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fss/LinL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/infsof/CortellessaPST23, author = {Vittorio Cortellessa and Daniele Di Pompeo and Vincenzo Stoico and Michele Tucci}, title = {Many-objective optimization of non-functional attributes based on refactoring of software models}, journal = {Inf. Softw. Technol.}, volume = {157}, pages = {107159}, year = {2023}, url = {https://doi.org/10.1016/j.infsof.2023.107159}, doi = {10.1016/J.INFSOF.2023.107159}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/infsof/CortellessaPST23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhaoFWG23, author = {Jiandong Zhao and Yingzi Feng and Jianjun Wu and Ziyou Gao}, title = {{FHR-NSGA-III:} {A} hybrid many-objective optimizer for intercity multimodal timetable optimization considering travel mode choice}, journal = {Inf. Sci.}, volume = {649}, pages = {119654}, year = {2023}, url = {https://doi.org/10.1016/j.ins.2023.119654}, doi = {10.1016/J.INS.2023.119654}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZhaoFWG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itor/GonzalezBP23, author = {Xavier Ignacio Gonzalez and Federico E. Bert and Guillermo P. Podest{\'{a}}}, title = {Many objective robust decision-making model for agriculture decisions (MORDMAgro)}, journal = {Int. Trans. Oper. Res.}, volume = {30}, number = {4}, pages = {1617--1646}, year = {2023}, url = {https://doi.org/10.1111/itor.12898}, doi = {10.1111/ITOR.12898}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itor/GonzalezBP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itpro/BakaevHKG23, author = {Maxim Bakaev and Sebastian Heil and Vladimir Khvorostov and Martin Gaedke}, title = {How Many Data Does Machine Learning in Human-Computer Interaction Need?: Re-Estimating the Dataset Size for Convolutional Neural Network-Based Models of Visual Perception}, journal = {{IT} Prof.}, volume = {25}, number = {2}, pages = {23--29}, year = {2023}, url = {https://doi.org/10.1109/MITP.2023.3262923}, doi = {10.1109/MITP.2023.3262923}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itpro/BakaevHKG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/ElAtawnehG23, author = {Shayma El{-}Atawneh and Amiram Goldblum}, title = {Activity Models of Key {GPCR} Families in the Central Nervous System: {A} Tool for Many Purposes}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {11}, pages = {3248--3262}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.2c01531}, doi = {10.1021/ACS.JCIM.2C01531}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/ElAtawnehG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/McNuttBSVHK23, author = {Andrew T. McNutt and Fatimah Bisiriyu and Sophia Song and Ananya Vyas and Geoffrey R. Hutchison and David Ryan Koes}, title = {Conformer Generation for Structure-Based Drug Design: How Many and How Good?}, journal = {J. Chem. Inf. Model.}, volume = {63}, number = {21}, pages = {6598--6607}, year = {2023}, url = {https://doi.org/10.1021/acs.jcim.3c01245}, doi = {10.1021/ACS.JCIM.3C01245}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/McNuttBSVHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/YinCJQYL23, author = {Jia Yin and Yang{-}hao Chan and Felipe H. da Jornada and Diana Qiu and Chao Yang and Steven G. Louie}, title = {Analyzing and predicting non-equilibrium many-body dynamics via dynamic mode decomposition}, journal = {J. Comput. Phys.}, volume = {477}, pages = {111909}, year = {2023}, url = {https://doi.org/10.1016/j.jcp.2023.111909}, doi = {10.1016/J.JCP.2023.111909}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/YinCJQYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/LuHRGLGZHL23, author = {Shuang Lu and Taotao Hu and Hang Ren and Xiaoxuan Gu and Xiaodan Li and Yiwen Gao and Yining Zhang and Jiameng Hong and Yuting Li}, title = {Properties of many-body localization in quasi-disordered Haldane-Shastry model}, journal = {Quantum Inf. Process.}, volume = {22}, number = {10}, pages = {392}, year = {2023}, url = {https://doi.org/10.1007/s11128-023-04145-4}, doi = {10.1007/S11128-023-04145-4}, timestamp = {Thu, 23 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/qip/LuHRGLGZHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamma/AtarKKR23, author = {Rami Atar and Weining Kang and Haya Kaspi and Kavita Ramanan}, title = {Long-Time Limit of Nonlinearly Coupled Measure-Valued Equations that Model Many-Server Queues with Reneging}, journal = {{SIAM} J. Math. Anal.}, volume = {55}, number = {6}, pages = {7189--7239}, year = {2023}, url = {https://doi.org/10.1137/21m1433125}, doi = {10.1137/21M1433125}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/siamma/AtarKKR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/JiangHHDCCL23, author = {Jiazhi Jiang and Zijiang Huang and Dan Huang and Jiangsu Du and Lin Chen and Ziguang Chen and Yutong Lu}, title = {Hierarchical Model Parallelism for Optimizing Inference on Many-core Processor via Decoupled 3D-CNN Structure}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {20}, number = {3}, pages = {42:1--42:21}, year = {2023}, url = {https://doi.org/10.1145/3605149}, doi = {10.1145/3605149}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/JiangHHDCCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WangWJSYH23, author = {Shengjie Wang and Xiaohang Wang and Yingtao Jiang and Amit Kumar Singh and Mei Yang and Letian Huang}, title = {Modeling and Analysis of Thermal Covert Channel Attacks in Many-core Systems}, journal = {{IEEE} Trans. Computers}, volume = {72}, number = {2}, pages = {494--500}, year = {2023}, url = {https://doi.org/10.1109/TC.2022.3160356}, doi = {10.1109/TC.2022.3160356}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/WangWJSYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/CaiGZCC23, author = {Xingjuan Cai and Wanwan Guo and Mengkai Zhao and Zhihua Cui and Jinjun Chen}, title = {A Knowledge Graph-Based Many-Objective Model for Explainable Social Recommendation}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {10}, number = {6}, pages = {3021--3030}, year = {2023}, url = {https://doi.org/10.1109/TCSS.2023.3283574}, doi = {10.1109/TCSS.2023.3283574}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcss/CaiGZCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/CaiLZWCZ23, author = {Xingjuan Cai and Yang Lan and Zhixia Zhang and Jie Wen and Zhihua Cui and Wensheng Zhang}, title = {A Many-Objective Optimization Based Federal Deep Generation Model for Enhancing Data Processing Capability in IoT}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {19}, number = {1}, pages = {561--569}, year = {2023}, url = {https://doi.org/10.1109/TII.2021.3093715}, doi = {10.1109/TII.2021.3093715}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/CaiLZWCZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhaoWCZYLLGY23, author = {Boxiang Zhao and Shuliang Wang and Lianhua Chi and Chuanfeng Zhao and Hanning Yuan and Qi Li and Xiaojia Liu and Jing Geng and Ye Yuan}, title = {{HANM:} Hierarchical Additive Noise Model for Many-to-One Causality Discovery}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {35}, number = {12}, pages = {12708--12720}, year = {2023}, url = {https://doi.org/10.1109/TKDE.2023.3277757}, doi = {10.1109/TKDE.2023.3277757}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/ZhaoWCZYLLGY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/PorembaDV23, author = {Joseph Poremba and Nancy A. Day and Amirhossein Vakili}, title = {New Techniques for Static Symmetry Breaking in Many-Sorted Finite Model Finding}, journal = {{IEEE} Trans. Software Eng.}, volume = {49}, number = {6}, pages = {3487--3503}, year = {2023}, url = {https://doi.org/10.1109/TSE.2023.3256939}, doi = {10.1109/TSE.2023.3256939}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/PorembaDV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/GuoLII23, author = {Houjian Guo and Chaoran Liu and Carlos Toshinori Ishi and Hiroshi Ishiguro}, title = {{QUICKVC:} {A} Lightweight VITS-Based Any-to-Many Voice Conversion Model using {ISTFT} for Faster Conversion}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2023, Taipei, Taiwan, December 16-20, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASRU57964.2023.10389621}, doi = {10.1109/ASRU57964.2023.10389621}, timestamp = {Tue, 13 Feb 2024 21:21:14 +0100}, biburl = {https://dblp.org/rec/conf/asru/GuoLII23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LiangMWX0023, author = {Yunlong Liang and Fandong Meng and Jiaan Wang and Jinan Xu and Yufeng Chen and Jie Zhou}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {D{\({^2}\)}TV: Dual Knowledge Distillation and Target-oriented Vision Modeling for Many-to-Many Multimodal Summarization}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14910--14922}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.994}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.994}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/LiangMWX0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcasia/SatoT23, author = {Mitsuhisa Sato and Miwako Tsuji}, title = {OpenACC Execution Models for Manycore Processor with {ARM} {SVE}}, booktitle = {Proceedings of the {HPC} Asia 2023 Workshops, {HPC} Asia 2023, Singapore, 27 February 2023 - 2 March 2023}, pages = {73--77}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581576.3581617}, doi = {10.1145/3581576.3581617}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcasia/SatoT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/ChichurinPMK23, author = {Alexander Chichurin and Alexander N. Prokopenya and Mukhtar Zh. Minglibayev and Aiken Kosherbayeva}, editor = {Jir{\'{\i}} Mikyska and Cl{\'{e}}lia de Mulatier and Maciej Paszynski and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Symbolic-Numeric Computation in Modeling the Dynamics of the Many-Body System {TRAPPIST}}, booktitle = {Computational Science - {ICCS} 2023 - 23rd International Conference, Prague, Czech Republic, July 3-5, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14075}, pages = {469--482}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36024-4\_36}, doi = {10.1007/978-3-031-36024-4\_36}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/ChichurinPMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/OlivierR23, author = {Rapha{\"{e}}l Olivier and Bhiksha Raj}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {How Many Perturbations Break This Model? Evaluating Robustness Beyond Adversarial Accuracy}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {26583--26598}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/olivier23a.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/OlivierR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/XuCWJ23, author = {Zhiyuan Xu and Ming Cheng and Honghui Wen and Yang Jiang}, title = {Design and Many-Objective Optimization of an In-Wheel Hybrid-Excitation Flux-Switching Machine Based on the Kriging Interpolation Model}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312593}, doi = {10.1109/IECON51785.2023.10312593}, timestamp = {Sat, 25 Nov 2023 16:52:31 +0100}, biburl = {https://dblp.org/rec/conf/iecon/XuCWJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/RusswurmHPDT23, author = {Marc Ru{\ss}wurm and Lloyd Haydn Hughes and Giorgio Pasquali and Corneliu Octavian Dumitru and Devis Tuia}, title = {Detection of Settlements in Tanzania and Mozambique by Many Regional Few-Shot Models}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {522--525}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282522}, doi = {10.1109/IGARSS52108.2023.10282522}, timestamp = {Tue, 07 Nov 2023 16:21:25 +0100}, biburl = {https://dblp.org/rec/conf/igarss/RusswurmHPDT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/Herten23, author = {Andreas Herten}, title = {Many Cores, Many Models: {GPU} Programming Model vs. Vendor Compatibility Overview}, booktitle = {Proceedings of the {SC} '23 Workshops of The International Conference on High Performance Computing, Network, Storage, and Analysis, {SC-W} 2023, Denver, CO, USA, November 12-17, 2023}, pages = {1019--1026}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3624062.3624178}, doi = {10.1145/3624062.3624178}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/Herten23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vast/PollabauerKLK23, author = {Thomas P{\"{o}}llabauer and Julius K{\"{u}}hn and Jiayi Li and Arjan Kuijper}, editor = {Holger Graf and Sofia Pescarin and Selma Rizvic and Alberto Bucciero and Bruno Fanini}, title = {One-to-many Reconstruction of 3D Geometry of cultural Artifacts using a synthetically trained Generative Model}, booktitle = {{GCH} 2023 - Eurographics Workshop on Graphics and Cultural Heritage, Salento, Lecce, Italy, 4-6 September 2023}, pages = {81--84}, publisher = {Eurographics Association}, year = {2023}, url = {https://doi.org/10.2312/gch.20231161}, doi = {10.2312/GCH.20231161}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vast/PollabauerKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/websci/TrujilloC23, author = {Amaury Trujillo and Stefano Cresci}, title = {One of Many: Assessing User-level Effects of Moderation Interventions on r/The{\_}Donald}, booktitle = {Proceedings of the 15th {ACM} Web Science Conference 2023, WebSci 2023, Austin, TX, USA, 30 April 2023 - 1 May 2023}, pages = {55--64}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3578503.3583626}, doi = {10.1145/3578503.3583626}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/websci/TrujilloC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2023pmam, editor = {Quan Chen and Zhiyi Huang and Min Si}, title = {Proceedings of the 14th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2023, Montreal, QC, Canada, 25 February 2023 - 1 March 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3582514}, doi = {10.1145/3582514}, isbn = {979-8-4007-0115-3}, timestamp = {Mon, 27 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ppopp/2023pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09531, author = {Vittorio Cortellessa and Daniele Di Pompeo and Vincenzo Stoico and Michele Tucci}, title = {Many-Objective Optimization of Non-Functional Attributes based on Refactoring of Software Models}, journal = {CoRR}, volume = {abs/2301.09531}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09531}, doi = {10.48550/ARXIV.2301.09531}, eprinttype = {arXiv}, eprint = {2301.09531}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09531.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-07393, author = {Matthew Dicks and Andrew Paskaramoorthy and Tim Gebbie}, title = {Many learning agents interacting with an agent-based market model}, journal = {CoRR}, volume = {abs/2303.07393}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.07393}, doi = {10.48550/ARXIV.2303.07393}, eprinttype = {arXiv}, eprint = {2303.07393}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-07393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-12767, author = {Yunlong Liang and Fandong Meng and Jiaan Wang and Jinan Xu and Yufeng Chen and Jie Zhou}, title = {D\({}^{\mbox{2}}\)TV: Dual Knowledge Distillation and Target-oriented Vision Modeling for Many-to-Many Multimodal Summarization}, journal = {CoRR}, volume = {abs/2305.12767}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.12767}, doi = {10.48550/ARXIV.2305.12767}, eprinttype = {arXiv}, eprint = {2305.12767}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-12767.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-11327, author = {Ammar Abbas and Sri Karlapati and Bastian Schnell and Penny Karanasou and Marcel Granero Moya and Amith Nagaraj and Ayman Boustati and Nicole Peinelt and Alexis Moinet and Thomas Drugman}, title = {eCat: An End-to-End Model for Multi-Speaker {TTS} {\&} Many-to-Many Fine-Grained Prosody Transfer}, journal = {CoRR}, volume = {abs/2306.11327}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.11327}, doi = {10.48550/ARXIV.2306.11327}, eprinttype = {arXiv}, eprint = {2306.11327}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-11327.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-12169, author = {Weiyang Wang and Manya Ghobadi and Kayvon Shakeri and Ying Zhang and Naader Hasani}, title = {Optimized Network Architectures for Large Language Model Training with Billions of Parameters}, journal = {CoRR}, volume = {abs/2307.12169}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.12169}, doi = {10.48550/ARXIV.2307.12169}, eprinttype = {arXiv}, eprint = {2307.12169}, timestamp = {Tue, 01 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-12169.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-12219, author = {Jiasheng Ye and Zaixiang Zheng and Yu Bao and Lihua Qian and Quanquan Gu}, title = {Diffusion Language Models Can Perform Many Tasks with Scaling and Instruction-Finetuning}, journal = {CoRR}, volume = {abs/2308.12219}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.12219}, doi = {10.48550/ARXIV.2308.12219}, eprinttype = {arXiv}, eprint = {2308.12219}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-12219.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01947, author = {Yuan Shangguan and Haichuan Yang and Danni Li and Chunyang Wu and Yassir Fathullah and Dilin Wang and Ayushi Dalmia and Raghuraman Krishnamoorthi and Ozlem Kalinli and Junteng Jia and Jay Mahadeokar and Xin Lei and Mike Seltzer and Vikas Chandra}, title = {{TODM:} Train Once Deploy Many Efficient Supernet-Based {RNN-T} Compression For On-device {ASR} Models}, journal = {CoRR}, volume = {abs/2309.01947}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01947}, doi = {10.48550/ARXIV.2309.01947}, eprinttype = {arXiv}, eprint = {2309.01947}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01947.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-05445, author = {Andreas Herten}, title = {Many Cores, Many Models: {GPU} Programming Model vs. Vendor Compatibility Overview}, journal = {CoRR}, volume = {abs/2309.05445}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.05445}, doi = {10.48550/ARXIV.2309.05445}, eprinttype = {arXiv}, eprint = {2309.05445}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-05445.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-00566, author = {Duanyu Feng and Yongfu Dai and Jimin Huang and Yifang Zhang and Qianqian Xie and Weiguang Han and Alejandro Lopez{-}Lira and Hao Wang}, title = {Empowering Many, Biasing a Few: Generalist Credit Scoring through Large Language Models}, journal = {CoRR}, volume = {abs/2310.00566}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.00566}, doi = {10.48550/ARXIV.2310.00566}, eprinttype = {arXiv}, eprint = {2310.00566}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-00566.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-04386, author = {Jan Finkbeiner and Thomas Gmeinder and Mark Pupilli and Alexander Titterton and Emre Neftci}, title = {Harnessing Manycore Processors with Distributed Memory for Accelerated Training of Sparse and Recurrent Models}, journal = {CoRR}, volume = {abs/2311.04386}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.04386}, doi = {10.48550/ARXIV.2311.04386}, eprinttype = {arXiv}, eprint = {2311.04386}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-04386.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-13896, author = {Maxime Breden and Maxime Payan}, title = {Computer-assisted proofs for the many steady states of a chemotaxis model with local sensing}, journal = {CoRR}, volume = {abs/2311.13896}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.13896}, doi = {10.48550/ARXIV.2311.13896}, eprinttype = {arXiv}, eprint = {2311.13896}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-13896.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-01619, author = {Zhengyu Hu and Jieyu Zhang and Yue Yu and Yuchen Zhuang and Hui Xiong}, title = {How Many Validation Labels Do You Need? Exploring the Design Space of Label-Efficient Model Ranking}, journal = {CoRR}, volume = {abs/2312.01619}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.01619}, doi = {10.48550/ARXIV.2312.01619}, eprinttype = {arXiv}, eprint = {2312.01619}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-01619.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09997, author = {Mikolaj Malkinski and Jacek Mandziuk}, title = {One Self-Configurable Model to Solve Many Abstract Visual Reasoning Problems}, journal = {CoRR}, volume = {abs/2312.09997}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09997}, doi = {10.48550/ARXIV.2312.09997}, eprinttype = {arXiv}, eprint = {2312.09997}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09997.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-10253, author = {Dirk Groeneveld and Anas Awadalla and Iz Beltagy and Akshita Bhagia and Ian Magnusson and Hao Peng and Oyvind Tafjord and Pete Walsh and Kyle Richardson and Jesse Dodge}, title = {Catwalk: {A} Unified Language Model Evaluation Framework for Many Datasets}, journal = {CoRR}, volume = {abs/2312.10253}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.10253}, doi = {10.48550/ARXIV.2312.10253}, eprinttype = {arXiv}, eprint = {2312.10253}, timestamp = {Wed, 21 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-10253.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12423, author = {Shraman Pramanick and Guangxing Han and Rui Hou and Sayan Nag and Ser{-}Nam Lim and Nicolas Ballas and Qifan Wang and Rama Chellappa and Amjad Almahairi}, title = {Jack of All Tasks, Master of Many: Designing General-purpose Coarse-to-Fine Vision-Language Model}, journal = {CoRR}, volume = {abs/2312.12423}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12423}, doi = {10.48550/ARXIV.2312.12423}, eprinttype = {arXiv}, eprint = {2312.12423}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12423.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Horsinka22, author = {Sven Alexander Horsinka}, title = {Mixed-Criticality on-Chip Network Modeling for fast Virtual Prototyping of Complex Many-Core SoCs}, school = {Braunschweig University of Technology}, year = {2022}, url = {https://publikationsserver.tu-braunschweig.de/receive/dbbs\_mods\_00071069}, urn = {urn:nbn:de:gbv:084-2022081610054}, timestamp = {Fri, 30 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Horsinka22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/Chen22, author = {Cheng{-}Lun Chen}, title = {Many-Objective Adaptive Fuzzy With Sliding Mode Control for a Class of Switching Power Converters Using Global Optimization}, journal = {{IEEE} Access}, volume = {10}, pages = {10317--10332}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3144836}, doi = {10.1109/ACCESS.2022.3144836}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/Chen22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoLH22, author = {Manyu Zhao and Wei Liu and Kai He}, title = {Research on Data Security Model of Environmental Monitoring Based on Blockchain}, journal = {{IEEE} Access}, volume = {10}, pages = {120168--120180}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3221109}, doi = {10.1109/ACCESS.2022.3221109}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhaoLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ais/HagenTB22, author = {Aina Landsverk Hagen and Ingrid M. Tolstad and Arne Lindseth Bygd{\aa}s}, title = {"Magic through many minor measures": How introducing a flowline production mode in six steps enables journalist team autonomy in local news organizations}, journal = {{AI} Soc.}, volume = {37}, number = {2}, pages = {745--759}, year = {2022}, url = {https://doi.org/10.1007/s00146-021-01176-2}, doi = {10.1007/S00146-021-01176-2}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ais/HagenTB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amses/XiaoMLRZ22, author = {Manyu Xiao and Jun Ma and Dongcheng Lu and Balaji Raghavan and Weihong Zhang}, title = {Stress-constrained topology optimization using approximate reanalysis with on-the-fly reduced order modeling}, journal = {Adv. Model. Simul. Eng. Sci.}, volume = {9}, number = {1}, pages = {17}, year = {2022}, url = {https://doi.org/10.1186/s40323-022-00231-x}, doi = {10.1186/S40323-022-00231-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amses/XiaoMLRZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/LiWDS22, author = {Jinglu Li and Peng Wang and Huachao Dong and Jiangtao Shen}, title = {Multi/many-objective evolutionary algorithm assisted by radial basis function models for expensive optimization}, journal = {Appl. Soft Comput.}, volume = {122}, pages = {108798}, year = {2022}, url = {https://doi.org/10.1016/j.asoc.2022.108798}, doi = {10.1016/J.ASOC.2022.108798}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/LiWDS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LyuHLCLTWHL22, author = {Chen Lyu and Manyan Huang and Nianjun Liu and Zhongxue Chen and Philip J. Lupo and Benjamin Tycko and John S. Witte and Charlotte A. Hobbs and Ming Li}, title = {Random field modeling of multi-trait multi-locus association for detecting methylation quantitative trait loci}, journal = {Bioinform.}, volume = {38}, number = {16}, pages = {3853--3862}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac443}, doi = {10.1093/BIOINFORMATICS/BTAC443}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LyuHLCLTWHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/SellmanBHMBPWL22, author = {Stefan Sellman and Lindsay M. Beck{-}Johnson and Clayton Hallman and Ryan S. Miller and Katharine A. Owers Bonner and Katie Portacci and Colleen Webb and Tom Lindstr{\"{o}}m}, title = {Modeling {U.S.} cattle movements until the cows come home: Who ships to whom and how many?}, journal = {Comput. Electron. Agric.}, volume = {203}, pages = {107483}, year = {2022}, url = {https://doi.org/10.1016/j.compag.2022.107483}, doi = {10.1016/J.COMPAG.2022.107483}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/SellmanBHMBPWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computers/CookG22, author = {Sebastien Cook and Paulo Garcia}, title = {Arbitrarily Parallelizable Code: {A} Model of Computation Evaluated on a Message-Passing Many-Core System}, journal = {Comput.}, volume = {11}, number = {11}, pages = {164}, year = {2022}, url = {https://doi.org/10.3390/computers11110164}, doi = {10.3390/COMPUTERS11110164}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/computers/CookG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/SiCH22, author = {Min Si and Quan Chen and Zhiyi Huang}, title = {Special issue on programming models and applications for multicores and manycores 2019-2020}, journal = {Concurr. Comput. Pract. Exp.}, volume = {34}, number = {2}, year = {2022}, url = {https://doi.org/10.1002/cpe.6677}, doi = {10.1002/CPE.6677}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/SiCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/SiCH22a, author = {Min Si and Quan Chen and Zhiyi Huang}, title = {Special Issue on Programming Models and Applications for Multicores and Manycores 2020}, journal = {Concurr. Comput. Pract. Exp.}, volume = {34}, number = {2}, year = {2022}, url = {https://doi.org/10.1002/cpe.6457}, doi = {10.1002/CPE.6457}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/SiCH22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/RickB22, author = {Rodney Rick and Lilian Berton}, title = {Energy forecasting model based on {CNN-LSTM-AE} for many time series with unequal lengths}, journal = {Eng. Appl. Artif. Intell.}, volume = {113}, pages = {104998}, year = {2022}, url = {https://doi.org/10.1016/j.engappai.2022.104998}, doi = {10.1016/J.ENGAPPAI.2022.104998}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/RickB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/CuiWLZC22, author = {Zhihua Cui and Jie Wen and Yang Lan and Zhixia Zhang and Jianghui Cai}, title = {Communication-efficient federated recommendation model based on many-objective evolutionary algorithm}, journal = {Expert Syst. Appl.}, volume = {201}, pages = {116963}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.116963}, doi = {10.1016/J.ESWA.2022.116963}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/CuiWLZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/JuniorOB22, author = {Valdivino Alexandre de Santiago J{\'{u}}nior and Ender {\"{O}}zcan and Juliana Marino Balera}, title = {Many-objective test case generation for graphical user interface applications via search-based and model-based testing}, journal = {Expert Syst. Appl.}, volume = {208}, pages = {118075}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.118075}, doi = {10.1016/J.ESWA.2022.118075}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/JuniorOB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/igpl/BadiaN22, author = {Guillermo Badia and Carles Noguera}, title = {Saturated models of first-order many-valued logics}, journal = {Log. J. {IGPL}}, volume = {30}, number = {1}, pages = {1--20}, year = {2022}, url = {https://doi.org/10.1093/jigpal/jzaa027}, doi = {10.1093/JIGPAL/JZAA027}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/igpl/BadiaN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/GongGTZ22, author = {Guiyu Gong and Chaoqin Gan and Liya Tong and Yifan Zhu}, title = {ONUs' load model for one-to-many mode matching scenario in multi-standard virtual passive optical network}, journal = {Int. J. Commun. Syst.}, volume = {35}, number = {15}, year = {2022}, url = {https://doi.org/10.1002/dac.5293}, doi = {10.1002/DAC.5293}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcomsys/GongGTZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LinCZ22, author = {Manying Lin and Qingling Cai and Jun Zhou}, title = {3D Md-Unet: {A} novel model of multi-dataset collaboration for medical image segmentation}, journal = {Neurocomputing}, volume = {492}, pages = {530--544}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2021.12.045}, doi = {10.1016/J.NEUCOM.2021.12.045}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LinCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jip/KobayashiHKFEA22, author = {Yutaro Kobayashi and Kentaro Honda and Sasuga Kojima and Hiroshi Fujimoto and Masato Edahiro and Takuya Azumi}, title = {Mapping Method Usable with Clustered Many-core Platforms for Simulink Model}, journal = {J. Inf. Process.}, volume = {30}, pages = {141--150}, year = {2022}, url = {https://doi.org/10.2197/ipsjjip.30.141}, doi = {10.2197/IPSJJIP.30.141}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jip/KobayashiHKFEA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/WangYJL22, author = {Qiuping Wang and Ting Yan and Binyan Jiang and Chenlei Leng}, title = {Two-mode Networks: Inference with as Many Parameters as Actors and Differential Privacy}, journal = {J. Mach. Learn. Res.}, volume = {23}, pages = {292:1--292:38}, year = {2022}, url = {http://jmlr.org/papers/v23/20-1255.html}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/WangYJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/AndersonC22, author = {Evan Anderson and Ai{-}Ru (Meg) Cheng}, title = {Portfolio Choices with Many Big Models}, journal = {Manag. Sci.}, volume = {68}, number = {1}, pages = {690--715}, year = {2022}, url = {https://doi.org/10.1287/mnsc.2020.3876}, doi = {10.1287/MNSC.2020.3876}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mansci/AndersonC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pai/GholamnezhadBS22, author = {Pezhman Gholamnezhad and Ali Broumandnia and Vahid Seydi}, title = {A model-based many-objective evolutionary algorithm with multiple reference vectors}, journal = {Prog. Artif. Intell.}, volume = {11}, number = {3}, pages = {251--268}, year = {2022}, url = {https://doi.org/10.1007/s13748-022-00283-5}, doi = {10.1007/S13748-022-00283-5}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pai/GholamnezhadBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/questa/Buke22, author = {Burak B{\"{u}}ke}, title = {Modelling heterogeneity in many-server queueing systems}, journal = {Queueing Syst. Theory Appl.}, volume = {100}, number = {3-4}, pages = {401--403}, year = {2022}, url = {https://doi.org/10.1007/s11134-022-09788-1}, doi = {10.1007/S11134-022-09788-1}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/questa/Buke22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/CuiZSSW22, author = {Jianyong Cui and Manyu Zhang and Dongmei Song and Xinjian Shan and Bin Wang}, title = {{MODIS} Land Surface Temperature Product Reconstruction Based on the SSA-BiLSTM Model}, journal = {Remote. Sens.}, volume = {14}, number = {4}, pages = {958}, year = {2022}, url = {https://doi.org/10.3390/rs14040958}, doi = {10.3390/RS14040958}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/CuiZSSW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/Zhou22, author = {Longwen Zhou}, title = {Generating Many Majorana Corner Modes and Multiple Phase Transitions in Floquet Second-Order Topological Superconductors}, journal = {Symmetry}, volume = {14}, number = {12}, pages = {2546}, year = {2022}, url = {https://doi.org/10.3390/sym14122546}, doi = {10.3390/SYM14122546}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/Zhou22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/MaHLBZ22, author = {Hongzhi Ma and Yutong Han and Keke Lv and Manyu Bi and Yexi Zhong}, title = {Urban Eco-Efficiency and Its Influencing Factors in China Based on the Two-Stage Super-NEBM Model}, journal = {Syst.}, volume = {10}, number = {6}, pages = {217}, year = {2022}, url = {https://doi.org/10.3390/systems10060217}, doi = {10.3390/SYSTEMS10060217}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/systems/MaHLBZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/WeiLQWL22, author = {Zhonghua Wei and Jingxuan Liang and Shi Qiu and Shaofan Wang and Sheng Liu}, title = {How Many Facilities are Needed? Evaluating Configurations of Subway Security Check Systems via a Hybrid Queueing Model}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {23}, number = {7}, pages = {8209--8222}, year = {2022}, url = {https://doi.org/10.1109/TITS.2021.3076840}, doi = {10.1109/TITS.2021.3076840}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tits/WeiLQWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/CuiZHGC22, author = {Zhihua Cui and Zhixia Zhang and Zhaoming Hu and Shaojin Geng and Jinjun Chen}, title = {A Many-Objective Optimization Based Intelligent High Performance Data Processing Model for Cyber-Physical-Social Systems}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {9}, number = {6}, pages = {3825--3834}, year = {2022}, url = {https://doi.org/10.1109/TNSE.2021.3073911}, doi = {10.1109/TNSE.2021.3073911}, timestamp = {Mon, 21 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnse/CuiZHGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/LiuCJHR22, author = {Qiqi Liu and Ran Cheng and Yaochu Jin and Martin Heiderich and Tobias Rodemann}, title = {Reference Vector-Assisted Adaptive Model Management for Surrogate-Assisted Many-Objective Optimization}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {52}, number = {12}, pages = {7760--7773}, year = {2022}, url = {https://doi.org/10.1109/TSMC.2022.3163129}, doi = {10.1109/TSMC.2022.3163129}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsmc/LiuCJHR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wias/ChenYLWLTHW22, author = {Bin Chen and Li Yu and Weiyi Luo and Chizhong Wu and Manyu Li and Hai Tan and Jiajin Huang and Zhijiang Wan}, title = {Hybrid tree model for root cause analysis of wireless network fault localization}, journal = {Web Intell.}, volume = {20}, number = {3}, pages = {213--223}, year = {2022}, url = {https://doi.org/10.3233/WEB-220016}, doi = {10.3233/WEB-220016}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wias/ChenYLWLTHW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/HalpernP22, author = {Joseph Y. Halpern and Spencer Peters}, title = {Reasoning about Causal Models with Infinitely Many Variables}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {5668--5675}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i5.20508}, doi = {10.1609/AAAI.V36I5.20508}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/HalpernP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/GirdharSRMJM22, author = {Rohit Girdhar and Mannat Singh and Nikhila Ravi and Laurens van der Maaten and Armand Joulin and Ishan Misra}, title = {Omnivore: {A} Single Model for Many Visual Modalities}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {16081--16091}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01563}, doi = {10.1109/CVPR52688.2022.01563}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/GirdharSRMJM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YangVNRVJ22, author = {Gengshan Yang and Minh Vo and Natalia Neverova and Deva Ramanan and Andrea Vedaldi and Hanbyul Joo}, title = {BANMo: Building Animatable 3D Neural Models from Many Casual Videos}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {2853--2863}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00288}, doi = {10.1109/CVPR52688.2022.00288}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YangVNRVJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/datalog/BartoliBE0D22, author = {Francesco Bartoli and Marco Botta and Roberto Esposito and Laura Giordano and Daniele Theseider Dupr{\'{e}}}, editor = {Mario Alviano and Andreas Pieris}, title = {Model Checking Verification of MultiLayer Perceptrons in Datalog: a Many-valued Approach with Typicality}, booktitle = {Proceedings of the 4th International Workshop on the Resurgence of Datalog in Academia and Industry (Datalog-2.0 2022) co-located with the 16th International Conference on Logic Programming and Nonmonotonic Reasoning {(LPNMR} 2022), Genova-Nervi, Italy, September 5, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3203}, pages = {54--67}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3203/paper4.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:45 +0100}, biburl = {https://dblp.org/rec/conf/datalog/BartoliBE0D22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ShanLZWMG22, author = {Wenkang Shan and Zhenhua Liu and Xinfeng Zhang and Shanshe Wang and Siwei Ma and Wen Gao}, editor = {Shai Avidan and Gabriel J. Brostow and Moustapha Ciss{\'{e}} and Giovanni Maria Farinella and Tal Hassner}, title = {{P-STMO:} Pre-trained Spatial Temporal Many-to-One Model for 3D Human Pose Estimation}, booktitle = {Computer Vision - {ECCV} 2022 - 17th European Conference, Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {13665}, pages = {461--478}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20065-6\_27}, doi = {10.1007/978-3-031-20065-6\_27}, timestamp = {Thu, 10 Nov 2022 10:31:49 +0100}, biburl = {https://dblp.org/rec/conf/eccv/ShanLZWMG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gecco/Panichella22, author = {Annibale Panichella}, editor = {Jonathan E. Fieldsend and Markus Wagner}, title = {An improved Pareto front modeling algorithm for large-scale many-objective optimization}, booktitle = {{GECCO} '22: Genetic and Evolutionary Computation Conference, Boston, Massachusetts, USA, July 9 - 13, 2022}, pages = {565--573}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3512290.3528732}, doi = {10.1145/3512290.3528732}, timestamp = {Tue, 12 Jul 2022 15:09:18 +0200}, biburl = {https://dblp.org/rec/conf/gecco/Panichella22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/NiTCCKLCHHKHG22, author = {Yu{-}Shu Ni and Chia{-}Chi Tsai and Chih{-}Cheng Chen and Po{-}Yu Chen and Hsien{-}Kai Kuo and Man{-}Yu Lee and Kuo Chin{-}Chuan and Zhe{-}Ln Hu and Po{-}Chi Hu and Ted T. Kuo and Jenq{-}Neng Hwang and Jiun{-}In Guo}, title = {Summary of the 2022 Low-Power Deep Learning Semantic Segmentation Model Compression Competition for Traffic Scene In Asian Countries}, booktitle = {{IEEE} International Conference on Multimedia and Expo Workshops, {ICME} Workshops 2022, Taipei, Taiwan, July 18-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICMEW56448.2022.9859367}, doi = {10.1109/ICMEW56448.2022.9859367}, timestamp = {Wed, 31 Aug 2022 10:57:44 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/NiTCCKLCHHKHG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/NiES22, author = {Tianwei Ni and Benjamin Eysenbach and Ruslan Salakhutdinov}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Recurrent Model-Free {RL} Can Be a Strong Baseline for Many POMDPs}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {16691--16723}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/ni22a.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/NiES22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KarlapatiKLAMML22, author = {Sri Karlapati and Penny Karanasou and Mateusz Lajszczak and Syed Ammar Abbas and Alexis Moinet and Peter Makarov and Ray Li and Arent van Korlaar and Simon Slangen and Thomas Drugman}, editor = {Hanseok Ko and John H. L. Hansen}, title = {CopyCat2: {A} Single Model for Multi-Speaker {TTS} and Many-to-Many Fine-Grained Prosody Transfer}, booktitle = {Interspeech 2022, 23rd Annual Conference of the International Speech Communication Association, Incheon, Korea, 18-22 September 2022}, pages = {3363--3367}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-367}, doi = {10.21437/INTERSPEECH.2022-367}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KarlapatiKLAMML22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/YangL22, author = {Ya{-}Shu Yang and Yiming Li}, title = {Model Auto Extraction for Gate-All-Around Silicon Nanowire MOSFETs Using {A} Decomposition-Based Many-Objective Evolutionary Algorithm}, booktitle = {23rd International Symposium on Quality Electronic Design, {ISQED} 2022, Santa Clara, CA, USA, April 6-7, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISQED54688.2022.9806274}, doi = {10.1109/ISQED54688.2022.9806274}, timestamp = {Sun, 19 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/YangL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msie/NgampanichC22, author = {Supitcha Ngampanich and Parames Chutima}, title = {Many-Objective Mixed-Model Parallel Assembly Line Balancing Utilizing Normal Workers, Disabled Workers, and Robots}, booktitle = {{MSIE} 2022: 4th International Conference on Management Science and Industrial Engineering, Chiang Mai Thailand, April 28 - 30, 2022}, pages = {311--317}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3535782.3535823}, doi = {10.1145/3535782.3535823}, timestamp = {Wed, 30 Aug 2023 17:32:23 +0200}, biburl = {https://dblp.org/rec/conf/msie/NgampanichC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/WeberDM22, author = {Iacana Ianiski Weber and Angelo Elias Dalzotto and Fernando Gehm Moraes}, title = {A High-level Model to Leverage NoC-based Many-core Research}, booktitle = {35th SBC/SBMicro/IEEE/ACM Symposium on Integrated Circuits and Systems Design, {SBCCI} 2022, Porto Alegre, Brazil, August 22-26, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SBCCI55532.2022.9893235}, doi = {10.1109/SBCCI55532.2022.9893235}, timestamp = {Thu, 06 Oct 2022 22:35:09 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/WeberDM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tagml/CodaCWTCGEKK22, author = {Elizabeth Coda and Nico Courts and Colby Wight and Loc Truong and WoongJo Choi and Charles Godfrey and Tegan Emerson and Keerti Kappagantula and Henry Kvinge}, editor = {Alexander Cloninger and Timothy Doster and Tegan Emerson and Manohar Kaul and Ira Ktena and Henry Kvinge and Nina Miolane and Bastian Rice and Sarah Tymochko and Guy Wolf}, title = {Fiber Bundle Morphisms as a Framework for Modeling Many-to-Many Maps}, booktitle = {Topological, Algebraic and Geometric Learning Workshops 2022, 25-22 July 2022, Virtual}, series = {Proceedings of Machine Learning Research}, volume = {196}, pages = {79--85}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v196/coda22a.html}, timestamp = {Tue, 05 Sep 2023 17:08:45 +0200}, biburl = {https://dblp.org/rec/conf/tagml/CodaCWTCGEKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/NegreanuK00F0L22, author = {Carina Negreanu and Alperen Karaoglu and Jack Williams and Shuang Chen and Daniel Fabian and Andrew D. Gordon and Chin{-}Yew Lin}, editor = {Fr{\'{e}}d{\'{e}}rique Laforest and Rapha{\"{e}}l Troncy and Elena Simperl and Deepak Agarwal and Aristides Gionis and Ivan Herman and Lionel M{\'{e}}dini}, title = {Rows from Many Sources: Enriching row completions from Wikidata with a pre-trained Language Model}, booktitle = {Companion of The Web Conference 2022, Virtual Event / Lyon, France, April 25 - 29, 2022}, pages = {1272--1280}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3487553.3524923}, doi = {10.1145/3487553.3524923}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/NegreanuK00F0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2022pmam, title = {PMAM@PPoPP 2022: Proceedings of the Thirteenth International Workshop on Programming Models and Applications for Multicores and Manycores, Virtual Event / Seoul, Republic of Korea, April 2 - 6, 2022}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3528425}, doi = {10.1145/3528425}, isbn = {978-1-4503-9339-3}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2022pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-08377, author = {Rohit Girdhar and Mannat Singh and Nikhila Ravi and Laurens van der Maaten and Armand Joulin and Ishan Misra}, title = {Omnivore: {A} Single Model for Many Visual Modalities}, journal = {CoRR}, volume = {abs/2201.08377}, year = {2022}, url = {https://arxiv.org/abs/2201.08377}, eprinttype = {arXiv}, eprint = {2201.08377}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-08377.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-07628, author = {Wenkang Shan and Zhenhua Liu and Xinfeng Zhang and Shanshe Wang and Siwei Ma and Wen Gao}, title = {{P-STMO:} Pre-Trained Spatial Temporal Many-to-One Model for 3D Human Pose Estimation}, journal = {CoRR}, volume = {abs/2203.07628}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.07628}, doi = {10.48550/ARXIV.2203.07628}, eprinttype = {arXiv}, eprint = {2203.07628}, timestamp = {Sat, 30 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-07628.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-07830, author = {Shashikiran Venkatesha and Ranjani Parthasarathi}, title = {A Survey of fault models and fault tolerance methods for 2D bus-based multi-core systems and {TSV} based 3D {NOC} many-core systems}, journal = {CoRR}, volume = {abs/2203.07830}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.07830}, doi = {10.48550/ARXIV.2203.07830}, eprinttype = {arXiv}, eprint = {2203.07830}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-07830.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-08189, author = {Elizabeth Coda and Nico Courts and Colby Wight and Loc Truong and WoongJo Choi and Charles Godfrey and Tegan Emerson and Keerti Kappagantula and Henry Kvinge}, title = {Fiber Bundle Morphisms as a Framework for Modeling Many-to-Many Maps}, journal = {CoRR}, volume = {abs/2203.08189}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.08189}, doi = {10.48550/ARXIV.2203.08189}, eprinttype = {arXiv}, eprint = {2203.08189}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-08189.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-07014, author = {Carina Negreanu and Alperen Karaoglu and Jack Williams and Shuang Chen and Daniel Fabian and Andrew D. Gordon and Chin{-}Yew Lin}, title = {Rows from Many Sources: Enriching row completions from Wikidata with a pre-trained Language Model}, journal = {CoRR}, volume = {abs/2204.07014}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.07014}, doi = {10.48550/ARXIV.2204.07014}, eprinttype = {arXiv}, eprint = {2204.07014}, timestamp = {Tue, 19 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-07014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04663, author = {Faris M. Sbahi and Antonio J. Martinez and Sahil Patel and Dmitri Saberi and Jae Hyeon Yoo and Geoffrey Roeder and Guillaume Verdon}, title = {Provably efficient variational generative modeling of quantum many-body systems via quantum-probabilistic information geometry}, journal = {CoRR}, volume = {abs/2206.04663}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04663}, doi = {10.48550/ARXIV.2206.04663}, eprinttype = {arXiv}, eprint = {2206.04663}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-07288, author = {Ziyi Chen and Haoran Miao and Pengyuan Zhang}, title = {Streaming non-autoregressive model for any-to-many voice conversion}, journal = {CoRR}, volume = {abs/2206.07288}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.07288}, doi = {10.48550/ARXIV.2206.07288}, eprinttype = {arXiv}, eprint = {2206.07288}, timestamp = {Tue, 21 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-07288.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-12531, author = {Prabhu Manyem}, title = {Maximum independent set (stable set) problem: {A} mathematical programming model with valid inequalities and computational testing}, journal = {CoRR}, volume = {abs/2206.12531}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.12531}, doi = {10.48550/ARXIV.2206.12531}, eprinttype = {arXiv}, eprint = {2206.12531}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-12531.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-13443, author = {Sri Karlapati and Penny Karanasou and Mateusz Lajszczak and Ammar Abbas and Alexis Moinet and Peter Makarov and Ray Li and Arent van Korlaar and Simon Slangen and Thomas Drugman}, title = {CopyCat2: {A} Single Model for Multi-Speaker {TTS} and Many-to-Many Fine-Grained Prosody Transfer}, journal = {CoRR}, volume = {abs/2206.13443}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.13443}, doi = {10.48550/ARXIV.2206.13443}, eprinttype = {arXiv}, eprint = {2206.13443}, timestamp = {Tue, 05 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-13443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-14729, author = {Venelin Kovatchev and Trina Chatterjee and Venkata Subrahmanyan Govindarajan and Jifan Chen and Eunsol Choi and Gabriella Chronis and Anubrata Das and Katrin Erk and Matthew Lease and Junyi Jessy Li and Yating Wu and Kyle Mahowald}, title = {longhorns at {DADC} 2022: How many linguists does it take to fool a Question Answering model? {A} systematic approach to adversarial attacks}, journal = {CoRR}, volume = {abs/2206.14729}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.14729}, doi = {10.48550/ARXIV.2206.14729}, eprinttype = {arXiv}, eprint = {2206.14729}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-14729.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-07036, author = {Wei{-}Ning Hsu and Bowen Shi}, title = {A Single Self-Supervised Model for Many Speech Modalities Enables Zero-Shot Modality Transfer}, journal = {CoRR}, volume = {abs/2207.07036}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.07036}, doi = {10.48550/ARXIV.2207.07036}, eprinttype = {arXiv}, eprint = {2207.07036}, timestamp = {Tue, 19 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-07036.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-11014, author = {Yassine Hamoudi}, title = {Preparing Many Copies of a Quantum State in the Black-Box Model}, journal = {CoRR}, volume = {abs/2207.11014}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.11014}, doi = {10.48550/ARXIV.2207.11014}, eprinttype = {arXiv}, eprint = {2207.11014}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-11014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-05863, author = {Lihang Liu and Donglong He and Xiaomin Fang and Shanzhuo Zhang and Fan Wang and Jingzhou He and Hua Wu}, title = {{GEM-2:} Next Generation Molecular Property Prediction Network with Many-body and Full-range Interaction Modeling}, journal = {CoRR}, volume = {abs/2208.05863}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.05863}, doi = {10.48550/ARXIV.2208.05863}, eprinttype = {arXiv}, eprint = {2208.05863}, timestamp = {Mon, 09 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-05863.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-06899, author = {Lisa P. Argyle and Ethan C. Busby and Nancy Fulda and Joshua Gubler and Christopher Michael Rytting and David Wingate}, title = {Out of One, Many: Using Language Models to Simulate Human Samples}, journal = {CoRR}, volume = {abs/2209.06899}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.06899}, doi = {10.48550/ARXIV.2209.06899}, eprinttype = {arXiv}, eprint = {2209.06899}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-06899.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08809, author = {Amaury Trujillo and Stefano Cresci}, title = {One of Many: Assessing User-level Effects of Moderation Interventions on r/The{\_}Donald}, journal = {CoRR}, volume = {abs/2209.08809}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08809}, doi = {10.48550/ARXIV.2209.08809}, eprinttype = {arXiv}, eprint = {2209.08809}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08809.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/it/Rinaldi21, author = {Luca Rinaldi}, title = {Extending the Actor Model with Parallel Patterns: a new model for multi-/many-core platforms}, school = {University of Pisa, Italy}, year = {2021}, url = {https://etd.adm.unipi.it/theses/available/etd-04172021-170725/}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/it/Rinaldi21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiuLWLZY21, author = {Wei Liu and Peiyao Li and Keyu Wang and Lu Lu and Manyu Zhao and Wenbo Yang}, title = {Coal-Gangue Interface Detection Based on Ensemble Empirical Mode Decomposition Energy Entropy}, journal = {{IEEE} Access}, volume = {9}, pages = {54639--54648}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3070447}, doi = {10.1109/ACCESS.2021.3070447}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiuLWLZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ail/KulickiTS21, author = {Piotr Kulicki and Robert Trypuz and Marek J. Sergot}, title = {Who is obliged when many are involved? Labelled transition system modelling of how obligation arises}, journal = {Artif. Intell. Law}, volume = {29}, number = {3}, pages = {395--415}, year = {2021}, url = {https://doi.org/10.1007/s10506-020-09279-9}, doi = {10.1007/S10506-020-09279-9}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ail/KulickiTS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apin/XuZHDC21, author = {Jialei Xu and Zhixia Zhang and Zhaoming Hu and Lei Du and Xingjuan Cai}, title = {A many-objective optimized task allocation scheduling model in cloud computing}, journal = {Appl. Intell.}, volume = {51}, number = {6}, pages = {3293--3310}, year = {2021}, url = {https://doi.org/10.1007/s10489-020-01887-x}, doi = {10.1007/S10489-020-01887-X}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apin/XuZHDC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/VanaretSSKROAB21, author = {Charlie Vanaret and Philipp Seufert and Jan Schwientek and Gleb Karpov and Gleb V. Ryzhakov and Ivan V. Oseledets and Norbert Asprion and Michael Bortz}, title = {Two-phase approaches to optimal model-based design of experiments: how many experiments and which ones?}, journal = {Comput. Chem. Eng.}, volume = {146}, pages = {107218}, year = {2021}, url = {https://doi.org/10.1016/j.compchemeng.2020.107218}, doi = {10.1016/J.COMPCHEMENG.2020.107218}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/VanaretSSKROAB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/LiZY21, author = {Manyao Li and Jin Zhao and Xiaoguang Yang}, title = {Building a new machine learning-based model to estimate county-level climatic yield variation for maize in Northeast China}, journal = {Comput. Electron. Agric.}, volume = {191}, pages = {106557}, year = {2021}, url = {https://doi.org/10.1016/j.compag.2021.106557}, doi = {10.1016/J.COMPAG.2021.106557}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/LiZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/LuHCT21, author = {Xing L{\"{u}} and Yan{-}Fei Hua and Sijia Chen and Xian{-}Feng Tang}, title = {Integrability characteristics of a novel (2+1)-dimensional nonlinear model: Painlev{\'{e}} analysis, soliton solutions, B{\"{a}}cklund transformation, Lax pair and infinitely many conservation laws}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {95}, pages = {105612}, year = {2021}, url = {https://doi.org/10.1016/j.cnsns.2020.105612}, doi = {10.1016/J.CNSNS.2020.105612}, timestamp = {Mon, 22 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cnsns/LuHCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/Schmelcher21a, author = {Peter Schmelcher}, title = {Many-body effects in models with superexponential interactions}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {97}, pages = {105760}, year = {2021}, url = {https://doi.org/10.1016/j.cnsns.2021.105760}, doi = {10.1016/J.CNSNS.2021.105760}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cnsns/Schmelcher21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/HalbiniakOSKL21, author = {Kamil Halbiniak and Tomasz Olas and Lukasz Szustak and Adam Kulawik and Marco Lapegna}, title = {Dynamic workload prediction and distribution in numerical modeling of solidification on multi-/manycore architectures}, journal = {Concurr. Comput. Pract. Exp.}, volume = {33}, number = {11}, year = {2021}, url = {https://doi.org/10.1002/cpe.5905}, doi = {10.1002/CPE.5905}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/HalbiniakOSKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/PlastinoMP21, author = {Angel Ricardo Plastino and Diana Monteoliva and Angelo Plastino}, title = {Information-Theoretic Features of Many Fermion Systems: An Exploration Based on Exactly Solvable Models}, journal = {Entropy}, volume = {23}, number = {11}, pages = {1488}, year = {2021}, url = {https://doi.org/10.3390/e23111488}, doi = {10.3390/E23111488}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/PlastinoMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/JongPKK21, author = {Kor de Jong and Debabrata Panja and Marc J. van Kreveld and Derek Karssenberg}, title = {An environmental modelling framework based on asynchronous many-tasks: Scalability and usability}, journal = {Environ. Model. Softw.}, volume = {139}, pages = {104998}, year = {2021}, url = {https://doi.org/10.1016/j.envsoft.2021.104998}, doi = {10.1016/J.ENVSOFT.2021.104998}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/JongPKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ChangTW21, author = {Tsung{-}Sheng Chang and Kaoru Tone and Chen{-}Hui Wu}, title = {Nested dynamic network data envelopment analysis models with infinitely many decision making units for portfolio evaluation}, journal = {Eur. J. Oper. Res.}, volume = {291}, number = {2}, pages = {766--781}, year = {2021}, url = {https://doi.org/10.1016/j.ejor.2020.09.044}, doi = {10.1016/J.EJOR.2020.09.044}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/ChangTW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/CaiGWCC21, author = {Xingjuan Cai and Shaojin Geng and Di Wu and Jianghui Cai and Jinjun Chen}, title = {A Multicloud-Model-Based Many-Objective Intelligent Algorithm for Efficient Task Scheduling in Internet of Things}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {12}, pages = {9645--9653}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3040019}, doi = {10.1109/JIOT.2020.3040019}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/CaiGWCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/CuiZHCZC21, author = {Zhihua Cui and Peng Zhao and Zhaoming Hu and Xingjuan Cai and Wensheng Zhang and Jinjun Chen}, title = {An improved matrix factorization based model for many-objective optimization recommendation}, journal = {Inf. Sci.}, volume = {579}, pages = {1--14}, year = {2021}, url = {https://doi.org/10.1016/j.ins.2021.07.077}, doi = {10.1016/J.INS.2021.07.077}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/CuiZHCZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/ZhaiZBJ21, author = {Jia Zhai and Haitao Zheng and Manying Bai and Yunyun Jiang}, title = {Multiperiod portfolio selection models under uncertain measure and with multiple criteria}, journal = {J. Intell. Fuzzy Syst.}, volume = {40}, number = {3}, pages = {5071--5086}, year = {2021}, url = {https://doi.org/10.3233/JIFS-201769}, doi = {10.3233/JIFS-201769}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/ZhaiZBJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mma/GoufoRB21, author = {Emile{-}Franc Doungmo Goufo and Chokkalingam Ravichandran and Gunvant A. Birajdar}, title = {Self-Similarity Techniques for Chaotic attractors with Many Scrolls using Step Series switching}, journal = {Math. Model. Anal.}, volume = {26}, number = {4}, pages = {591--611}, year = {2021}, url = {https://doi.org/10.3846/mma.2021.13678}, doi = {10.3846/MMA.2021.13678}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mma/GoufoRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/ChakrabortyH21, author = {Prakash Chakraborty and Harsha Honnappa}, title = {A many-server functional strong law for a non-stationary loss model}, journal = {Oper. Res. Lett.}, volume = {49}, number = {3}, pages = {338--344}, year = {2021}, url = {https://doi.org/10.1016/j.orl.2021.03.004}, doi = {10.1016/J.ORL.2021.03.004}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/orl/ChakrabortyH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/quantum/YalouzSMD21, author = {Saad Yalouz and Bruno Senjean and Filippo Miatto and Vedran Dunjko}, title = {Encoding strongly-correlated many-boson wavefunctions on a photonic quantum computer: application to the attractive Bose-Hubbard model}, journal = {Quantum}, volume = {5}, pages = {572}, year = {2021}, url = {https://doi.org/10.22331/q-2021-11-08-572}, doi = {10.22331/Q-2021-11-08-572}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/quantum/YalouzSMD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/LiuCWWLM21, author = {Songxiang Liu and Yuewen Cao and Disong Wang and Xixin Wu and Xunying Liu and Helen Meng}, title = {Any-to-Many Voice Conversion With Location-Relative Sequence-to-Sequence Modeling}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {29}, pages = {1717--1728}, year = {2021}, url = {https://doi.org/10.1109/TASLP.2021.3076867}, doi = {10.1109/TASLP.2021.3076867}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/LiuCWWLM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/CaiZNCC21, author = {Xingjuan Cai and Jiangjiang Zhang and Zhenhu Ning and Zhihua Cui and Jinjun Chen}, title = {A Many-Objective Multistage Optimization-Based Fuzzy Decision-Making Model for Coal Production Prediction}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {29}, number = {12}, pages = {3665--3675}, year = {2021}, url = {https://doi.org/10.1109/TFUZZ.2021.3089230}, doi = {10.1109/TFUZZ.2021.3089230}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tfs/CaiZNCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tlsdkcs/SuzanneR0JH21, author = {Aur{\'{e}}lie Suzanne and Guillaume Raschia and Jos{\'{e}} Martinez and Romain Jaouen and Fabien Herv{\'{e}}}, title = {Temporal Aggregation of Spanning Event Stream: An Extended Framework to Handle the Many Stream Models}, journal = {Trans. Large Scale Data Knowl. Centered Syst.}, volume = {49}, pages = {1--32}, year = {2021}, url = {https://doi.org/10.1007/978-3-662-64148-4\_1}, doi = {10.1007/978-3-662-64148-4\_1}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tlsdkcs/SuzanneR0JH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/GowdaZMM21, author = {Thamme Gowda and Zhao Zhang and Chris Mattmann and Jonathan May}, editor = {Heng Ji and Jong C. Park and Rui Xia}, title = {Many-to-English Machine Translation Tools, Data, and Pretrained Models}, booktitle = {Proceedings of the Joint Conference of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, {ACL} 2021 - System Demonstrations, Online, August 1-6, 2021}, pages = {306--316}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.acl-demo.37}, doi = {10.18653/V1/2021.ACL-DEMO.37}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/GowdaZMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/SimoulinC21, author = {Antoine Simoulin and Beno{\^{\i}}t Crabb{\'{e}}}, editor = {Jad Kabbara and Haitao Lin and Amandalynne Paullada and Jannis Vamvas}, title = {How Many Layers and Why? An Analysis of the Model Depth in Transformers}, booktitle = {Proceedings of the {ACL-IJCNLP} 2021 Student Research Workshop, {ACL} 2021, Online, JUli 5-10, 2021}, pages = {221--228}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.acl-srw.23}, doi = {10.18653/V1/2021.ACL-SRW.23}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/SimoulinC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsos/OgdenGWG21, author = {Samuel S. Ogden and Guin Gilman and Robert J. Walls and Tian Guo}, editor = {Esam El{-}Araby and Vana Kalogeraki and Danilo Pianini and Fr{\'{e}}d{\'{e}}ric Lassabe and Barry Porter and Sona Ghahremani and Ingrid Nunes and Mohamed Bakhouya and Sven Tomforde}, title = {Many Models at the Edge: Scaling Deep Inference via Model-Level Caching}, booktitle = {{IEEE} International Conference on Autonomic Computing and Self-Organizing Systems, {ACSOS} 2021, Washington, DC, USA, September 27 - Oct. 1, 2021}, pages = {51--60}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ACSOS52086.2021.00027}, doi = {10.1109/ACSOS52086.2021.00027}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acsos/OgdenGWG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgmm/Holmgren21, author = {Cecilia Holmgren}, editor = {Joakim Lindblad and Filip Malmberg and Natasa Sladoje}, title = {Split Trees - {A} Unifying Model for Many Important Random Trees of Logarithmic Height: {A} Brief Survey}, booktitle = {Discrete Geometry and Mathematical Morphology - First International Joint Conference, {DGMM} 2021, Uppsala, Sweden, May 24-27, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12708}, pages = {20--57}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-76657-3\_2}, doi = {10.1007/978-3-030-76657-3\_2}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dgmm/Holmgren21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YuM21, author = {Xinyuan Yu and Brian Mak}, title = {Non-Parallel Many-To-Many Voice Conversion by Knowledge Transfer from a Text-To-Speech Model}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {5924--5928}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9414757}, doi = {10.1109/ICASSP39728.2021.9414757}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/YuM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictai/LeungHL21, author = {Chin{-}Wing Leung and Shuyue Hu and Ho{-}fung Leung}, title = {Formal Modeling of Reinforcement Learning with Many Agents through Repeated Local Interactions}, booktitle = {33rd {IEEE} International Conference on Tools with Artificial Intelligence, {ICTAI} 2021, Washington, DC, USA, November 1-3, 2021}, pages = {714--718}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTAI52525.2021.00113}, doi = {10.1109/ICTAI52525.2021.00113}, timestamp = {Tue, 28 Dec 2021 13:25:55 +0100}, biburl = {https://dblp.org/rec/conf/ictai/LeungHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GadikarDJ21, author = {Pranav Gadikar and Patrick Diehl and Prashant K. Jha}, title = {Load balancing for distributed nonlocal models within asynchronous many-task systems}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPS} Workshops 2021, Portland, OR, USA, June 17-21, 2021}, pages = {669--678}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IPDPSW52791.2021.00103}, doi = {10.1109/IPDPSW52791.2021.00103}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/GadikarDJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SilvaWMM21, author = {Alzemiro Henrique Lucas da Silva and Ia{\c{c}}an{\~{a}} I. Weber and Andr{\'{e}} Lu{\'{\i}}s Del Mestre Martins and Fernando Gehm Moraes}, title = {Dynamic Thermal Management in Many-Core Systems Leveraged by Abstract Modeling}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401414}, doi = {10.1109/ISCAS51556.2021.9401414}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SilvaWMM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isica/FanZLC21, author = {Tian Fan and Zhixia Zhang and Yang Lan and Zhihua Cui}, editor = {Kangshun Li and Yong Liu and Wenxiang Wang}, title = {A Many-Objective Anomaly Detection Model for Vehicle Network Based on Federated Learning and Differential Privacy Protection}, booktitle = {Exploration of Novel Intelligent Optimization Algorithms - 12th International Symposium, {ISICA} 2021, Guangzhou, China, November 20-21, 2021, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1590}, pages = {52--61}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-981-19-4109-2\_6}, doi = {10.1007/978-981-19-4109-2\_6}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isica/FanZLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KazakovaKSG21, author = {Nadiia Kazakova and Mikolaj P. Karpinski and Artem Sokolov and Tomasz Gancarczyk}, editor = {Jaroslaw Watr{\'{o}}bski and Wojciech Salabun and Carlos Toro and Cecilia Zanni{-}Merk and Robert J. Howlett and Lakhmi C. Jain}, title = {Nonlinearity of Many-Valued Logic Component Functions of Modern Cryptographic Algorithms S-boxes}, booktitle = {Knowledge-Based and Intelligent Information {\&} Engineering Systems: Proceedings of the 25th International Conference KES-2021, Virtual Event / Szczecin, Poland, 8-10 September 2021}, series = {Procedia Computer Science}, volume = {192}, pages = {2731--2741}, publisher = {Elsevier}, year = {2021}, url = {https://doi.org/10.1016/j.procs.2021.09.043}, doi = {10.1016/J.PROCS.2021.09.043}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/KazakovaKSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mascots/NookalaDHCR21, author = {Poornima Nookala and Peter A. Dinda and Kyle C. Hale and Kyle Chard and Ioan Raicu}, title = {Enabling Extremely Fine-grained Parallelism via Scalable Concurrent Queues on Modern Many-core Architectures}, booktitle = {29th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems, {MASCOTS} 2021, Houston, TX, USA, November 3-5, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MASCOTS53633.2021.9614292}, doi = {10.1109/MASCOTS53633.2021.9614292}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mascots/NookalaDHCR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msml/GispenL21, author = {Willem Gispen and Austen Lamacraft}, editor = {Joan Bruna and Jan S. Hesthaven and Lenka Zdeborov{\'{a}}}, title = {Ground States of Quantum Many Body Lattice Models via Reinforcement Learning}, booktitle = {Mathematical and Scientific Machine Learning, 16-19 August 2021, Virtual Conference / Lausanne, Switzerland}, series = {Proceedings of Machine Learning Research}, volume = {145}, pages = {369--385}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v145/gispen22a.html}, timestamp = {Fri, 20 May 2022 12:50:15 +0200}, biburl = {https://dblp.org/rec/conf/msml/GispenL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/AsaiYKH21, author = {Akari Asai and Xinyan Yu and Jungo Kasai and Hanna Hajishirzi}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {One Question Answering Model for Many Languages with Cross-lingual Dense Passage Retrieval}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {7547--7560}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/3df07fdae1ab273a967aaa1d355b8bb6-Abstract.html}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/AsaiYKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nodalida/PyysaloKVG21, author = {Sampo Pyysalo and Jenna Kanerva and Antti Virtanen and Filip Ginter}, editor = {Simon Dobnik and Lilja {\O}vrelid}, title = {WikiBERT Models: Deep Transfer Learning for Many Languages}, booktitle = {Proceedings of the 23rd Nordic Conference on Computational Linguistics, NoDaLiDa 2021, Reykjavik, Iceland (Online), May 31 - June 2, 2021}, pages = {1--10}, publisher = {Link{\"{o}}ping University Electronic Press, Sweden}, year = {2021}, url = {https://aclanthology.org/2021.nodalida-main.1/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nodalida/PyysaloKVG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/SuKW21, author = {Ming{-}Hsiang Su and Yu{-}An Ko and Man{-}Ying Wang}, editor = {Lung{-}Hao Lee and Chia{-}Hui Chang and Kuan{-}Yu Chen}, title = {Discussion on the relationship between elders' daily conversations and cognitive executive function: using word vectors and regression models}, booktitle = {Proceedings of the 33rd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2021, Taoyuan, Taiwan, October 15-16, 2021}, pages = {58--62}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2021}, url = {https://aclanthology.org/2021.rocling-1.8}, timestamp = {Tue, 26 Oct 2021 14:09:04 +0200}, biburl = {https://dblp.org/rec/conf/rocling/SuKW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soda/KijimaSS21, author = {Shuji Kijima and Nobutaka Shimizu and Takeharu Shiraga}, editor = {D{\'{a}}niel Marx}, title = {How Many Vertices Does a Random Walk Miss in a Network with Moderately Increasing the Number of Vertices?}, booktitle = {Proceedings of the 2021 {ACM-SIAM} Symposium on Discrete Algorithms, {SODA} 2021, Virtual Conference, January 10 - 13, 2021}, pages = {106--122}, publisher = {{SIAM}}, year = {2021}, url = {https://doi.org/10.1137/1.9781611976465.8}, doi = {10.1137/1.9781611976465.8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/soda/KijimaSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2021pmam, editor = {Quan Chen and Zhiyi Huang and Min Si}, title = {PMAM@PPoPP 2021: Proceedings of the Twelfth International Workshop on Programming Models and Applications for Multicores and Manycores, Virtual Event, Republic of Korea, 27 February 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3448290}, doi = {10.1145/3448290}, isbn = {978-1-4503-8348-6}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2021pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-02284, author = {Ashwinkumar Badanidiyuru and Andrew Evdokimov and Vinodh Krishnan and Pan Li and Wynn Vonnegut and Jayden Wang}, title = {Handling many conversions per click in modeling delayed feedback}, journal = {CoRR}, volume = {abs/2101.02284}, year = {2021}, url = {https://arxiv.org/abs/2101.02284}, eprinttype = {arXiv}, eprint = {2101.02284}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-02284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-03819, author = {Pranav Gadikar and Patrick Diehl and Prashant K. Jha}, title = {Load balancing for distributed nonlocal models within asynchronous many-task systems}, journal = {CoRR}, volume = {abs/2102.03819}, year = {2021}, url = {https://arxiv.org/abs/2102.03819}, eprinttype = {arXiv}, eprint = {2102.03819}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-03819.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-00290, author = {Thamme Gowda and Zhao Zhang and Chris A. Mattmann and Jonathan May}, title = {Many-to-English Machine Translation Tools, Data, and Pretrained Models}, journal = {CoRR}, volume = {abs/2104.00290}, year = {2021}, url = {https://arxiv.org/abs/2104.00290}, eprinttype = {arXiv}, eprint = {2104.00290}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-00290.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-06483, author = {Sanath Kumar Krishnamurthy and Susan Athey}, title = {Optimal Model Selection in Contextual Bandits with Many Classes via Offline Oracles}, journal = {CoRR}, volume = {abs/2106.06483}, year = {2021}, url = {https://arxiv.org/abs/2106.06483}, eprinttype = {arXiv}, eprint = {2106.06483}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-06483.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-09635, author = {Jia Yin and Yang{-}hao Chan and Felipe H. da Jornada and Diana Qiu and Chao Yang and Steven G. Louie}, title = {Analyzing and predicting non-equilibrium many-body dynamics via dynamic mode decomposition}, journal = {CoRR}, volume = {abs/2107.09635}, year = {2021}, url = {https://arxiv.org/abs/2107.09635}, eprinttype = {arXiv}, eprint = {2107.09635}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-09635.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-11976, author = {Akari Asai and Xinyan Yu and Jungo Kasai and Hannaneh Hajishirzi}, title = {One Question Answering Model for Many Languages with Cross-lingual Dense Passage Retrieval}, journal = {CoRR}, volume = {abs/2107.11976}, year = {2021}, url = {https://arxiv.org/abs/2107.11976}, eprinttype = {arXiv}, eprint = {2107.11976}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-11976.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-05038, author = {Tianwei Ni and Benjamin Eysenbach and Ruslan Salakhutdinov}, title = {Recurrent Model-Free {RL} is a Strong Baseline for Many POMDPs}, journal = {CoRR}, volume = {abs/2110.05038}, year = {2021}, url = {https://arxiv.org/abs/2110.05038}, eprinttype = {arXiv}, eprint = {2110.05038}, timestamp = {Thu, 21 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-05038.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-14811, author = {Weitao Du and He Zhang and Yuanqi Du and Qi Meng and Wei Chen and Bin Shao and Tie{-}Yan Liu}, title = {Equivariant vector field network for many-body system modeling}, journal = {CoRR}, volume = {abs/2110.14811}, year = {2021}, url = {https://arxiv.org/abs/2110.14811}, eprinttype = {arXiv}, eprint = {2110.14811}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-14811.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-09171, author = {Spencer Peters and Joseph Y. Halpern}, title = {Causal Modeling With Infinitely Many Variables}, journal = {CoRR}, volume = {abs/2112.09171}, year = {2021}, url = {https://arxiv.org/abs/2112.09171}, eprinttype = {arXiv}, eprint = {2112.09171}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-09171.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-11362, author = {Joseph Y. Halpern and Spencer Peters}, title = {Reasoning About Causal Models With Infinitely Many Variables}, journal = {CoRR}, volume = {abs/2112.11362}, year = {2021}, url = {https://arxiv.org/abs/2112.11362}, eprinttype = {arXiv}, eprint = {2112.11362}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-11362.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-12761, author = {Gengshan Yang and Minh Vo and Natalia Neverova and Deva Ramanan and Andrea Vedaldi and Hanbyul Joo}, title = {BANMo: Building Animatable 3D Neural Models from Many Casual Videos}, journal = {CoRR}, volume = {abs/2112.12761}, year = {2021}, url = {https://arxiv.org/abs/2112.12761}, eprinttype = {arXiv}, eprint = {2112.12761}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-12761.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKKH20, author = {Younghwan Kim and Huy Kang Kim and Hyoungshick Kim and Jin B. Hong}, title = {Do Many Models Make Light Work? Evaluating Ensemble Solutions for Improved Rumor Detection}, journal = {{IEEE} Access}, volume = {8}, pages = {150709--150724}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3016664}, doi = {10.1109/ACCESS.2020.3016664}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimKKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangWZCX20, author = {Zhixia Zhang and Jie Wen and Jiangjiang Zhang and Xingjuan Cai and Liping Xie}, title = {A Many Objective-Based Feature Selection Model for Anomaly Detection in Cloud Environment}, journal = {{IEEE} Access}, volume = {8}, pages = {60218--60231}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2981373}, doi = {10.1109/ACCESS.2020.2981373}, timestamp = {Mon, 24 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangWZCX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccfthpc/FangHTW20, author = {Jianbin Fang and Chun Huang and Tao Tang and Zheng Wang}, title = {Parallel programming models for heterogeneous many-cores: a comprehensive survey}, journal = {{CCF} Trans. High Perform. Comput.}, volume = {2}, number = {4}, pages = {382--400}, year = {2020}, url = {https://doi.org/10.1007/s42514-020-00039-4}, doi = {10.1007/S42514-020-00039-4}, timestamp = {Thu, 14 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ccfthpc/FangHTW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/MichelAJ20, author = {Nicolas Michel and Hasan Metin Aktulga and Y. Jaganathen}, title = {Toward scalable many-body calculations for nuclear open quantum systems using the Gamow Shell Model}, journal = {Comput. Phys. Commun.}, volume = {247}, year = {2020}, url = {https://doi.org/10.1016/j.cpc.2019.106978}, doi = {10.1016/J.CPC.2019.106978}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cphysics/MichelAJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/VasuSR20, author = {Ganji Vasu and Mangipudi Sivakumar and Manyala Ramalinga Raju}, title = {Optimal Model Approximation of Linear Time-Invariant Systems Using the Enhanced {DE} Algorithm and Improved {MPPA} Method}, journal = {Circuits Syst. Signal Process.}, volume = {39}, number = {5}, pages = {2376--2411}, year = {2020}, url = {https://doi.org/10.1007/s00034-019-01259-y}, doi = {10.1007/S00034-019-01259-Y}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cssp/VasuSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/ShahinfarMF20, author = {Saleh Shahinfar and Paul D. Meek and Gregory Falzon}, title = {"How many images do {I} need?" Understanding how sample size per class affects deep learning model performance metrics for balanced designs in autonomous wildlife monitoring}, journal = {Ecol. Informatics}, volume = {57}, pages = {101085}, year = {2020}, url = {https://doi.org/10.1016/j.ecoinf.2020.101085}, doi = {10.1016/J.ECOINF.2020.101085}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/ShahinfarMF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/Alon20, author = {Ofir E. Alon}, title = {Solvable Model of a Generic Driven Mixture of Trapped Bose-Einstein Condensates and Properties of a Many-Boson Floquet State at the Limit of an Infinite Number of Particles}, journal = {Entropy}, volume = {22}, number = {12}, pages = {1342}, year = {2020}, url = {https://doi.org/10.3390/e22121342}, doi = {10.3390/E22121342}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/Alon20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ZhouWW20, author = {Xu Zhou and Qing{-}Kun Wan and Xiao{-}Hui Wang}, title = {Many-Body Dynamics and Decoherence of the {XXZ} Central Spin Model in External Magnetic Field}, journal = {Entropy}, volume = {22}, number = {1}, pages = {23}, year = {2020}, url = {https://doi.org/10.3390/e22010023}, doi = {10.3390/E22010023}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/ZhouWW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/BartholomewK20, author = {Erin Bartholomew and Jan H. Kwakkel}, title = {On considering robustness in the search phase of Robust Decision Making: {A} comparison of Many-Objective Robust Decision Making, multi-scenario Many-Objective Robust Decision Making, and Many Objective Robust Optimization}, journal = {Environ. Model. Softw.}, volume = {127}, pages = {104699}, year = {2020}, url = {https://doi.org/10.1016/j.envsoft.2020.104699}, doi = {10.1016/J.ENVSOFT.2020.104699}, timestamp = {Thu, 23 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/BartholomewK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/PetersonSCCVPBC20, author = {Erin E. Peterson and Edgar Santos{-}Fern{\'{a}}ndez and Carla C. M. Chen and Samuel Clifford and Julie Vercelloni and Alan Pearse and Ross Brown and Bryce Christensen and Allan R. James and Ken Anthony and Jennifer Loder and Manuel Gonz{\'{a}}lez{-}Rivero and Chris M. Roelfsema and M. Julian Caley and Camille Mellin and Tomasz Bednarz and Kerrie L. Mengersen}, title = {Monitoring through many eyes: Integrating disparate datasets to improve monitoring of the Great Barrier Reef}, journal = {Environ. Model. Softw.}, volume = {124}, pages = {104557}, year = {2020}, url = {https://doi.org/10.1016/j.envsoft.2019.104557}, doi = {10.1016/J.ENVSOFT.2019.104557}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/PetersonSCCVPBC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/CieloIBBF20, author = {Salvatore Cielo and Luigi Iapichino and Fabio Baruffa and Matteo Bugli and Christoph Federrath}, title = {Honing and proofing Astrophysical codes on the road to Exascale. Experiences from code modernization on many-core systems}, journal = {Future Gener. Comput. Syst.}, volume = {112}, pages = {93--107}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.05.003}, doi = {10.1016/J.FUTURE.2020.05.003}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/CieloIBBF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/GuW20, author = {Zi{-}Min Gu and Gai{-}Ge Wang}, title = {Improving {NSGA-III} algorithms with information feedback models for large-scale many-objective optimization}, journal = {Future Gener. Comput. Syst.}, volume = {107}, pages = {49--69}, year = {2020}, url = {https://doi.org/10.1016/j.future.2020.01.048}, doi = {10.1016/J.FUTURE.2020.01.048}, timestamp = {Tue, 21 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/GuW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/MurinovaN20, author = {Petra Murinov{\'{a}} and Vil{\'{e}}m Nov{\'{a}}k}, title = {The theory of intermediate quantifiers in fuzzy natural logic revisited and the model of "Many"}, journal = {Fuzzy Sets Syst.}, volume = {388}, pages = {56--89}, year = {2020}, url = {https://doi.org/10.1016/j.fss.2019.12.010}, doi = {10.1016/J.FSS.2019.12.010}, timestamp = {Wed, 22 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fss/MurinovaN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/SaitoAT20, author = {Yuki Saito and Kei Akuzawa and Kentaro Tachibana}, title = {Joint Adversarial Training of Speech Recognition and Synthesis Models for Many-to-One Voice Conversion Using Phonetic Posteriorgrams}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {103-D}, number = {9}, pages = {1978--1987}, year = {2020}, url = {https://doi.org/10.1587/transinf.2019EDP7297}, doi = {10.1587/TRANSINF.2019EDP7297}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicetd/SaitoAT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/MaWCCWLSH20, author = {Lianbo Ma and Rui Wang and Shengminjie Chen and Shi Cheng and Xingwei Wang and Zhiwei Lin and Yuhui Shi and Min Huang}, title = {A novel many-objective evolutionary algorithm based on transfer matrix with Kriging model}, journal = {Inf. Sci.}, volume = {509}, pages = {437--456}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2019.01.030}, doi = {10.1016/J.INS.2019.01.030}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/MaWCCWLSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhangWLYJD20, author = {Yin Zhang and Gai{-}Ge Wang and Keqin Li and Wei{-}Chang Yeh and Muwei Jian and Junyu Dong}, title = {Enhancing {MOEA/D} with information feedback models for large-scale many-objective optimization}, journal = {Inf. Sci.}, volume = {522}, pages = {1--16}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2020.02.066}, doi = {10.1016/J.INS.2020.02.066}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZhangWLYJD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/WuGCZX20, author = {Di Wu and Shaojin Geng and Xingjuan Cai and Guoyou Zhang and Fei Xue}, title = {A many-objective optimization {WSN} energy balance model}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {14}, number = {2}, pages = {514--537}, year = {2020}, url = {https://doi.org/10.3837/tiis.2020.02.003}, doi = {10.3837/TIIS.2020.02.003}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/WuGCZX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jip/ShimadaYT20, author = {Natsumi Shimada and Natsuki Yamazaki and Yuichi Takano}, title = {Multi-objective Optimization Models for Many-to-one Matching Problems}, journal = {J. Inf. Process.}, volume = {28}, pages = {406--412}, year = {2020}, url = {https://doi.org/10.2197/ipsjjip.28.406}, doi = {10.2197/IPSJJIP.28.406}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jip/ShimadaYT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsce/VasuSR20, author = {Ganji Vasu and Mangipudi Sivakumar and Manyala Ramalinga Raju}, title = {A novel model reduction approach for linear time-invariant systems via enhanced {PSO-DV} algorithm and improved {MPPA} method}, journal = {J. Syst. Control. Eng.}, volume = {234}, number = {2}, year = {2020}, url = {https://doi.org/10.1177/0959651819849372}, doi = {10.1177/0959651819849372}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsce/VasuSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/AsgharianE20, author = {Lida Asgharian and Hossein Ebrahimnezhad}, title = {How many sample points are sufficient for 3D model surface representation and accurate mesh simplification?}, journal = {Multim. Tools Appl.}, volume = {79}, number = {39-40}, pages = {29595--29620}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-09395-3}, doi = {10.1007/S11042-020-09395-3}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/AsgharianE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/network/CaoWZSL20, author = {Bin Cao and Xuesong Wang and Weizheng Zhang and Houbing Song and Zhihan Lv}, title = {A Many-Objective Optimization Model of Industrial Internet of Things Based on Private Blockchain}, journal = {{IEEE} Netw.}, volume = {34}, number = {5}, pages = {78--83}, year = {2020}, url = {https://doi.org/10.1109/MNET.011.1900536}, doi = {10.1109/MNET.011.1900536}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/network/CaoWZSL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/quantum/NickelsenK20, author = {Daniel Nickelsen and Michael Kastner}, title = {Modelling equilibration of local many-body quantum systems by random graph ensembles}, journal = {Quantum}, volume = {4}, pages = {273}, year = {2020}, url = {https://doi.org/10.22331/q-2020-05-28-273}, doi = {10.22331/Q-2020-05-28-273}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/quantum/NickelsenK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/LiuLCT20, author = {Yupeng Liu and Manyu Li and Yifei Chen and Gwo{-}Hshiung Tzeng}, title = {Evaluation of and improvement planning for smart homes using rough knowledge-based rules on a hybrid multiple attribute decision-making model}, journal = {Soft Comput.}, volume = {24}, number = {10}, pages = {7781--7800}, year = {2020}, url = {https://doi.org/10.1007/s00500-019-04396-3}, doi = {10.1007/S00500-019-04396-3}, timestamp = {Tue, 21 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/LiuLCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aclwat/DabreC20, author = {Raj Dabre and Abhisek Chakrabarty}, editor = {Toshiaki Nakazawa and Hideki Nakayama and Chenchen Ding and Raj Dabre and Anoop Kunchukuttan and Win Pa Pa and Ondrej Bojar and Shantipriya Parida and Isao Goto and Hidaya Mino and Hiroshi Manabe and Katsuhito Sudoh and Sadao Kurohashi and Pushpak Bhattacharyya}, title = {NICT's Submission To {WAT} 2020: How Effective Are Simple Many-To-Many Neural Machine Translation Models?}, booktitle = {Proceedings of the 7th Workshop on Asian Translation, WAT@AACL/IJCNLP 2020, Suzhou, China, December 4, 2020}, pages = {98--102}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://aclanthology.org/2020.wat-1.9/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aclwat/DabreC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/besc/Mizuta20, author = {Takanobu Mizuta}, title = {How Many Orders does a Spoofer Need? - Investigation by Agent-Based Model -}, booktitle = {7th International Conference on Behavioural and Social Computing, {BESC} 2020, Bournemouth, United Kingdom, November 5-7, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BESC51023.2020.9348308}, doi = {10.1109/BESC51023.2020.9348308}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/besc/Mizuta20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/KurowskiCW20, author = {Krzysztof Kurowski and Milosz Ciznicki and Jan Weglarz}, title = {Energy efficiency and performance modeling of stencil applications on manycore and {GPU} computing resources}, booktitle = {20th {IEEE/ACM} International Symposium on Cluster, Cloud and Internet Computing, {CCGRID} 2020, Melbourne, Australia, May 11-14, 2020}, pages = {232--241}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CCGrid49817.2020.00-70}, doi = {10.1109/CCGRID49817.2020.00-70}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/KurowskiCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/YoshinakaA20, author = {Ryo Yoshinaka and Takuya Azumi}, title = {Model-Based Development Considering Self-Driving Systems for Many-Core Processors}, booktitle = {25th {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2020, Vienna, Austria, September 8-11, 2020}, pages = {337--344}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ETFA46521.2020.9212016}, doi = {10.1109/ETFA46521.2020.9212016}, timestamp = {Thu, 15 Oct 2020 10:17:48 +0200}, biburl = {https://dblp.org/rec/conf/etfa/YoshinakaA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/HuangZSLF20, author = {Caiyun Huang and Yujia Zhu and Yong Sun and Qingyun Liu and Binxing Fang}, title = {How Many Users Behind {A} Local Recursive {DNS} Server? Estimated by Delta-Time Cluster Model}, booktitle = {22nd {IEEE} International Conference on High Performance Computing and Communications; 18th {IEEE} International Conference on Smart City; 6th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2020, Yanuca Island, Cuvu, Fiji, December 14-16, 2020}, pages = {465--474}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCC-SmartCity-DSS50907.2020.00057}, doi = {10.1109/HPCC-SMARTCITY-DSS50907.2020.00057}, timestamp = {Wed, 05 May 2021 11:23:31 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/HuangZSLF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbe/ZhangZZGG20, author = {Manyu Zhang and Yifei Zhang and Alice Zhao and Chun Guo and Lingzhong Guo}, title = {Towards Data-Driven Modelling of Sumoylation Following Heat Shock}, booktitle = {{ICBBE} 2020: 7th International Conference on Biomedical and Bioinformatics Engineering, Kyoto, Japan, November, 2020}, pages = {16--21}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3444884.3444888}, doi = {10.1145/3444884.3444888}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbbe/ZhangZZGG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/SundararajanN20, author = {Mukund Sundararajan and Amir Najmi}, title = {The Many Shapley Values for Model Explanation}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {9269--9278}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/sundararajan20b.html}, timestamp = {Tue, 15 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/SundararajanN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/NekvindaD20, author = {Tom{\'{a}}s Nekvinda and Ondrej Dusek}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {One Model, Many Languages: Meta-Learning for Multilingual Text-to-Speech}, booktitle = {Interspeech 2020, 21st Annual Conference of the International Speech Communication Association, Virtual Event, Shanghai, China, 25-29 October 2020}, pages = {2972--2976}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-2679}, doi = {10.21437/INTERSPEECH.2020-2679}, timestamp = {Fri, 29 Jan 2021 17:40:16 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/NekvindaD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/BlanusaSIA20, author = {Jovan Blanusa and Radu Stoica and Paolo Ienne and Kubilay Atasu}, title = {Parallelizing Maximal Clique Enumeration on Modern Manycore Processors}, booktitle = {2020 {IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2020, New Orleans, LA, USA, May 18-22, 2020}, pages = {211--214}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IPDPSW50202.2020.00047}, doi = {10.1109/IPDPSW50202.2020.00047}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/BlanusaSIA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/HondaKFEA20, author = {Kentaro Honda and Sasuga Kojima and Hiroshi Fujimoto and Masato Edahiro and Takuya Azumi}, title = {Mapping Method of MATLAB/Simulink Model for Embedded Many-Core Platform}, booktitle = {28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2020, V{\"{a}}ster{\aa}s, Sweden, March 11-13, 2020}, pages = {182--186}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PDP50117.2020.00034}, doi = {10.1109/PDP50117.2020.00034}, timestamp = {Tue, 19 May 2020 14:16:27 +0200}, biburl = {https://dblp.org/rec/conf/pdp/HondaKFEA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/GuptaMLK20, author = {Nikunj Gupta and Jackson R. Mayo and Adrian S. Lemoine and Hartmut Kaiser}, title = {Towards Distributed Software Resilience in Asynchronous Many- Task Programming Models}, booktitle = {10th {IEEE/ACM} Workshop on Fault Tolerance for {HPC} at eXtreme Scale, FTXS@SC 2020, Atlanta, GA, USA, November 11, 2020}, pages = {11--20}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/FTXS51974.2020.00007}, doi = {10.1109/FTXS51974.2020.00007}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/GuptaMLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uai/BucurCH20, author = {Ioan Gabriel Bucur and Tom Claassen and Tom Heskes}, editor = {Ryan P. Adams and Vibhav Gogate}, title = {{MASSIVE:} Tractable and Robust Bayesian Learning of Many-Dimensional Instrumental Variable Models}, booktitle = {Proceedings of the Thirty-Sixth Conference on Uncertainty in Artificial Intelligence, {UAI} 2020, virtual online, August 3-6, 2020}, series = {Proceedings of Machine Learning Research}, volume = {124}, pages = {1049--1058}, publisher = {{AUAI} Press}, year = {2020}, url = {http://proceedings.mlr.press/v124/gabriel-bucur20a.html}, timestamp = {Tue, 07 May 2024 20:09:01 +0200}, biburl = {https://dblp.org/rec/conf/uai/BucurCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/GomezCA20, author = {Raquel Hern{\'{a}}ndez G{\'{o}}mez and Carlos A. Coello Coello and Enrique Alba}, editor = {Thomas Bartz{-}Beielstein and Bogdan Filipic and Peter Korosec and El{-}Ghazali Talbi}, title = {A Parallel Island Model for Hypervolume-Based Many-Objective Optimization}, booktitle = {High-Performance Simulation-Based Optimization}, series = {Studies in Computational Intelligence}, volume = {833}, pages = {247--273}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-18764-4\_11}, doi = {10.1007/978-3-030-18764-4\_11}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sci/GomezCA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2020pmam, editor = {Quan Chen and Zhiyi Huang and Min Si}, title = {PMAM@PPoPP '20: Eleventh International Workshop on Programming Models and Applications for Multicores and Manycores colocated with the 25th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, San Diego, California, USA, February 22, 2020}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3380536}, doi = {10.1145/3380536}, isbn = {978-1-4503-7522-1}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2020pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-11393, author = {Venera Khoromskaia and Boris N. Khoromskij}, title = {Prospects of tensor-based numerical modeling of the collective electrostatic potential in many-particle systems}, journal = {CoRR}, volume = {abs/2001.11393}, year = {2020}, url = {https://arxiv.org/abs/2001.11393}, eprinttype = {arXiv}, eprint = {2001.11393}, timestamp = {Mon, 03 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-11393.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-08161, author = {Salvatore Cielo and Luigi Iapichino and Fabio Baruffa and Matteo Bugli and Christoph Federrath}, title = {Honing and proofing Astrophysical codes on the road to Exascale. Experiences from code modernization on many-core systems}, journal = {CoRR}, volume = {abs/2002.08161}, year = {2020}, url = {https://arxiv.org/abs/2002.08161}, eprinttype = {arXiv}, eprint = {2002.08161}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-08161.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-10616, author = {Zhiming Fang and Zhongyi Huang and Xiaolian Li and Jun Zhang and Wei Lv and Lei Zhuang and Xingpeng Xu and Nan Huang}, title = {How many infections of {COVID-19} there will be in the "Diamond Princess"-Predicted by a virus transmission model based on the simulation of crowd flow}, journal = {CoRR}, volume = {abs/2002.10616}, year = {2020}, url = {https://arxiv.org/abs/2002.10616}, eprinttype = {arXiv}, eprint = {2002.10616}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-10616.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-01003, author = {Suat Gumussoy and Hitay {\"{O}}zbay}, title = {Control of Systems with Infinitely Many Unstable Modes and Strongly Stabilizing Controllers Achieving a Desired Sensitivity}, journal = {CoRR}, volume = {abs/2003.01003}, year = {2020}, url = {https://arxiv.org/abs/2003.01003}, eprinttype = {arXiv}, eprint = {2003.01003}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-01003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-02882, author = {Joseph Poremba}, title = {Static Symmetry Breaking in Many-Sorted Finite Model Finding}, journal = {CoRR}, volume = {abs/2003.02882}, year = {2020}, url = {https://arxiv.org/abs/2003.02882}, eprinttype = {arXiv}, eprint = {2003.02882}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-02882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2003-05773, author = {Suat Gumussoy and Hitay {\"{O}}zbay}, title = {On the Mixed Sensitivity Minimization for Systems with Infinitely Many Unstable Modes}, journal = {CoRR}, volume = {abs/2003.05773}, year = {2020}, url = {https://arxiv.org/abs/2003.05773}, eprinttype = {arXiv}, eprint = {2003.05773}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2003-05773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01580, author = {Navin Kashyap and Manjunath Krishnapur}, title = {How many modes can a constrained Gaussian mixture have?}, journal = {CoRR}, volume = {abs/2005.01580}, year = {2020}, url = {https://arxiv.org/abs/2005.01580}, eprinttype = {arXiv}, eprint = {2005.01580}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01580.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04094, author = {Jianbin Fang and Chun Huang and Tao Tang and Zheng Wang}, title = {Parallel Programming Models for Heterogeneous Many-Cores : {A} Survey}, journal = {CoRR}, volume = {abs/2005.04094}, year = {2020}, url = {https://arxiv.org/abs/2005.04094}, eprinttype = {arXiv}, eprint = {2005.04094}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04094.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-01538, author = {Sampo Pyysalo and Jenna Kanerva and Antti Virtanen and Filip Ginter}, title = {WikiBERT models: deep transfer learning for many languages}, journal = {CoRR}, volume = {abs/2006.01538}, year = {2020}, url = {https://arxiv.org/abs/2006.01538}, eprinttype = {arXiv}, eprint = {2006.01538}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-01538.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-14420, author = {Xingwen Zheng and Minglei Xiong and Junzheng Zheng and Manyi Wang and Runyu Tian and Guangming Xie}, title = {Three-Dimensional Dynamic Modeling and Motion Analysis for an Active-Tail-Actuated Robotic Fish with Barycentre Regulating Mechanism}, journal = {CoRR}, volume = {abs/2006.14420}, year = {2020}, url = {https://arxiv.org/abs/2006.14420}, eprinttype = {arXiv}, eprint = {2006.14420}, timestamp = {Wed, 01 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-14420.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-09883, author = {Haisheng Su and Jinyuan Feng and Hao Shao and Zhenyu Jiang and Manyuan Zhang and Wei Wu and Yu Liu and Hongsheng Li and Junjie Yan}, title = {Complementary Boundary Generator with Scale-Invariant Relation Modeling for Temporal Action Localization: Submission to ActivityNet Challenge 2020}, journal = {CoRR}, volume = {abs/2007.09883}, year = {2020}, url = {https://arxiv.org/abs/2007.09883}, eprinttype = {arXiv}, eprint = {2007.09883}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-09883.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-00768, author = {Tom{\'{a}}s Nekvinda and Ondrej Dusek}, title = {One Model, Many Languages: Meta-learning for Multilingual Text-to-Speech}, journal = {CoRR}, volume = {abs/2008.00768}, year = {2020}, url = {https://arxiv.org/abs/2008.00768}, eprinttype = {arXiv}, eprint = {2008.00768}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-00768.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-07437, author = {Mary Lai O. Salva{\~{n}}a and Sameh Abdulah and Huang Huang and Hatem Ltaief and Ying Sun and Marc G. Genton and David E. Keyes}, title = {High Performance Multivariate Spatial Modeling for Geostatistical Data on Manycore Systems}, journal = {CoRR}, volume = {abs/2008.07437}, year = {2020}, url = {https://arxiv.org/abs/2008.07437}, eprinttype = {arXiv}, eprint = {2008.07437}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-07437.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-09016, author = {Saeed Salehi}, title = {From Intuitionism to Many-Valued Logics through Kripke Models}, journal = {CoRR}, volume = {abs/2008.09016}, year = {2020}, url = {https://arxiv.org/abs/2008.09016}, eprinttype = {arXiv}, eprint = {2008.09016}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-09016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-02725, author = {Songxiang Liu and Yuewen Cao and Disong Wang and Xixin Wu and Xunying Liu and Helen Meng}, title = {Any-to-Many Voice Conversion with Location-Relative Sequence-to-Sequence Modeling}, journal = {CoRR}, volume = {abs/2009.02725}, year = {2020}, url = {https://arxiv.org/abs/2009.02725}, eprinttype = {arXiv}, eprint = {2009.02725}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-02725.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-08186, author = {Saleh Shahinfar and Paul D. Meek and Gregory Falzon}, title = {How many images do {I} need? Understanding how sample size per class affects deep learning model performance metrics for balanced designs in autonomous wildlife monitoring}, journal = {CoRR}, volume = {abs/2010.08186}, year = {2020}, url = {https://arxiv.org/abs/2010.08186}, eprinttype = {arXiv}, eprint = {2010.08186}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-08186.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10930, author = {Nikunj Gupta and Jackson R. Mayo and Adrian S. Lemoine and Hartmut Kaiser}, title = {Towards Distributed Software Resilience in Asynchronous Many-Task Programming Models}, journal = {CoRR}, volume = {abs/2010.10930}, year = {2020}, url = {https://arxiv.org/abs/2010.10930}, eprinttype = {arXiv}, eprint = {2010.10930}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10930.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11751, author = {Jonas Latt and Christophe Coreixas and Jo{\"{e}}l B{\'{e}}ny}, title = {Cross-platform programming model for many-core lattice Boltzmann simulations}, journal = {CoRR}, volume = {abs/2010.11751}, year = {2020}, url = {https://arxiv.org/abs/2010.11751}, eprinttype = {arXiv}, eprint = {2010.11751}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11751.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-10141, author = {Ioan Gabriel Bucur and Tom Claassen and Tom Heskes}, title = {{MASSIVE:} Tractable and Robust Bayesian Learning of Many-Dimensional Instrumental Variable Models}, journal = {CoRR}, volume = {abs/2012.10141}, year = {2020}, url = {https://arxiv.org/abs/2012.10141}, eprinttype = {arXiv}, eprint = {2012.10141}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-10141.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Liang19a, author = {Ching{-}Kai Liang}, title = {Model, predict, and mitigate scalability bottlenecks for parallel application on many-core processors}, school = {Georgia Institute of Technology, Atlanta, GA, {USA}}, year = {2019}, url = {https://hdl.handle.net/1853/61667}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Liang19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Hofmann19, author = {Johannes Hofmann}, title = {A First-Principles Approach to Performance, Power, and Energy Models for Contemporary Multi- and Many-Core Processors}, school = {University of Erlangen-Nuremberg, Germany}, year = {2019}, url = {https://d-nb.info/1202373054}, isbn = {978-3-8439-4187-7}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Hofmann19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/AlHayanni19, author = {Mohammed A. Noaman Al{-}Hayanni}, title = {Investigation into scalable energy and performance models for many-core systems}, school = {Newcastle University, Newcastle upon Tyne, {UK}}, year = {2019}, url = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.799576}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/AlHayanni19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HorroRT19, author = {Marcos Horro and Gabriel Rodr{\'{\i}}guez and Juan Touri{\~{n}}o}, title = {Simulating the Network Activity of Modern Manycores}, journal = {{IEEE} Access}, volume = {7}, pages = {81195--81210}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2923855}, doi = {10.1109/ACCESS.2019.2923855}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HorroRT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiuZTPZGY19, author = {Tao Liu and Yuan Zhuang and Min Tian and Jingshan Pan and Yunhui Zeng and Ying Guo and Meihong Yang}, title = {Parallel Implementation and Optimization of Regional Ocean Modeling System {(ROMS)} Based on Sunway {SW26010} Many-Core Processor}, journal = {{IEEE} Access}, volume = {7}, pages = {146170--146182}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2944922}, doi = {10.1109/ACCESS.2019.2944922}, timestamp = {Thu, 30 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LiuZTPZGY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apal/Scowcroft19, author = {Philip Scowcroft}, title = {Model-completions for Abelian lattice-ordered groups with finitely many disjoint elements}, journal = {Ann. Pure Appl. Log.}, volume = {170}, number = {6}, pages = {673--698}, year = {2019}, url = {https://doi.org/10.1016/j.apal.2019.01.002}, doi = {10.1016/J.APAL.2019.01.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apal/Scowcroft19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apal/Scowcroft19a, author = {Philip Scowcroft}, title = {Corrigendum to "Model-completions for Abelian lattice-ordered groups with finitely many disjoint elements" [Ann. Pure Appl. Logic 170 {(2019)} 673-698]}, journal = {Ann. Pure Appl. Log.}, volume = {170}, number = {11}, year = {2019}, url = {https://doi.org/10.1016/j.apal.2019.102720}, doi = {10.1016/J.APAL.2019.102720}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/apal/Scowcroft19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/Duan19, author = {Xiaoxu Duan}, title = {{MCEDA:} {A} novel many-objective optimization approach based on model and clustering}, journal = {Appl. Soft Comput.}, volume = {74}, pages = {274--290}, year = {2019}, url = {https://doi.org/10.1016/j.asoc.2018.10.039}, doi = {10.1016/J.ASOC.2018.10.039}, timestamp = {Thu, 03 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/asc/Duan19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ChenLFQL19, author = {Yuedan Chen and Kenli Li and Xiongwei Fei and Zhe Quan and Keqin Li}, title = {Implementation and optimization of a data protecting model on the Sunway TaihuLight supercomputer with heterogeneous many-core processors}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {21}, year = {2019}, url = {https://doi.org/10.1002/cpe.4758}, doi = {10.1002/CPE.4758}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/ChenLFQL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssc/HayakawaS19, author = {Kazuhiko Hayakawa and Qi Sun}, title = {Instrumental variable estimation of factor models with possibly many variables}, journal = {Commun. Stat. Simul. Comput.}, volume = {48}, number = {6}, pages = {1729--1745}, year = {2019}, url = {https://doi.org/10.1080/03610918.2018.1423690}, doi = {10.1080/03610918.2018.1423690}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cssc/HayakawaS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ArisoyCM19, author = {Onat Arisoy and Steve Campbell and {\"{O}}zg{\"{u}}r Esat M{\"{u}}stecaplioglu}, title = {Thermalization of Finite Many-Body Systems by a Collision Model}, journal = {Entropy}, volume = {21}, number = {12}, pages = {1182}, year = {2019}, url = {https://doi.org/10.3390/e21121182}, doi = {10.3390/E21121182}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/ArisoyCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/MatteoMD19, author = {Michael Di Matteo and Holger R. Maier and Graeme C. Dandy}, title = {Many-objective portfolio optimization approach for stormwater management project selection encouraging decision maker buy-in}, journal = {Environ. Model. Softw.}, volume = {111}, pages = {340--355}, year = {2019}, url = {https://doi.org/10.1016/j.envsoft.2018.09.008}, doi = {10.1016/J.ENVSOFT.2018.09.008}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/MatteoMD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/SahraeiAS19, author = {Shahram Sahraei and Masoud Asadzadeh and Mahyar Shafii}, title = {Toward effective many-objective optimization: Rounded-archiving}, journal = {Environ. Model. Softw.}, volume = {122}, year = {2019}, url = {https://doi.org/10.1016/j.envsoft.2019.104535}, doi = {10.1016/J.ENVSOFT.2019.104535}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/SahraeiAS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/SaltelliKBFFHLW19, author = {Andrea Saltelli and Ksenia A. Kalinina and William Becker and Pamela Fennell and Federico Ferretti and Niels Holst and Sushan Li and Qiongli Wu}, title = {Why so many published sensitivity analyses are false: {A} systematic review of sensitivity analysis practices}, journal = {Environ. Model. Softw.}, volume = {114}, pages = {29--39}, year = {2019}, url = {https://doi.org/10.1016/j.envsoft.2019.01.012}, doi = {10.1016/J.ENVSOFT.2019.01.012}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/SaltelliKBFFHLW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/FangLLLP19, author = {Yilin Fang and Quan Liu and Miqing Li and Yuanjun Laili and Duc Truong Pham}, title = {Evolutionary many-objective optimization for mixed-model disassembly line balancing with multi-robotic workstations}, journal = {Eur. J. Oper. Res.}, volume = {276}, number = {1}, pages = {160--174}, year = {2019}, url = {https://doi.org/10.1016/j.ejor.2018.12.035}, doi = {10.1016/J.EJOR.2018.12.035}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/FangLLLP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijal/Galli19a, author = {Brian J. Galli}, title = {Why Are There So Many Different Continuous Improvement Models?: {A} Reflection of Practice}, journal = {Int. J. Appl. Logist.}, volume = {9}, number = {1}, pages = {73--91}, year = {2019}, url = {https://doi.org/10.4018/IJAL.2019010105}, doi = {10.4018/IJAL.2019010105}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijal/Galli19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/AbdiGCCWW19, author = {Daniel S. Abdi and Francis X. Giraldo and Emil M. Constantinescu and Lester E. Carr and Lucas C. Wilcox and Timothy C. Warburton}, title = {Acceleration of the IMplicit-EXplicit nonhydrostatic unified model of the atmosphere on manycore processors}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {33}, number = {2}, year = {2019}, url = {https://doi.org/10.1177/1094342017732395}, doi = {10.1177/1094342017732395}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpca/AbdiGCCWW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/SerpaCDKNPFRH19, author = {Matheus S. Serpa and Eduardo H. M. Cruz and Matthias Diener and Arthur M. Krause and Philippe O. A. Navaux and Jairo Panetta and Albert Farr{\'{e}}s and Claudia Rosas and Mauricio Hanzich}, title = {Optimization strategies for geophysics models on manycore systems}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {33}, number = {3}, year = {2019}, url = {https://doi.org/10.1177/1094342018824150}, doi = {10.1177/1094342018824150}, timestamp = {Tue, 04 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhpca/SerpaCDKNPFRH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/XuanLQZ19, author = {Hejun Xuan and Lidan Lin and Lanlan Qiao and Yang Zhou}, title = {Grey Wolf Algorithm and Multi-Objective Model for the Manycast {RSA} Problem in EONs}, journal = {Inf.}, volume = {10}, number = {12}, pages = {398}, year = {2019}, url = {https://doi.org/10.3390/info10120398}, doi = {10.3390/INFO10120398}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/information/XuanLQZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamris/BacigalKK19, author = {Tom{\'{a}}s Bacig{\'{a}}l and Magdal{\'{e}}na Komorn{\'{\i}}kov{\'{a}} and Jozef Komorn{\'{\i}}k}, title = {State-of-the-art in Modeling Nonlinear Dependence Among Many Random Variables with Copulas and Application to Financial Indexes}, journal = {J. Autom. Mob. Robotics Intell. Syst.}, volume = {13}, number = {3}, pages = {84--91}, year = {2019}, url = {https://doi.org/10.14313/jamris/3-2019/31}, doi = {10.14313/JAMRIS/3-2019/31}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamris/BacigalKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/CasciucZHMBV19, author = {Iuri Casciuc and Yuliana Zabolotna and Dragos Horvath and Gilles Marcou and J{\"{u}}rgen Bajorath and Alexandre Varnek}, title = {Virtual Screening with Generative Topographic Maps: How Many Maps Are Required?}, journal = {J. Chem. Inf. Model.}, volume = {59}, number = {1}, pages = {564--572}, year = {2019}, url = {https://doi.org/10.1021/acs.jcim.8b00650}, doi = {10.1021/ACS.JCIM.8B00650}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/CasciucZHMBV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmlr/FisherRD19, author = {Aaron Fisher and Cynthia Rudin and Francesca Dominici}, title = {All Models are Wrong, but Many are Useful: Learning a Variable's Importance by Studying an Entire Class of Prediction Models Simultaneously}, journal = {J. Mach. Learn. Res.}, volume = {20}, pages = {177:1--177:81}, year = {2019}, url = {http://jmlr.org/papers/v20/18-760.html}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmlr/FisherRD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/FlorimbiTMDDL19, author = {Giordana Florimbi and Emanuele Torti and Stefano Masoli and Egidio D'Angelo and Giovanni Danese and Francesco Leporati}, title = {Exploiting multi-core and many-core architectures for efficient simulation of biologically realistic models of Golgi cells}, journal = {J. Parallel Distributed Comput.}, volume = {126}, pages = {48--66}, year = {2019}, url = {https://doi.org/10.1016/j.jpdc.2018.12.004}, doi = {10.1016/J.JPDC.2018.12.004}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/FlorimbiTMDDL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/ZhengHX19, author = {Cheng Zheng and Tongtong Hong and Manyi Xue}, title = {{\unicode{29992}}{\unicode{20110}}{\unicode{30701}}{\unicode{25991}}{\unicode{26412}}{\unicode{20998}}{\unicode{31867}}{\unicode{30340}}BLSTM{\_}MLPCNN{\unicode{27169}}{\unicode{22411}} (BLSTM{\_}MLPCNN Model for Short Text Classification)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {46}, number = {6}, pages = {206--211}, year = {2019}, url = {https://doi.org/10.11896/j.issn.1002-137X.2019.06.031}, doi = {10.11896/J.ISSN.1002-137X.2019.06.031}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/ZhengHX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/ZhengXHS19, author = {Cheng Zheng and Manyi Xue and Tongtong Hong and Feibao Song}, title = {{\unicode{29992}}{\unicode{20110}}{\unicode{30701}}{\unicode{25991}}{\unicode{26412}}{\unicode{20998}}{\unicode{31867}}{\unicode{30340}}DC-BiGRU{\_}CNN{\unicode{27169}}{\unicode{22411}} (DC-BiGRU{\_}CNN Model for Short-text Classification)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {46}, number = {11}, pages = {186--192}, year = {2019}, url = {https://doi.org/10.11896/jsjkx.180901702}, doi = {10.11896/JSJKX.180901702}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/ZhengXHS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/JinUT19, author = {Ze Jin and Jayaram K. Udupa and Drew A. Torigian}, title = {How many models/atlases are needed as priors for capturing anatomic population variations?}, journal = {Medical Image Anal.}, volume = {58}, year = {2019}, url = {https://doi.org/10.1016/j.media.2019.101550}, doi = {10.1016/J.MEDIA.2019.101550}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/JinUT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/NagasakaMAB19, author = {Yusuke Nagasaka and Satoshi Matsuoka and Ariful Azad and Aydin Bulu{\c{c}}}, title = {Performance optimization, modeling and analysis of sparse matrix-matrix products on multi-core and many-core processors}, journal = {Parallel Comput.}, volume = {90}, year = {2019}, url = {https://doi.org/10.1016/j.parco.2019.102545}, doi = {10.1016/J.PARCO.2019.102545}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pc/NagasakaMAB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/SiHB19, author = {Min Si and Zhiyi Huang and Pavan Balaji}, title = {International workshop on programming models and applications for multicores and manycores {(PMAM} 2018)}, journal = {Parallel Comput.}, volume = {88}, year = {2019}, url = {https://doi.org/10.1016/j.parco.2019.07.001}, doi = {10.1016/J.PARCO.2019.07.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/SiHB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scl/Embree19, author = {Mark Embree}, title = {Unstable modes in projection-based reduced-order models: How many can there be, and what do they tell you?}, journal = {Syst. Control. Lett.}, volume = {124}, pages = {49--59}, year = {2019}, url = {https://doi.org/10.1016/j.sysconle.2018.11.010}, doi = {10.1016/J.SYSCONLE.2018.11.010}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scl/Embree19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/HongW19, author = {Ying{-}Yi Hong and Man{-}Yin Wu}, title = {Markov Model-Based Energy Storage System Planning in Power Systems}, journal = {{IEEE} Syst. J.}, volume = {13}, number = {4}, pages = {4313--4323}, year = {2019}, url = {https://doi.org/10.1109/JSYST.2019.2900081}, doi = {10.1109/JSYST.2019.2900081}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/HongW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/YueMCWS19, author = {Shasha Yue and Yan Ma and Lajiao Chen and Yuzhu Wang and Weijing Song}, title = {Dynamic {DAG} scheduling for many-task computing of distributed eco-hydrological model}, journal = {J. Supercomput.}, volume = {75}, number = {2}, pages = {510--532}, year = {2019}, url = {https://doi.org/10.1007/s11227-017-2047-1}, doi = {10.1007/S11227-017-2047-1}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/YueMCWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AbubakerAA19, author = {Nabil Abubaker and Kadir Akbudak and Cevdet Aykanat}, title = {Spatiotemporal Graph and Hypergraph Partitioning Models for Sparse Matrix-Vector Multiplication on Many-Core Architectures}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {30}, number = {2}, pages = {445--458}, year = {2019}, url = {https://doi.org/10.1109/TPDS.2018.2864729}, doi = {10.1109/TPDS.2018.2864729}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AbubakerAA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/PoshtkohiGS19, author = {Alireza Poshtkohi and M. B. Ghaznavi{-}Ghoushchi and Kamyar Saghafi}, title = {Optimistic Modeling and Simulation of Complex Hardware Platforms and Embedded Systems on Many-Core {HPC} Clusters}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {30}, number = {2}, pages = {428--444}, year = {2019}, url = {https://doi.org/10.1109/TPDS.2018.2860014}, doi = {10.1109/TPDS.2018.2860014}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/PoshtkohiGS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/LeleiM19, author = {David Edgar Kiprop Lelei and Gordon McCalla}, editor = {Seiji Isotani and Eva Mill{\'{a}}n and Amy Ogan and Peter M. Hastings and Bruce M. McLaren and Rose Luckin}, title = {How Many Times Should a Pedagogical Agent Simulation Model Be Run?}, booktitle = {Artificial Intelligence in Education - 20th International Conference, {AIED} 2019, Chicago, IL, USA, June 25-29, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11625}, pages = {182--193}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-23204-7\_16}, doi = {10.1007/978-3-030-23204-7\_16}, timestamp = {Mon, 15 Jun 2020 17:12:49 +0200}, biburl = {https://dblp.org/rec/conf/aied/LeleiM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aistats/MuthukumarRSB19, author = {Vidya Muthukumar and Mitas Ray and Anant Sahai and Peter L. Bartlett}, editor = {Kamalika Chaudhuri and Masashi Sugiyama}, title = {Best of many worlds: Robust model selection for online supervised learning}, booktitle = {The 22nd International Conference on Artificial Intelligence and Statistics, {AISTATS} 2019, 16-18 April 2019, Naha, Okinawa, Japan}, series = {Proceedings of Machine Learning Research}, volume = {89}, pages = {3177--3186}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v89/muthukumar19a.html}, timestamp = {Tue, 08 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aistats/MuthukumarRSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/HeCJ019, author = {Cheng He and Ran Cheng and Yaochu Jin and Xin Yao}, title = {Surrogate-Assisted Expensive Many-Objective Optimization by Model Fusion}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2019, Wellington, New Zealand, June 10-13, 2019}, pages = {1672--1679}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CEC.2019.8790155}, doi = {10.1109/CEC.2019.8790155}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/HeCJ019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csedu/OgataKO19, author = {Shinpei Ogata and Mizue Kayama and Kozo Okano}, editor = {H. Chad Lane and Susan Zvacek and James Uhomoibhi}, title = {Approach to Testing Many State Machine Models in Education}, booktitle = {Proceedings of the 11th International Conference on Computer Supported Education, {CSEDU} 2019, Heraklion, Crete, Greece, May 2-4, 2019, Volume 1}, pages = {481--488}, publisher = {SciTePress}, year = {2019}, url = {https://doi.org/10.5220/0007768004810488}, doi = {10.5220/0007768004810488}, timestamp = {Mon, 16 Nov 2020 13:53:15 +0100}, biburl = {https://dblp.org/rec/conf/csedu/OgataKO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/econvn/SvitekKKN19, author = {Miroslav Sv{\'{\i}}tek and Olga Kosheleva and Vladik Kreinovich and Thach Ngoc Nguyen}, editor = {Vladik Kreinovich and Nguyen Ngoc Thach and Nguyen Duc Trung and Dang Van Thanh}, title = {Why Quantum (Wave Probability) Models Are a Good Description of Many Non-quantum Complex Systems, and How to Go Beyond Quantum Models}, booktitle = {Beyond Traditional Probabilistic Methods in Economics, {ECONVN} 2019, International Econometric Conference of Vietnam, Ho Chi Minh City, Vietnam, 14-16 January, 2019}, series = {Studies in Computational Intelligence}, volume = {809}, pages = {168--175}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-04200-4\_13}, doi = {10.1007/978-3-030-04200-4\_13}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/econvn/SvitekKKN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/PaulHSKWBTMS19, author = {Sri Raj Paul and Akihiro Hayashi and Nicole Slattengren and Hemanth Kolla and Matthew Whitlock and Seonmyeong Bak and Keita Teranishi and Jackson R. Mayo and Vivek Sarkar}, editor = {Ramin Yahyapour}, title = {Enabling Resilience in Asynchronous Many-Task Programming Models}, booktitle = {Euro-Par 2019: Parallel Processing - 25th International Conference on Parallel and Distributed Computing, G{\"{o}}ttingen, Germany, August 26-30, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11725}, pages = {346--360}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-29400-7\_25}, doi = {10.1007/978-3-030-29400-7\_25}, timestamp = {Tue, 07 May 2024 20:07:49 +0200}, biburl = {https://dblp.org/rec/conf/europar/PaulHSKWBTMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/FanHZ19a, author = {Qingliang Fan and Yong Han and Xiao{-}Ping (Steven) Zhang}, title = {A Study of Cross Sectional Stock Returns Using High-Dimensional {SUR} Model and Many Firm Level Characteristics}, booktitle = {2019 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2019, Ottawa, ON, Canada, November 11-14, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GlobalSIP45357.2019.8969409}, doi = {10.1109/GLOBALSIP45357.2019.8969409}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globalsip/FanHZ19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccse2/XuLZ19, author = {Yiyi Xu and Pengfei Liu and Jun Zhao}, title = {A lightweight CaaS private cloud architecture and models for many task computing}, booktitle = {14th International Conference on Computer Science {\&} Education, {ICCSE} 2019, Toronto, ON, Canada, August 19-21, 2019}, pages = {92--96}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCSE.2019.8845490}, doi = {10.1109/ICCSE.2019.8845490}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iccse2/XuLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ict4d/MawereS19a, author = {Munyaradzi Mawere and Gertjan van Stam}, editor = {Petter Nielsen and Honest Christopher Kimaro}, title = {eLearning in an African Place: How 'Alien' eLearning Models Are Failing Many in Africa}, booktitle = {Information and Communication Technologies for Development. Strengthening Southern-Driven Cooperation as a Catalyst for {ICT4D} - 15th {IFIP} {WG} 9.4 International Conference on Social Implications of Computers in Developing Countries, {ICT4D} 2019, Dar es Salaam, Tanzania, May 1-3, 2019, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {552}, pages = {421--432}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-19115-3\_35}, doi = {10.1007/978-3-030-19115-3\_35}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ict4d/MawereS19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/ViebkePMK19, author = {Andre Viebke and Sabri Pllana and Suejb Memeti and Joanna Kolodziej}, title = {Performance Modelling of Deep Learning on Intel Many Integrated Core Architectures}, booktitle = {17th International Conference on High Performance Computing {\&} Simulation, {HPCS} 2019, Dublin, Ireland, July 15-19, 2019}, pages = {724--731}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCS48598.2019.9188090}, doi = {10.1109/HPCS48598.2019.9188090}, timestamp = {Wed, 16 Sep 2020 15:39:05 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/ViebkePMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/OhshimaSSOKA19, author = {Satoshi Ohshima and Soichiro Suzuki and Tatsuya Sakashita and Masao Ogino and Takahiro Katagiri and Yoshimichi Andoh}, title = {Performance Evaluation of the {MODYLAS} Application on Modern Multi-core and Many-Core Environments}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPSW} 2019, Rio de Janeiro, Brazil, May 20-24, 2019}, pages = {787--796}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IPDPSW.2019.00129}, doi = {10.1109/IPDPSW.2019.00129}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/OhshimaSSOKA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwocl/ZhangSDTWK19, author = {Tianyi Zhang and Shahrzad Shirzad and Patrick Diehl and R. Tohid and Weile Wei and Hartmut Kaiser}, title = {An Introduction to hpxMP: {A} Modern OpenMP Implementation Leveraging HPX, An Asynchronous Many-Task System}, booktitle = {Proceedings of the International Workshop on OpenCL, {IWOCL} 2019, Boston, MA, USA, May 13-15, 2019}, pages = {13:1--13:10}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3318170.3318191}, doi = {10.1145/3318170.3318191}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwocl/ZhangSDTWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/HondaA19, author = {Kentaro Honda and Takuya Azumi}, title = {Performance Estimation for Many-core Processor in Model-Based Development}, booktitle = {8th Mediterranean Conference on Embedded Computing, {MECO} 2019, Budva, Montenegro, June 10-14, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MECO.2019.8760061}, doi = {10.1109/MECO.2019.8760061}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/meco/HondaA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/CheungTCAO19, author = {Brian Cheung and Alexander Terekhov and Yubei Chen and Pulkit Agrawal and Bruno A. Olshausen}, editor = {Hanna M. Wallach and Hugo Larochelle and Alina Beygelzimer and Florence d'Alch{\'{e}}{-}Buc and Emily B. Fox and Roman Garnett}, title = {Superposition of many models into one}, booktitle = {Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, NeurIPS 2019, December 8-14, 2019, Vancouver, BC, Canada}, pages = {10867--10876}, year = {2019}, url = {https://proceedings.neurips.cc/paper/2019/hash/4c7a167bb329bd92580a99ce422d6fa6-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/CheungTCAO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pasc/ThalerMOBVAMFSH19, author = {Felix Thaler and Stefan Moosbrugger and Carlos Osuna and Mauro Bianco and Hannes Vogt and Anton Afanasyev and Lukas Mosimann and Oliver Fuhrer and Thomas C. Schulthess and Torsten Hoefler}, title = {Porting the {COSMO} Weather Model to Manycore CPUs}, booktitle = {Proceedings of the Platform for Advanced Scientific Computing Conference, {PASC} 2019, Zurich, Switzerland, June 12-14, 2019}, pages = {13:1--13:11}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3324989.3325723}, doi = {10.1145/3324989.3325723}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pasc/ThalerMOBVAMFSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/KongP19, author = {Martin Kong and Louis{-}No{\"{e}}l Pouchet}, editor = {Kathryn S. McKinley and Kathleen Fisher}, title = {Model-driven transformations for multi- and many-core CPUs}, booktitle = {Proceedings of the 40th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {469--484}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3314221.3314653}, doi = {10.1145/3314221.3314653}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/KongP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/IgarashiA19, author = {Shingo Igarashi and Takuya Azumi}, title = {Work in Progress: Considering Heuristic Scheduling for NoC-Based Clustered Many-Core Processor Using {LET} Model}, booktitle = {{IEEE} Real-Time Systems Symposium, {RTSS} 2019, Hong Kong, SAR, China, December 3-6, 2019}, pages = {516--519}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/RTSS46320.2019.00053}, doi = {10.1109/RTSS46320.2019.00053}, timestamp = {Tue, 14 Apr 2020 17:53:55 +0200}, biburl = {https://dblp.org/rec/conf/rtss/IgarashiA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2019pmam, editor = {Quan Chen and Zhiyi Huang and Min Si}, title = {Proceedings of the 10th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2019, Washington, DC, USA, February 17, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3303084}, doi = {10.1145/3303084}, isbn = {978-1-4503-6290-0}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2019pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-04057, author = {Or Sharir and Yoav Levine and Noam Wies and Giuseppe Carleo and Amnon Shashua}, title = {Deep autoregressive models for the efficient variational simulation of many-body quantum systems}, journal = {CoRR}, volume = {abs/1902.04057}, year = {2019}, url = {http://arxiv.org/abs/1902.04057}, eprinttype = {arXiv}, eprint = {1902.04057}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-04057.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-05522, author = {Brian Cheung and Alexander Terekhov and Yubei Chen and Pulkit Agrawal and Bruno A. Olshausen}, title = {Superposition of many models into one}, journal = {CoRR}, volume = {abs/1902.05522}, year = {2019}, url = {http://arxiv.org/abs/1902.05522}, eprinttype = {arXiv}, eprint = {1902.05522}, timestamp = {Mon, 06 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-05522.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-03023, author = {Tianyi Zhang and Shahrzad Shirzad and Patrick Diehl and R. Tohid and Weile Wei and Hartmut Kaiser}, title = {An Introduction to hpxMP - {A} Modern OpenMP Implementation Leveraging Asynchronous Many-Tasking System}, journal = {CoRR}, volume = {abs/1903.03023}, year = {2019}, url = {http://arxiv.org/abs/1903.03023}, eprinttype = {arXiv}, eprint = {1903.03023}, timestamp = {Wed, 03 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-03023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-01992, author = {Andre Viebke and Sabri Pllana and Suejb Memeti and Joanna Kolodziej}, title = {Performance Modelling of Deep Learning on Intel Many Integrated Core Architectures}, journal = {CoRR}, volume = {abs/1906.01992}, year = {2019}, url = {http://arxiv.org/abs/1906.01992}, eprinttype = {arXiv}, eprint = {1906.01992}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-01992.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-08474, author = {Mukund Sundararajan and Amir Najmi}, title = {The many Shapley values for model explanation}, journal = {CoRR}, volume = {abs/1908.08474}, year = {2019}, url = {http://arxiv.org/abs/1908.08474}, eprinttype = {arXiv}, eprint = {1908.08474}, timestamp = {Mon, 26 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-08474.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-04435, author = {Theodoros Pantelidis and Saeid Rasulkhani and Joseph Y. J. Chow}, title = {A path-based many-to-many assignment game to model Mobility-as-a-Service market networks}, journal = {CoRR}, volume = {abs/1911.04435}, year = {2019}, url = {http://arxiv.org/abs/1911.04435}, eprinttype = {arXiv}, eprint = {1911.04435}, timestamp = {Mon, 02 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-04435.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-11426, author = {Ansgar J{\"{u}}ngel and Antoine Zurek}, title = {A finite-volume scheme for a cross-diffusion model arising from interacting many-particle population systems}, journal = {CoRR}, volume = {abs/1911.11426}, year = {2019}, url = {http://arxiv.org/abs/1911.11426}, eprinttype = {arXiv}, eprint = {1911.11426}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-11426.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Geng18, author = {Tongsheng Geng}, title = {A Fine-grain Parallel Execution Model for Homogeneous/Heterogeneous Many-core Systems}, school = {University of California, Irvine, {USA}}, year = {2018}, url = {http://www.escholarship.org/uc/item/4sn5d067}, timestamp = {Fri, 12 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Geng18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/Diaz-Uriarte18, author = {Ram{\'{o}}n D{\'{\i}}az{-}Uriarte}, title = {Cancer progression models and fitness landscapes: a many-to-many relationship}, journal = {Bioinform.}, volume = {34}, number = {5}, pages = {836--844}, year = {2018}, url = {https://doi.org/10.1093/bioinformatics/btx663}, doi = {10.1093/BIOINFORMATICS/BTX663}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/Diaz-Uriarte18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvgip/LiFCTCZC18, author = {Manyi Li and Noa Fish and Lili Cheng and Changhe Tu and Daniel Cohen{-}Or and Hao (Richard) Zhang and Baoquan Chen}, title = {Class-sensitive shape dissimilarity metric}, journal = {Graph. Model.}, volume = {98}, pages = {33--42}, year = {2018}, url = {https://doi.org/10.1016/j.gmod.2018.06.002}, doi = {10.1016/J.GMOD.2018.06.002}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cvgip/LiFCTCZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/disopt/Porumbel18, author = {Daniel Porumbel}, title = {From the separation to the intersection sub-problem in Benders decomposition models with prohibitively-many constraints}, journal = {Discret. Optim.}, volume = {29}, pages = {148--173}, year = {2018}, url = {https://doi.org/10.1016/j.disopt.2018.04.003}, doi = {10.1016/J.DISOPT.2018.04.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/disopt/Porumbel18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/EkerK18, author = {Sibel Eker and Jan H. Kwakkel}, title = {Including robustness considerations in the search phase of Many-Objective Robust Decision Making}, journal = {Environ. Model. Softw.}, volume = {105}, pages = {201--216}, year = {2018}, url = {https://doi.org/10.1016/j.envsoft.2018.03.029}, doi = {10.1016/J.ENVSOFT.2018.03.029}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/EkerK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/KnoopGSSNWSKRK18, author = {Helge Knoop and Tobias Gronemeier and Matthias S{\"{u}}hring and Peter Steinbach and Matthias Noack and Florian Wende and Thomas Steinke and Christoph Knigge and Siegfried Raasch and Klaus Ketelsen}, title = {Porting the MPI-parallelised {LES} model {PALM} to multi-GPU systems and many integrated core processors - an experience report}, journal = {Int. J. Comput. Sci. Eng.}, volume = {17}, number = {3}, pages = {297--309}, year = {2018}, url = {https://doi.org/10.1504/IJCSE.2018.095850}, doi = {10.1504/IJCSE.2018.095850}, timestamp = {Sun, 14 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcse/KnoopGSSNWSKRK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgs/ZhaiB18, author = {Jia Zhai and Manying Bai}, title = {Mean-variance model for portfolio optimization with background risk based on uncertainty theory}, journal = {Int. J. Gen. Syst.}, volume = {47}, number = {3}, pages = {294--312}, year = {2018}, url = {https://doi.org/10.1080/03081079.2017.1414210}, doi = {10.1080/03081079.2017.1414210}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgs/ZhaiB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jasss/MuelderF18, author = {Hannah Muelder and Tatiana Filatova}, title = {One Theory - Many Formalizations: Testing Different Code Implementations of the Theory of Planned Behaviour in Energy Agent-Based Models}, journal = {J. Artif. Soc. Soc. Simul.}, volume = {21}, number = {4}, year = {2018}, url = {https://doi.org/10.18564/jasss.3855}, doi = {10.18564/JASSS.3855}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jasss/MuelderF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcam/ZhaiB18, author = {Jia Zhai and Manying Bai}, title = {Mean-risk model for uncertain portfolio selection with background risk}, journal = {J. Comput. Appl. Math.}, volume = {330}, pages = {59--69}, year = {2018}, url = {https://doi.org/10.1016/j.cam.2017.07.038}, doi = {10.1016/J.CAM.2017.07.038}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcam/ZhaiB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/LiuYZB18, author = {Yali Liu and Meiying Yang and Jia Zhai and Manying Bai}, title = {Portfolio selection of the defined contribution pension fund with uncertain return and salary: {A} multi-period mean-variance model}, journal = {J. Intell. Fuzzy Syst.}, volume = {34}, number = {4}, pages = {2363--2371}, year = {2018}, url = {https://doi.org/10.3233/JIFS-171440}, doi = {10.3233/JIFS-171440}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/LiuYZB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/DAmbrosioROSSRM18, author = {Donato D'Ambrosio and Alessio De Rango and Marco Oliverio and Davide Spataro and William Spataro and Rocco Rongo and Giuseppe Mendicino and Alfonso Senatore}, title = {The Open Computing Abstraction Layer for Parallel Complex Systems Modeling on Many-Core Systems}, journal = {J. Parallel Distributed Comput.}, volume = {121}, pages = {53--70}, year = {2018}, url = {https://doi.org/10.1016/j.jpdc.2018.07.005}, doi = {10.1016/J.JPDC.2018.07.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/DAmbrosioROSSRM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/AmmarA18, author = {Manel Ammar and Mohamed Abid}, title = {Heterogeneity of abstractions in {EDA} tools: Reviewing models of computation for many-core systems targeting intensive signal processing applications}, journal = {Microprocess. Microsystems}, volume = {59}, pages = {1--14}, year = {2018}, url = {https://doi.org/10.1016/j.micpro.2018.03.001}, doi = {10.1016/J.MICPRO.2018.03.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/AmmarA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/ZychlinskiMM18, author = {Noa Zychlinski and Petar Momcilovic and Avishai Mandelbaum}, title = {Time-varying many-server finite-queues in tandem: Comparing blocking mechanisms via fluid models}, journal = {Oper. Res. Lett.}, volume = {46}, number = {5}, pages = {492--499}, year = {2018}, url = {https://doi.org/10.1016/j.orl.2018.07.002}, doi = {10.1016/J.ORL.2018.07.002}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/orl/ZychlinskiMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/Amer18, author = {Abdelhalim Amer}, title = {8th International Workshop on Programming Models and Applications for Multicores and Manycores (PMAM'17)}, journal = {Parallel Comput.}, volume = {78}, pages = {84}, year = {2018}, url = {https://doi.org/10.1016/j.parco.2018.04.004}, doi = {10.1016/J.PARCO.2018.04.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/Amer18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LuoCBHLM18, author = {Yuanfu Luo and Panpan Cai and Aniket Bera and David Hsu and Wee Sun Lee and Dinesh Manocha}, title = {{PORCA:} Modeling and Planning for Autonomous Driving Among Many Pedestrians}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {3}, number = {4}, pages = {3418--3425}, year = {2018}, url = {https://doi.org/10.1109/LRA.2018.2852793}, doi = {10.1109/LRA.2018.2852793}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LuoCBHLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamsc/BennerKK18, author = {Peter Benner and Venera Khoromskaia and Boris N. Khoromskij}, title = {Range-Separated Tensor Format for Many-Particle Modeling}, journal = {{SIAM} J. Sci. Comput.}, volume = {40}, number = {2}, year = {2018}, url = {https://doi.org/10.1137/16M1098930}, doi = {10.1137/16M1098930}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamsc/BennerKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tec/SunYY18, author = {Yanan Sun and Gary G. Yen and Zhang Yi}, title = {Improved Regularity Model-Based {EDA} for Many-Objective Optimization}, journal = {{IEEE} Trans. Evol. Comput.}, volume = {22}, number = {5}, pages = {662--678}, year = {2018}, url = {https://doi.org/10.1109/TEVC.2018.2794319}, doi = {10.1109/TEVC.2018.2794319}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tec/SunYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/CapotondiMB18, author = {Alessandro Capotondi and Andrea Marongiu and Luca Benini}, title = {Runtime Support for Multiple Offload-Based Programming Models on Clustered Manycore Accelerators}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {6}, number = {3}, pages = {330--342}, year = {2018}, url = {https://doi.org/10.1109/TETC.2016.2554318}, doi = {10.1109/TETC.2016.2554318}, timestamp = {Fri, 15 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/CapotondiMB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/LiuWYXLII18, author = {Weichen Liu and Zhe Wang and Peng Yang and Jiang Xu and Bin Li and Ravi R. Iyer and Ramesh Illikkal}, title = {A Systematic and Realistic Network-on-Chip Traffic Modeling and Generation Technique for Emerging Many-Core Systems}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {2}, pages = {113--126}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2017.2768362}, doi = {10.1109/TMSCS.2017.2768362}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmscs/LiuWYXLII18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmscs/RafievAXSRY18, author = {Ashur Rafiev and Mohammed A. Noaman Al{-}Hayanni and Fei Xia and Rishad A. Shafik and Alexander B. Romanovsky and Alex Yakovlev}, title = {Speedup and Power Scaling Models for Heterogeneous Many-Core Systems}, journal = {{IEEE} Trans. Multi Scale Comput. Syst.}, volume = {4}, number = {3}, pages = {436--449}, year = {2018}, url = {https://doi.org/10.1109/TMSCS.2018.2791531}, doi = {10.1109/TMSCS.2018.2791531}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmscs/RafievAXSRY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HeAYWCWLDSHLHY18, author = {Lixin He and Hong An and Chao Yang and Fei Wang and Junshi Chen and Chao Wang and Weihao Liang and Shao{-}Jun Dong and Qiao Sun and Wenting Han and Wenyuan Liu and Yongjian Han and Wenjun Yao}, title = {{PEPS++:} Towards Extreme-Scale Simulations of Strongly Correlated Quantum Many-Particle Models on Sunway TaihuLight}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {12}, pages = {2838--2848}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2018.2848618}, doi = {10.1109/TPDS.2018.2848618}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HeAYWCWLDSHLHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/LinLBQLH18, author = {Ying Lin and Kaibo Liu and Eunshin Byon and Xiaoning Qian and Shan Liu and Shuai Huang}, title = {A Collaborative Learning Framework for Estimating Many Individualized Regression Models in a Heterogeneous Population}, journal = {{IEEE} Trans. Reliab.}, volume = {67}, number = {1}, pages = {328--341}, year = {2018}, url = {https://doi.org/10.1109/TR.2017.2767941}, doi = {10.1109/TR.2017.2767941}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tr/LinLBQLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/MakreshanskiGAK18, author = {Darko Makreshanski and Georgios Giannikis and Gustavo Alonso and Donald Kossmann}, title = {Many-query join: efficient shared execution of relational joins on modern hardware}, journal = {{VLDB} J.}, volume = {27}, number = {5}, pages = {669--692}, year = {2018}, url = {https://doi.org/10.1007/s00778-017-0475-4}, doi = {10.1007/S00778-017-0475-4}, timestamp = {Wed, 03 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/MakreshanskiGAK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/WiedenbeckYW18, author = {Bryce Wiedenbeck and Fengjun Yang and Michael P. Wellman}, editor = {Sheila A. McIlraith and Kilian Q. Weinberger}, title = {A Regression Approach for Modeling Games With Many Symmetric Players}, booktitle = {Proceedings of the Thirty-Second {AAAI} Conference on Artificial Intelligence, (AAAI-18), the 30th innovative Applications of Artificial Intelligence (IAAI-18), and the 8th {AAAI} Symposium on Educational Advances in Artificial Intelligence (EAAI-18), New Orleans, Louisiana, USA, February 2-7, 2018}, pages = {1266--1273}, publisher = {{AAAI} Press}, year = {2018}, url = {https://doi.org/10.1609/aaai.v32i1.11483}, doi = {10.1609/AAAI.V32I1.11483}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/WiedenbeckYW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/RaffHS18, author = {Edward Raff and Booz Allen Hamilton and Jared Sylvester}, editor = {Naoki Abe and Huan Liu and Calton Pu and Xiaohua Hu and Nesreen K. Ahmed and Mu Qiao and Yang Song and Donald Kossmann and Bing Liu and Kisung Lee and Jiliang Tang and Jingrui He and Jeffrey S. Saltz}, title = {Linear Models with Many Cores and CPUs: {A} Stochastic Atomic Update Scheme}, booktitle = {{IEEE} International Conference on Big Data {(IEEE} BigData 2018), Seattle, WA, USA, December 10-13, 2018}, pages = {65--73}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BigData.2018.8622172}, doi = {10.1109/BIGDATA.2018.8622172}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/RaffHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/0002SZW018, author = {Peng Zhang and Zhan Su and Lipeng Zhang and Benyou Wang and Dawei Song}, editor = {Alfredo Cuzzocrea and James Allan and Norman W. Paton and Divesh Srivastava and Rakesh Agrawal and Andrei Z. Broder and Mohammed J. Zaki and K. Sel{\c{c}}uk Candan and Alexandros Labrinidis and Assaf Schuster and Haixun Wang}, title = {A Quantum Many-body Wave Function Inspired Language Modeling Approach}, booktitle = {Proceedings of the 27th {ACM} International Conference on Information and Knowledge Management, {CIKM} 2018, Torino, Italy, October 22-26, 2018}, pages = {1303--1312}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3269206.3271723}, doi = {10.1145/3269206.3271723}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/0002SZW018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fedcsis/KrzywaniakPC18, author = {Adam Krzywaniak and Jerzy Proficz and Pawel Czarnul}, editor = {Maria Ganzha and Leszek A. Maciaszek and Marcin Paprzycki}, title = {Analyzing energy/performance trade-offs with power capping for parallel applications on modern multi and many core processors}, booktitle = {Proceedings of the 2018 Federated Conference on Computer Science and Information Systems, FedCSIS 2018, Pozna{\'{n}}, Poland, September 9-12, 2018}, series = {Annals of Computer Science and Information Systems}, volume = {15}, pages = {339--346}, year = {2018}, url = {https://doi.org/10.15439/2018F177}, doi = {10.15439/2018F177}, timestamp = {Tue, 23 Apr 2024 10:05:32 +0200}, biburl = {https://dblp.org/rec/conf/fedcsis/KrzywaniakPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/DavisV18, author = {Dylan A. P. Davis and Vinod M. Vokkarane}, title = {Generalized Survivability Models for Many-to-Many Communication}, booktitle = {2018 International Conference on Computing, Networking and Communications, {ICNC} 2018, Maui, HI, USA, March 5-8, 2018}, pages = {729--735}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCNC.2018.8390305}, doi = {10.1109/ICCNC.2018.8390305}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccnc/DavisV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HayakawaMS18, author = {Toshinari Hayakawa and Norifumi Murai and Takatoshi Sugiyama}, title = {Pseudo Range Estimation Model Based on Received {GPS} Signal Power in Many Skyscrapers Environments}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {329--331}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539490}, doi = {10.1109/ICTC.2018.8539490}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HayakawaMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvgip/SpoorthiGG18, author = {G. E. Spoorthi and Subrahmanyam Gorthi and Rama Krishna Sai Subrahmanyam Gorthi}, title = {A Deep Learning-based Model for Phase Unwrapping}, booktitle = {{ICVGIP} 2018: 11th Indian Conference on Computer Vision, Graphics and Image Processing, Hyderabad, India, 18-22 December, 2018}, pages = {19:1--19:8}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3293353.3293372}, doi = {10.1145/3293353.3293372}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icvgip/SpoorthiGG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismir/ZangerleP18, author = {Eva Zangerle and Martin Pichl}, editor = {Emilia G{\'{o}}mez and Xiao Hu and Eric Humphrey and Emmanouil Benetos}, title = {The Many Faces of Users: Modeling Musical Preference}, booktitle = {Proceedings of the 19th International Society for Music Information Retrieval Conference, {ISMIR} 2018, Paris, France, September 23-27, 2018}, pages = {709--716}, year = {2018}, url = {http://ismir2018.ircam.fr/doc/pdfs/128\_Paper.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismir/ZangerleP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/IslamM18, author = {Mohammad Majharul Islam and Abdullah Muzahid}, editor = {Sudipto Ghosh and Roberto Natella and Bojan Cukic and Robin S. Poston and Nuno Laranjeiro}, title = {Bugaroo: Exposing Memory Model Bugs in Many-Core Systems}, booktitle = {29th {IEEE} International Symposium on Software Reliability Engineering, {ISSRE} 2018, Memphis, TN, USA, October 15-18, 2018}, pages = {178--188}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ISSRE.2018.00028}, doi = {10.1109/ISSRE.2018.00028}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/IslamM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/CharlesPOM18, author = {Subodha Charles and Chetan Arvind Patil and {\"{U}}mit Y. Ogras and Prabhat Mishra}, editor = {Zhonghai Lu and Sriram R. Vangal and Jiang Xu and Paul Bogdan}, title = {Exploration of Memory and Cluster Modes in Directory-Based Many-Core CMPs}, booktitle = {Twelfth {IEEE/ACM} International Symposium on Networks-on-Chip, {NOCS} 2018, Torino, Italy, October 4-5, 2018}, pages = {2:1--2:8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NOCS.2018.8512154}, doi = {10.1109/NOCS.2018.8512154}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/CharlesPOM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/AalsaudRXSY18, author = {Ali Aalsaud and Ashur Rafiev and Fei Xia and Rishad A. Shafik and Alex Yakovlev}, title = {Model-Free Runtime Management of Concurrent Workloads for Energy-Efficient Many-Core Heterogeneous Systems}, booktitle = {28th International Symposium on Power and Timing Modeling, Optimization and Simulation, {PATMOS} 2018, Platja d'Aro, Spain, July 2-4, 2018}, pages = {206--213}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PATMOS.2018.8464142}, doi = {10.1109/PATMOS.2018.8464142}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/patmos/AalsaudRXSY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/BayaPPE18, author = {Rodrigo Baya and Claudio Porrini and Mart{\'{\i}}n Pedemonte and Pablo Ezzatti}, editor = {Ivan Merelli and Pietro Li{\`{o}} and Igor V. Kotenko}, title = {Task Parallelism in the {WRF} Model Through Computation Offloading to Many-Core Devices}, booktitle = {26th Euromicro International Conference on Parallel, Distributed and Network-based Processing, {PDP} 2018, Cambridge, United Kingdom, March 21-23, 2018}, pages = {596--600}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/PDP2018.2018.00100}, doi = {10.1109/PDP2018.2018.00100}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/BayaPPE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcdl/KraevaZ18, author = {Yana Kraeva and Mikhail L. Zymbler}, editor = {Leonid A. Kalinichenko and Yannis Manolopoulos and Sergey A. Stupnikov and Nikolay A. Skvortsov and Vladimir Sukhomlin}, title = {An Efficient Subsequence Similarity Search on Modern Intel Many-core Processors for Data Intensive Applications}, booktitle = {Selected Papers of the {XX} International Conference on Data Analytics and Management in Data Intensive Domains {(DAMDID/RCDL} 2018), Moscow, Russia, October 9-12, 2018}, series = {{CEUR} Workshop Proceedings}, volume = {2277}, pages = {143--151}, publisher = {CEUR-WS.org}, year = {2018}, url = {https://ceur-ws.org/Vol-2277/paper26.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:54 +0100}, biburl = {https://dblp.org/rec/conf/rcdl/KraevaZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/KangKH18, author = {Shin{-}Haeng Kang and Jintaek Kang and Soonhoi Ha}, editor = {Trevor N. Mudge and Dionisios N. Pnevmatikatos}, title = {Fast parallel simulation of a manycore architecture with a flit-level on-chip network model}, booktitle = {Proceedings of the 18th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Pythagorion, Greece, July 15-19, 2018}, pages = {115--122}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3229631.3229647}, doi = {10.1145/3229631.3229647}, timestamp = {Mon, 14 Jan 2019 07:39:33 +0100}, biburl = {https://dblp.org/rec/conf/samos/KangKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/KreutzerEBFHNW18, author = {Moritz Kreutzer and Dominik Ernst and Alan R. Bishop and Holger Fehske and Georg Hager and Kengo Nakajima and Gerhard Wellein}, editor = {Rio Yokota and Mich{\`{e}}le Weiland and David E. Keyes and Carsten Trinitis}, title = {Chebyshev Filter Diagonalization on Modern Manycore Processors and GPGPUs}, booktitle = {High Performance Computing - 33rd International Conference, {ISC} High Performance 2018, Frankfurt, Germany, June 24-28, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10876}, pages = {329--349}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-92040-5\_17}, doi = {10.1007/978-3-319-92040-5\_17}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/KreutzerEBFHNW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swarm/WangCMCS18, author = {Rui Wang and Shengminjie Chen and Lianbo Ma and Shi Cheng and Yuhui Shi}, editor = {Ying Tan and Yuhui Shi and Qirong Tang}, title = {Multi-indicator Bacterial Foraging Algorithm with Kriging Model for Many-Objective Optimization}, booktitle = {Advances in Swarm Intelligence - 9th International Conference, {ICSI} 2018, Shanghai, China, June 17-22, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10941}, pages = {530--539}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-93815-8\_50}, doi = {10.1007/978-3-319-93815-8\_50}, timestamp = {Tue, 11 Jul 2023 08:21:51 +0200}, biburl = {https://dblp.org/rec/conf/swarm/WangCMCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/HerajyH18, author = {Mostafa Herajy and Monika Heiner}, editor = {Bj{\"{o}}rn Johansson and Sanjay Jain}, title = {An Improved simulation of Hybrid biological Models with Many stochastic Events and quasi-Disjoint Subnets}, booktitle = {2018 Winter Simulation Conference, {WSC} 2018, Gothenburg, Sweden, December 9-12, 2018}, pages = {1346--1357}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WSC.2018.8632514}, doi = {10.1109/WSC.2018.8632514}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsc/HerajyH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2018pmam, editor = {Quan Chen and Zhiyi Huang and Pavan Balaji}, title = {Proceedings of the 9th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2018, February 25, 2018, Vienna, Austria}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3178442}, doi = {10.1145/3178442}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2018pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1801-04242, author = {Christian Klarhorst and Martin Flasskamp and Johannes Ax and Thorsten Jungeblut and Wayne Kelly and Mario Porrmann and Ulrich R{\"{u}}ckert}, title = {Development of Energy Models for Design Space Exploration of Embedded Many-Core Systems}, journal = {CoRR}, volume = {abs/1801.04242}, year = {2018}, url = {http://arxiv.org/abs/1801.04242}, eprinttype = {arXiv}, eprint = {1801.04242}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1801-04242.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-07044, author = {L{\'{e}}onard Blier and Yann Ollivier}, title = {Do Deep Learning Models Have Too Many Parameters? An Information Theory Viewpoint}, journal = {CoRR}, volume = {abs/1802.07044}, year = {2018}, url = {http://arxiv.org/abs/1802.07044}, eprinttype = {arXiv}, eprint = {1802.07044}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-07044.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-08788, author = {Yanan Sun and Gary G. Yen and Zhang Yi}, title = {Improved Regularity Model-based {EDA} for Many-objective Optimization}, journal = {CoRR}, volume = {abs/1802.08788}, year = {2018}, url = {http://arxiv.org/abs/1802.08788}, eprinttype = {arXiv}, eprint = {1802.08788}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-08788.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-02156, author = {Moritz Kreutzer and Georg Hager and Dominik Ernst and Holger Fehske and Alan R. Bishop and Gerhard Wellein}, title = {Chebyshev Filter Diagonalization on Modern Manycore Processors and GPGPUs}, journal = {CoRR}, volume = {abs/1803.02156}, year = {2018}, url = {http://arxiv.org/abs/1803.02156}, eprinttype = {arXiv}, eprint = {1803.02156}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-02156.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-08562, author = {Vidya Muthukumar and Mitas Ray and Anant Sahai and Peter L. Bartlett}, title = {Best of many worlds: Robust model selection for online supervised learning}, journal = {CoRR}, volume = {abs/1805.08562}, year = {2018}, url = {http://arxiv.org/abs/1805.08562}, eprinttype = {arXiv}, eprint = {1805.08562}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-08562.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-11833, author = {Yuanfu Luo and Panpan Cai and Aniket Bera and David Hsu and Wee Sun Lee and Dinesh Manocha}, title = {Autonomous Driving among Many Pedestrians: Models and Algorithms}, journal = {CoRR}, volume = {abs/1805.11833}, year = {2018}, url = {http://arxiv.org/abs/1805.11833}, eprinttype = {arXiv}, eprint = {1805.11833}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-11833.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-09667, author = {Linpeng Tang and Yida Wang and Theodore L. Willke and Kai Li}, title = {Scheduling Computation Graphs of Deep Learning Models on Manycore CPUs}, journal = {CoRR}, volume = {abs/1807.09667}, year = {2018}, url = {http://arxiv.org/abs/1807.09667}, eprinttype = {arXiv}, eprint = {1807.09667}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-09667.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1808-09891, author = {Peng Zhang and Zhan Su and Lipeng Zhang and Benyou Wang and Dawei Song}, title = {A Quantum Many-body Wave Function Inspired Language Modeling Approach}, journal = {CoRR}, volume = {abs/1808.09891}, year = {2018}, url = {http://arxiv.org/abs/1808.09891}, eprinttype = {arXiv}, eprint = {1808.09891}, timestamp = {Mon, 03 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1808-09891.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-06414, author = {Iain Embrey and Kim Kaivanto}, title = {Many Phish in the {C:} {A} Coexisting-Choice-Criteria Model of Security Behavior}, journal = {CoRR}, volume = {abs/1811.06414}, year = {2018}, url = {http://arxiv.org/abs/1811.06414}, eprinttype = {arXiv}, eprint = {1811.06414}, timestamp = {Sun, 25 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-06414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Dallou17, author = {Tamer Dallou}, title = {Enhancing the scalability of many-core systems towards utilizing fine-grain parallelism in task-based programming models}, school = {Technical University of Berlin, Germany}, year = {2017}, url = {https://nbn-resolving.org/urn:nbn:de:101:1-201804183339}, urn = {urn:nbn:de:101:1-201804183339}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Dallou17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Scholler17, author = {Anthea Sch{\"{o}}ller}, title = {How Many are many? Exploring Context-Dependence of few and many with Probabilistic Computational Models}, school = {University of T{\"{u}}bingen, Germany}, year = {2017}, url = {https://publikationen.uni-tuebingen.de/xmlui/handle/10900/77197/}, urn = {urn:nbn:de:bsz:21-dspace-771976}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Scholler17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Briere17, author = {Alexandre Briere}, title = {Mod{\'{e}}lisation syst{\`{e}}me d'une architecture d'interconnexion {RF} reconfigurable pour les many-c{\oe}urs. (System modeling of a reconfigurable {RF} interconnect architecture for manycore)}, school = {Pierre and Marie Curie University, Paris, France}, year = {2017}, url = {https://tel.archives-ouvertes.fr/tel-01707801}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Briere17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Richard17a, author = {J{\'{e}}r{\^{o}}me Richard}, title = {Conception d'un mod{\`{e}}le de composants logiciels avec ordonnancement de t{\^{a}}ches pour les architectures parall{\`{e}}les multi-coeurs, application au code Gysela. (Conception of a software component model with task scheduling for many-core based parallel architecture, application to the Gysela5D code)}, school = {University of Lyon, France}, year = {2017}, url = {https://tel.archives-ouvertes.fr/tel-01663718}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Richard17a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ndltd/Madalozzo17, author = {Guilherme A. Madalozzo}, title = {Adequa{\c{c}}{\~{a}}o de modelos arquiteturais para aplica{\c{c}}{\~{o}}es tempo-real em sistemas many-core}, school = {Pontif{\'{\i}}cia Universidade Cat{\'{o}}lica do Rio Grande do Sul, Brazil}, year = {2017}, url = {http://tede2.pucrs.br/tede2/handle/tede/7360}, timestamp = {Tue, 24 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ndltd/Madalozzo17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/sg/Wu17, author = {Zhimin Wu}, title = {Parallelizing model checking algorithms using multi-core and many-core architectures}, school = {Nanyang Technological University, Singapore}, year = {2017}, url = {https://doi.org/10.32657/10356/72377}, doi = {10.32657/10356/72377}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/sg/Wu17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/ManyombeBMB17, author = {M. L. Mann Manyombe and Tsanou Berge and Joseph Mbang and Samuel Bowong}, title = {A metapopulation model for the population dynamics of anopheles mosquito}, journal = {Appl. Math. Comput.}, volume = {307}, pages = {71--91}, year = {2017}, url = {https://doi.org/10.1016/j.amc.2017.02.039}, doi = {10.1016/J.AMC.2017.02.039}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/ManyombeBMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bsl/Keisler17, author = {H. Jerome Keisler}, title = {Maryanthe Malliaris and Saharon Shelah, Cofinality spectrum problems in model theory, set theory and general topology . Journal of the American Mathematical Society, vol. 29 (2016), pp. 237-297. - Maryanthe Malliaris and Saharon Shelah, Existence of optimal ultrafilters and the fundamental complexity of simple theories. Advances in Mathematics, vol. 290 (2016), pp. 614-681. - Maryanthe Malliaris and Saharon Shelah, Keisler's order has infinitely many classes. Israel Journal of Mathematics, to appear, https://math.uchicago.edu/{\(\sim\)}mem/}, journal = {Bull. Symb. Log.}, volume = {23}, number = {1}, pages = {117--121}, year = {2017}, url = {https://doi.org/10.1017/bsl.2017.4}, doi = {10.1017/BSL.2017.4}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bsl/Keisler17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/LaiLLSJL17, author = {Siyan Lai and Guangda Lai and Fangzhou Lu and Guojun Shen and Jing Jin and Xiaola Lin}, title = {A {BSP} model graph processing system on many cores}, journal = {Clust. Comput.}, volume = {20}, number = {2}, pages = {1359--1377}, year = {2017}, url = {https://doi.org/10.1007/s10586-017-0829-0}, doi = {10.1007/S10586-017-0829-0}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/LaiLLSJL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/BalajiL17, author = {Pavan Balaji and Kai{-}Cheung Leung}, title = {Foreword to the Special Issue of the workshop on the seventh international workshop on programming models and applications for multicores and manycores {(PMAM} 2016)}, journal = {Concurr. Comput. Pract. Exp.}, volume = {29}, number = {15}, year = {2017}, url = {https://doi.org/10.1002/cpe.4158}, doi = {10.1002/CPE.4158}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/BalajiL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/WatsonK17, author = {Abigail A. Watson and Joseph R. Kasprzyk}, title = {Incorporating deeply uncertain factors into the many objective search process}, journal = {Environ. Model. Softw.}, volume = {89}, pages = {159--171}, year = {2017}, url = {https://doi.org/10.1016/j.envsoft.2016.12.001}, doi = {10.1016/J.ENVSOFT.2016.12.001}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/WatsonK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/BalajiH17, author = {Pavan Balaji and Zhiyi Huang}, title = {Special issue on programming models and applications for multicores and manycores}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {31}, number = {5}, pages = {359--360}, year = {2017}, url = {https://doi.org/10.1177/1094342017717342}, doi = {10.1177/1094342017717342}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhpca/BalajiH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LingWZZ17, author = {Hui{-}Liang Ling and Jian{-}Sheng Wu and Yi Zhou and Wei{-}Shi Zheng}, title = {Corrigendum to "How many clusters? {A} robust PSO-based local density model" [Neurocomputing 207 {(2016)} 264-275]}, journal = {Neurocomputing}, volume = {226}, pages = {269}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.09.047}, doi = {10.1016/J.NEUCOM.2016.09.047}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LingWZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/VillaMPS17, author = {Francesco Villa and David Mignon and Savvas Polydorides and Thomas Simonson}, title = {Comparing pairwise-additive and many-body generalized Born models for acid/base calculations and protein design}, journal = {J. Comput. Chem.}, volume = {38}, number = {28}, pages = {2396--2410}, year = {2017}, url = {https://doi.org/10.1002/jcc.24898}, doi = {10.1002/JCC.24898}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/VillaMPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/WangZLWWW17, author = {Xiaoyuan Wang and Jinglei Zhang and Yaqi Liu and Yunyun Wang and Fang Wang and Jingheng Wang}, title = {The drivers' lane selection model based on mixed fuzzy many-person multi-objective non-cooperative game}, journal = {J. Intell. Fuzzy Syst.}, volume = {32}, number = {6}, pages = {4235--4246}, year = {2017}, url = {https://doi.org/10.3233/JIFS-16628}, doi = {10.3233/JIFS-16628}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/WangZLWWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolli/KoutrasR17, author = {Costas D. Koutras and Christos Rantsoudis}, title = {In All But Finitely Many Possible Worlds: Model-Theoretic Investigations on 'Overwhelming Majority' Default Conditionals}, journal = {J. Log. Lang. Inf.}, volume = {26}, number = {2}, pages = {109--141}, year = {2017}, url = {https://doi.org/10.1007/s10849-017-9251-5}, doi = {10.1007/S10849-017-9251-5}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolli/KoutrasR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/AsadFMR17, author = {Arghavan Asad and Mahmood Fathy and Mohammad Reza Jahed{-}Motlagh and Kaamran Raahemifar}, title = {Power Modeling and Runtime Performance Optimization of Power Limited Many-Core Systems Based on a Dynamic Adaptive Approach}, journal = {J. Low Power Electron.}, volume = {13}, number = {2}, pages = {166--195}, year = {2017}, url = {https://doi.org/10.1166/jolpe.2017.1487}, doi = {10.1166/JOLPE.2017.1487}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/AsadFMR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mmas/MazyaMN17, author = {Vladimir Maz'ya and A. B. Movchan and Michael J. Nieves}, title = {Eigenvalue Problem in a Solid with Many Inclusions: Asymptotic Analysis}, journal = {Multiscale Model. Simul.}, volume = {15}, number = {2}, pages = {1003--1047}, year = {2017}, url = {https://doi.org/10.1137/16M1079348}, doi = {10.1137/16M1079348}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mmas/MazyaMN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/ZarrinAB17, author = {Javad Zarrin and Rui L. Aguiar and Jo{\~{a}}o Paulo Barraca}, title = {Manycore simulation for peta-scale system design: Motivation, tools, challenges and prospects}, journal = {Simul. Model. Pract. Theory}, volume = {72}, pages = {168--201}, year = {2017}, url = {https://doi.org/10.1016/j.simpat.2016.12.014}, doi = {10.1016/J.SIMPAT.2016.12.014}, timestamp = {Thu, 19 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simpra/ZarrinAB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/LaiLWS17, author = {Zhiquan Lai and King Tin Lam and Cho{-}Li Wang and Jinshu Su}, title = {PoweRock: Power Modeling and Flexible Dynamic Power Management for Many-Core Architectures}, journal = {{IEEE} Syst. J.}, volume = {11}, number = {2}, pages = {600--612}, year = {2017}, url = {https://doi.org/10.1109/JSYST.2015.2499307}, doi = {10.1109/JSYST.2015.2499307}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/LaiLWS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/DudinLDL17, author = {Alexander N. Dudin and Moon Ho Lee and Olga S. Dudina and Sung Kook Lee}, title = {Analysis of Priority Retrial Queue With Many Types of Customers and Servers Reservation as a Model of Cognitive Radio System}, journal = {{IEEE} Trans. Commun.}, volume = {65}, number = {1}, pages = {186--199}, year = {2017}, url = {https://doi.org/10.1109/TCOMM.2016.2606379}, doi = {10.1109/TCOMM.2016.2606379}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/DudinLDL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LiXCXGD17, author = {Dali Li and Chuanfu Xu and Bin Cheng and Min Xiong and Xiang Gao and Xiaogang Deng}, title = {Performance modeling and optimization of parallel {LU-SGS} on many-core processors for 3D high-order {CFD} simulations}, journal = {J. Supercomput.}, volume = {73}, number = {6}, pages = {2506--2524}, year = {2017}, url = {https://doi.org/10.1007/s11227-016-1943-0}, doi = {10.1007/S11227-016-1943-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LiXCXGD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tompecs/LiuCDDB17, author = {Yanpei Liu and Guilherme Cox and Qingyuan Deng and Stark C. Draper and Ricardo Bianchini}, title = {Fast Power and Energy Management for Future Many-Core Systems}, journal = {{ACM} Trans. Model. Perform. Evaluation Comput. Syst.}, volume = {2}, number = {3}, pages = {17:1--17:31}, year = {2017}, url = {https://doi.org/10.1145/3086504}, doi = {10.1145/3086504}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tompecs/LiuCDDB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tompecs/LiuS17, author = {Yuhang Liu and Xian{-}He Sun}, title = {Evaluating the Combined Effect of Memory Capacity and Concurrency for Many-Core Chip Design}, journal = {{ACM} Trans. Model. Perform. Evaluation Comput. Syst.}, volume = {2}, number = {2}, pages = {9:1--9:25}, year = {2017}, url = {https://doi.org/10.1145/3038915}, doi = {10.1145/3038915}, timestamp = {Sun, 26 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tompecs/LiuS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/FleckTKWA17, author = {Martin Fleck and Javier Troya and Marouane Kessentini and Manuel Wimmer and Bader Alkhazi}, title = {Model Transformation Modularization as a Many-Objective Optimization Problem}, journal = {{IEEE} Trans. Software Eng.}, volume = {43}, number = {11}, pages = {1009--1032}, year = {2017}, url = {https://doi.org/10.1109/TSE.2017.2654255}, doi = {10.1109/TSE.2017.2654255}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tse/FleckTKWA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/HascoetDND17, author = {Julien Hascoet and Karol Desnos and Jean{-}Fran{\c{c}}ois Nezan and Beno{\^{\i}}t Dupont de Dinechin}, title = {Hierarchical Dataflow Model for efficient programming of clustered manycore processors}, booktitle = {28th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2017, Seattle, WA, USA, July 10-12, 2017}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ASAP.2017.7995270}, doi = {10.1109/ASAP.2017.7995270}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/HascoetDND17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/LiLQ17, author = {Binyang Li and Bo Li and Depei Qian}, title = {PFSI.sw: {A} programming framework for sea ice model algorithms based on Sunway many-core processor}, booktitle = {28th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2017, Seattle, WA, USA, July 10-12, 2017}, pages = {119--126}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ASAP.2017.7995268}, doi = {10.1109/ASAP.2017.7995268}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/LiLQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bracis/CarvalhoO17, author = {Tiago Ismailer de Carvalho and Gina Maira Barbosa de Oliveira}, title = {Stochastic Cellular Automata Model to Reduce Rule Space Cardinality Applied to Task Scheduling with Many Processors}, booktitle = {2017 Brazilian Conference on Intelligent Systems, {BRACIS} 2017, Uberl{\^{a}}ndia, Brazil, October 2-5, 2017}, pages = {115--120}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BRACIS.2017.27}, doi = {10.1109/BRACIS.2017.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bracis/CarvalhoO17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciss/MasterZB17, author = {Neal Master and Zhengyuan Zhou and Nicholas Bambos}, title = {An infinite dimensional model for a many server priority queue}, booktitle = {51st Annual Conference on Information Sciences and Systems, {CISS} 2017, Baltimore, MD, USA, March 22-24, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CISS.2017.7926064}, doi = {10.1109/CISS.2017.7926064}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ciss/MasterZB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BukhariLHSH17, author = {Syed Ali Asadullah Bukhari and Faiq Khalid Lodhi and Osman Hasan and Muhammad Shafique and J{\"{o}}rg Henkel}, editor = {David Atienza and Giorgio Di Natale}, title = {CAnDy-TM: Comparative analysis of dynamic thermal management in many-cores using model checking}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2017, Lausanne, Switzerland, March 27-31, 2017}, pages = {1289--1292}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/DATE.2017.7927191}, doi = {10.23919/DATE.2017.7927191}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BukhariLHSH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecis/SchwarzTL17, author = {Johannes S. Schwarz and Nicola Terrenghi and Christine Legner}, editor = {Isabel Ramos and Virpi Tuunainen and Helmut Krcmar}, title = {From One to Many Business Models: Uncovering characteristics of Business Model Portfolios}, booktitle = {25th European Conference on Information Systems, {ECIS} 2017, Guimar{\~{a}}es, Portugal, June 5-10, 2017}, pages = {145}, year = {2017}, url = {https://aisel.aisnet.org/ecis2017\_rp/145}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecis/SchwarzTL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/HashimotoXTS17, author = {Kazuma Hashimoto and Caiming Xiong and Yoshimasa Tsuruoka and Richard Socher}, editor = {Martha Palmer and Rebecca Hwa and Sebastian Riedel}, title = {A Joint Many-Task Model: Growing a Neural Network for Multiple {NLP} Tasks}, booktitle = {Proceedings of the 2017 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2017, Copenhagen, Denmark, September 9-11, 2017}, pages = {1923--1933}, publisher = {Association for Computational Linguistics}, year = {2017}, url = {https://doi.org/10.18653/v1/d17-1206}, doi = {10.18653/V1/D17-1206}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/HashimotoXTS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/OtteZB17, author = {Sebastian Otte and Adrian Zwiener and Martin V. Butz}, editor = {Alessandra Lintas and Stefano Rovetta and Paul F. M. J. Verschure and Alessandro E. P. Villa}, title = {Inherently Constraint-Aware Control of Many-Joint Robot Arms with Inverse Recurrent Models}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2017 - 26th International Conference on Artificial Neural Networks, Alghero, Italy, September 11-14, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10613}, pages = {262--270}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-68600-4\_31}, doi = {10.1007/978-3-319-68600-4\_31}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icann/OtteZB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/LiLCWL17, author = {Hailiang Li and Kin{-}Man Lam and Man{-}Yau Chiu and Kangheng Wu and Zhibin Lei}, title = {Efficient likelihood Bayesian constrained local model}, booktitle = {2017 {IEEE} International Conference on Multimedia and Expo, {ICME} 2017, Hong Kong, China, July 10-14, 2017}, pages = {763--768}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICME.2017.8019518}, doi = {10.1109/ICME.2017.8019518}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmcs/LiLCWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ElafrouGK17, author = {Athena Elafrou and Georgios I. Goumas and Nectarios Koziris}, title = {Performance Analysis and Optimization of Sparse Matrix-Vector Multiplication on Modern Multi- and Many-Core Processors}, booktitle = {46th International Conference on Parallel Processing, {ICPP} 2017, Bristol, United Kingdom, August 14-17, 2017}, pages = {292--301}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICPP.2017.38}, doi = {10.1109/ICPP.2017.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ElafrouGK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icspcc/XuW17, author = {Min Xu and Yongxian Wang}, title = {Parallelization and performance optimization of calculation in three-dimensional underwater acoustic propagation on modern many-core processor}, booktitle = {2017 {IEEE} International Conference on Signal Processing, Communications and Computing (ICSPCC), Xiamen, China, October 22-25, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSPCC.2017.8242531}, doi = {10.1109/ICSPCC.2017.8242531}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/icspcc/XuW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/Al-HayanniSRXY17, author = {Mohammed A. Noaman Al{-}Hayanni and Rishad A. Shafik and Ashur Rafiev and Fei Xia and Alex Yakovlev}, title = {Speedup and Parallelization Models for Energy-Efficient Many-Core Systems Using Performance Counters}, booktitle = {2017 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2017, Genoa, Italy, July 17-21, 2017}, pages = {410--417}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/HPCS.2017.68}, doi = {10.1109/HPCS.2017.68}, timestamp = {Mon, 13 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/Al-HayanniSRXY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/OstenKM17, author = {Friedrich Burkhard von der Osten and Michael Kirley and Tim Miller}, editor = {Carles Sierra}, title = {The Minds of Many: Opponent Modeling in a Stochastic Game}, booktitle = {Proceedings of the Twenty-Sixth International Joint Conference on Artificial Intelligence, {IJCAI} 2017, Melbourne, Australia, August 19-25, 2017}, pages = {3845--3851}, publisher = {ijcai.org}, year = {2017}, url = {https://doi.org/10.24963/ijcai.2017/537}, doi = {10.24963/IJCAI.2017/537}, timestamp = {Tue, 20 Aug 2019 16:16:54 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/OstenKM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KayrakliogluCE17, author = {Engin Kayraklioglu and Wo Chang and Tarek A. El{-}Ghazawi}, title = {Comparative Performance and Optimization of Chapel in Modern Manycore Architectures}, booktitle = {2017 {IEEE} International Parallel and Distributed Processing Symposium Workshops, {IPDPS} Workshops 2017, Orlando / Buena Vista, FL, USA, May 29 - June 2, 2017}, pages = {1105--1114}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IPDPSW.2017.126}, doi = {10.1109/IPDPSW.2017.126}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KayrakliogluCE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/RamosH17, author = {Sabela Ramos and Torsten Hoefler}, title = {Capability Models for Manycore Memory Systems: {A} Case-Study with Xeon Phi {KNL}}, booktitle = {2017 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2017, Orlando, FL, USA, May 29 - June 2, 2017}, pages = {297--306}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IPDPS.2017.30}, doi = {10.1109/IPDPS.2017.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/RamosH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/modelica/ChoHHM17, author = {Hyung Yun Cho and Manyong Han and Akinari Hirao and Hisayoshi Matsuoka}, editor = {Jir{\'{\i}} Kofr{\'{a}}nek and Francesco Casella}, title = {Virtual Occupant Model for Riding Comfort Simulation}, booktitle = {Proceedings of the 12th International Modelica Conference, Prague, Czech Republic, May 15-17, 2017}, series = {Link{\"{o}}ping Electronic Conference Proceedings}, volume = {132}, pages = {132:002}, publisher = {Link{\"{o}}ping University Electronic Press}, year = {2017}, url = {https://doi.org/10.3384/ecp1713227}, doi = {10.3384/ECP1713227}, timestamp = {Mon, 08 Feb 2021 13:26:38 +0100}, biburl = {https://dblp.org/rec/conf/modelica/ChoHHM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paap/LiWLHGM17, author = {Yanbing Li and Qi Wang and Yingying Li and Lin Han and Yuchen Gao and Qing Mu}, editor = {Guoliang Chen and Hong Shen and Mingrui Chen}, title = {A Cost Model for Heterogeneous Many-Core Processor}, booktitle = {Parallel Architecture, Algorithm and Programming - 8th International Symposium, {PAAP} 2017, Haikou, China, June 17-18, 2017, Proceedings}, series = {Communications in Computer and Information Science}, volume = {729}, pages = {566--578}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-6442-5\_54}, doi = {10.1007/978-981-10-6442-5\_54}, timestamp = {Tue, 07 May 2019 17:39:37 +0200}, biburl = {https://dblp.org/rec/conf/paap/LiWLHGM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppam/WangHBMC17, author = {Yunsong Wang and Fran{\c{c}}ois{-}Xavier Hugot and Emeric Brun and Fausto Malvagi and Christophe Calvin}, editor = {Roman Wyrzykowski and Jack J. Dongarra and Ewa Deelman and Konrad Karczewski}, title = {Efficient Cross Section Reconstruction on Modern Multi and Many Core Architectures}, booktitle = {Parallel Processing and Applied Mathematics - 12th International Conference, {PPAM} 2017, Lublin, Poland, September 10-13, 2017, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10777}, pages = {90--100}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-78024-5\_9}, doi = {10.1007/978-3-319-78024-5\_9}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppam/WangHBMC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rcdl/RechkalovZ17, author = {Timofey Rechkalov and Mikhail L. Zymbler}, editor = {Leonid A. Kalinichenko and Yannis Manolopoulos and Oleg Malkov and Nikolay A. Skvortsov and Sergey A. Stupnikov and Vladimir Sukhomlin}, title = {Integrating {DBMS} and Parallel Data Mining Algorithms for Modern Many-Core Processors}, booktitle = {Data Analytics and Management in Data Intensive Domains - {XIX} International Conference, {DAMDID/RCDL} 2017, Moscow, Russia, October 10-13, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {822}, pages = {230--245}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-96553-6\_17}, doi = {10.1007/978-3-319-96553-6\_17}, timestamp = {Sat, 11 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rcdl/RechkalovZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/DziurzanskiM17, author = {Piotr Dziurzanski and Tomasz Maka}, title = {Current mode detection in hard real-time automotive applications dedicated to many-core platforms}, booktitle = {12th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2017, Madrid, Spain, July 12-14, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ReCoSoC.2017.8016162}, doi = {10.1109/RECOSOC.2017.8016162}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/recosoc/DziurzanskiM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/SerpaCDKFRPHN17, author = {Matheus S. Serpa and Eduardo H. M. Cruz and Matthias Diener and Arthur M. Krause and Albert Farr{\'{e}}s and Claudia Rosas and Jairo Panetta and Mauricio Hanzich and Philippe O. A. Navaux}, title = {Strategies to Improve the Performance of a Geophysics Model for Different Manycore Systems}, booktitle = {2017 International Symposium on Computer Architecture and High Performance Computing Workshops, {SBAC-PAD} Workshops, Campinas, Brazil, October 17-20, 2017}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SBAC-PADW.2017.17}, doi = {10.1109/SBAC-PADW.2017.17}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/SerpaCDKFRPHN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/BortolonM17, author = {Felipe T. Bortolon and Fernando Gehm Moraes}, editor = {Jarbas A. N. Silveira}, title = {Hardware and software infrastructure to implement many-core systems in modern FPGAs}, booktitle = {Proceedings of the 30th Symposium on Integrated Circuits and Systems Design: Chip on the Sands, {SBCCI} 2017, Fortaleza, Cear{\'{a}}, Brazil, August 28 - September 01, 2017}, pages = {79--83}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3109984.3109997}, doi = {10.1145/3109984.3109997}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/BortolonM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/PebayBKBT17, author = {Philippe P. P{\'{e}}ba{\"{y}} and Giulio Borghesi and Hemanth Kolla and Janine C. Bennett and Sean Treichler}, title = {A Novel Shard-Based Approach for Asynchronous Many-Task Models for In Situ Analysis}, booktitle = {Proceedings of the In Situ Infrastructures on Enabling Extreme-Scale Analysis and Visualization, ISAV@SC 2017, Denver, CO, USA, November 12, 2017}, pages = {27--31}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3144769.3144775}, doi = {10.1145/3144769.3144775}, timestamp = {Tue, 06 Nov 2018 16:59:28 +0100}, biburl = {https://dblp.org/rec/conf/sc/PebayBKBT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/SuetterleinLMMB17, author = {Joshua Suetterlein and Joshua Landwehr and Andres Marquez and Joseph B. Manzano and Kevin J. Barker and Guang R. Gao}, title = {Verification of the Extended Roofline Model for Asynchronous Many Task Runtimes}, booktitle = {Proceedings of the Third International Workshop on Extreme Scale Programming Models and Middleware, ESPM2@SC 2017, Denver, CO, USA, November 12-17, 2017}, pages = {6:1--6:8}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3152041.3152087}, doi = {10.1145/3152041.3152087}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/SuetterleinLMMB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc2/FrankHLB17, author = {Markus Frank and Marcus Hilbrich and Sebastian Lehrig and Steffen Becker}, title = {Parallelization, Modeling, and Performance Prediction in the Multi-/Many Core Area: {A} Systematic Literature Review}, booktitle = {2017 {IEEE} 7th International Symposium on Cloud and Service Computing, SC{\({^2}\)} 2017, Kanazawa, Japan, November 22-25, 2017}, pages = {48--55}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/SC2.2017.15}, doi = {10.1109/SC2.2017.15}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc2/FrankHLB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/AkbudakLMK17, author = {Kadir Akbudak and Hatem Ltaief and Aleksandr Mikhalev and David E. Keyes}, editor = {Julian M. Kunkel and Rio Yokota and Pavan Balaji and David E. Keyes}, title = {Tile Low Rank Cholesky Factorization for Climate/Weather Modeling Applications on Manycore Architectures}, booktitle = {High Performance Computing - 32nd International Conference, {ISC} High Performance 2017, Frankfurt, Germany, June 18-22, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10266}, pages = {22--40}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58667-0\_2}, doi = {10.1007/978-3-319-58667-0\_2}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/AkbudakLMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/BrownWJ18, author = {Dominic A. S. Brown and Steven A. Wright and Stephen A. Jarvis}, editor = {Osama Nasser Alrajeh and Nigel Thomas}, title = {Performance of a Second Order Electrostatic Particle-in-Cell Algorithm on Modern Many-Core Architectures}, booktitle = {Proceedings of the thirty third Annual {UK} Performance Engineering Workshops, {UKPEW} 2017, Newcastle upon Tyne, UK, December 2017}, series = {Electronic Notes in Theoretical Computer Science}, volume = {340}, pages = {67--84}, publisher = {Elsevier}, year = {2017}, url = {https://doi.org/10.1016/j.entcs.2018.09.006}, doi = {10.1016/J.ENTCS.2018.09.006}, timestamp = {Sat, 12 Nov 2022 12:58:32 +0100}, biburl = {https://dblp.org/rec/journals/entcs/BrownWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2017pmam, editor = {Quan Chen and Zhiyi Huang}, title = {Proceedings of the 8th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2017, Austin, TX, USA, February 5, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3026937}, doi = {10.1145/3026937}, isbn = {978-1-4503-4883-6}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2017pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MasterZB17, author = {Neal Master and Zhengyuan Zhou and Nicholas Bambos}, title = {An Infinite Dimensional Model for a Many Server Priority Queue}, journal = {CoRR}, volume = {abs/1701.01328}, year = {2017}, url = {http://arxiv.org/abs/1701.01328}, eprinttype = {arXiv}, eprint = {1701.01328}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MasterZB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-05396, author = {Gabriele Perugini and Federico Ricci{-}Tersenghi}, title = {An improved Belief Propagation algorithm finds many Bethe states in the random field Ising model on random graphs}, journal = {CoRR}, volume = {abs/1710.05396}, year = {2017}, url = {http://arxiv.org/abs/1710.05396}, eprinttype = {arXiv}, eprint = {1710.05396}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-05396.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-00005, author = {Min Xu and Yong{-}Yian Wang and Anthony Theodore Chronopoulos and Hao Yue}, title = {Performance Optimization and Parallelization of a Parabolic Equation Solver in Computational Ocean Acoustics on Modern Many-core Computer}, journal = {CoRR}, volume = {abs/1711.00005}, year = {2017}, url = {http://arxiv.org/abs/1711.00005}, eprinttype = {arXiv}, eprint = {1711.00005}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-00005.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-05487, author = {Athena Elafrou and Georgios I. Goumas and Nectarios Koziris}, title = {Performance Analysis and Optimization of Sparse Matrix-Vector Multiplication on Modern Multi- and Many-Core Processors}, journal = {CoRR}, volume = {abs/1711.05487}, year = {2017}, url = {http://arxiv.org/abs/1711.05487}, eprinttype = {arXiv}, eprint = {1711.05487}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-05487.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/PawlickZ17, title = {Phishing for Phools in the Internet of Things: Modeling One-to-Many Deception using Poisson Signaling Games}, journal = {CoRR}, volume = {abs/1703.05234}, year = {2017}, note = {Withdrawn.}, url = {http://arxiv.org/abs/1703.05234}, eprinttype = {arXiv}, eprint = {1703.05234}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/PawlickZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Capotondi16, author = {Alessandro Capotondi}, title = {Programming models and tools for many-core platforms ; Modelli e strumenti di programmazione parallela per piattaforme many-core}, school = {University of Bologna, Italy}, year = {2016}, url = {http://amsdottorato.unibo.it/7630/}, timestamp = {Fri, 02 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Capotondi16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/tr/Ozturk16, author = {Ceyda Nur {\"{O}}zt{\"{u}}rk}, title = {3-D automatic segmentation and modelling of cartilage compartments in high-field magnetic resonance images of the knee joint (Diz ekleminin y{\"{u}}ksek alan manyetik rezonans g{\"{o}}r{\"{u}}nt{\"{u}}lerinde k{\i}k{\i}rdak b{\"{o}}lgelerini 3-B otomatik b{\"{o}}l{\"{u}}tleme ve modelleme)}, school = {Y{\i}ld{\i}z Technical University, Turkey}, year = {2016}, url = {https://tez.yok.gov.tr/UlusalTezMerkezi/tezDetay.jsp?id=ZaRQBiCvZPaa66btMmZZQQ\&no=NGKRlmzu4OYwd6CgI\_iPaA}, timestamp = {Sun, 03 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/tr/Ozturk16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/AbadalMSAC16, author = {Sergi Abadal and Raul Martinez and Josep Sol{\'{e}}{-}Pareta and Eduard Alarc{\'{o}}n and Albert Cabellos{-}Aparicio}, title = {Characterization and modeling of multicast communication in cache-coherent manycore processors}, journal = {Comput. Electr. Eng.}, volume = {51}, pages = {168--183}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2015.12.018}, doi = {10.1016/J.COMPELECENG.2015.12.018}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/AbadalMSAC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/FreireS16, author = {Igor Leite Freire and J{\'{u}}lio Cesar Santos Sampaio}, title = {Corrigendum to "On the nonlinear self-adjointness and local conservation laws for a class of evolution equations unifying many models" [Communications in Nonlinear Science and Numerical Simulation 19(2) {(2014)} 350-360]}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {30}, number = {Issues}, pages = {401--402}, year = {2016}, url = {https://doi.org/10.1016/j.cnsns.2015.06.024}, doi = {10.1016/J.CNSNS.2015.06.024}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/FreireS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/Balaji016, author = {Pavan Balaji and Zhiyi Huang}, title = {Programming models and applications for multicores and manycores}, journal = {Concurr. Comput. Pract. Exp.}, volume = {28}, number = {2}, pages = {453--454}, year = {2016}, url = {https://doi.org/10.1002/cpe.3624}, doi = {10.1002/CPE.3624}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/Balaji016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/DixonLZ16, author = {Matthew Dixon and J{\"{o}}rg Lotze and Mohammad Zubair}, title = {A portable, extensible and fast stochastic volatility model calibration using multi and many-core processors}, journal = {Concurr. Comput. Pract. Exp.}, volume = {28}, number = {3}, pages = {866--877}, year = {2016}, url = {https://doi.org/10.1002/cpe.3727}, doi = {10.1002/CPE.3727}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/DixonLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/HadadeM16, author = {Ioan Hadade and Luca di Mare}, title = {Modern multicore and manycore architectures: Modelling, optimisation and benchmarking a multiblock {CFD} code}, journal = {Comput. Phys. Commun.}, volume = {205}, pages = {32--47}, year = {2016}, url = {https://doi.org/10.1016/j.cpc.2016.04.006}, doi = {10.1016/J.CPC.2016.04.006}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cphysics/HadadeM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/HaanRBD16, author = {Fjalar J. de Haan and Briony C. Rogers and Rebekah R. Brown and Ana Deletic}, title = {Many roads to Rome: The emergence of pathways from patterns of change through exploratory modelling of sustainability transitions}, journal = {Environ. Model. Softw.}, volume = {85}, pages = {279--292}, year = {2016}, url = {https://doi.org/10.1016/j.envsoft.2016.05.019}, doi = {10.1016/J.ENVSOFT.2016.05.019}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/HaanRBD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/AgataIHHHH16, author = {Ryoichiro Agata and Tsuyoshi Ichimura and Kazuro Hirahara and Mamoru Hyodo and Takane Hori and Muneo Hori}, title = {Robust and portable capacity computing method for many finite element analyses of a high-fidelity crustal structure model aimed for coseismic slip estimation}, journal = {Comput. Geosci.}, volume = {94}, pages = {121--130}, year = {2016}, url = {https://doi.org/10.1016/j.cageo.2016.05.015}, doi = {10.1016/J.CAGEO.2016.05.015}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gandc/AgataIHHHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaac/VasuSR16, author = {Ganji Vasu and Mangipudi Sivakumar and Manyala Ramalinga Raju}, title = {A novel method for optimal model simplification of large scale linear discrete-time systems}, journal = {Int. J. Autom. Control.}, volume = {10}, number = {2}, pages = {120--141}, year = {2016}, url = {https://doi.org/10.1504/IJAAC.2016.076455}, doi = {10.1504/IJAAC.2016.076455}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijaac/VasuSR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/AlmeidaBF16, author = {Eugenio Sper de Almeida and Michael Anthony Bauer and Alvaro Luiz Fazenda}, title = {Numerical weather model {BRAMS} evaluation on many-core architectures: a micro and macro vision}, journal = {Int. J. Comput. Sci. Eng.}, volume = {12}, number = {4}, pages = {330--340}, year = {2016}, url = {https://doi.org/10.1504/IJCSE.2016.076940}, doi = {10.1504/IJCSE.2016.076940}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/AlmeidaBF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LingWZZ16, author = {Hui{-}Liang Ling and Jian{-}Sheng Wu and Yi Zhou and Wei{-}Shi Zheng}, title = {How many clusters? {A} robust PSO-based local density model}, journal = {Neurocomputing}, volume = {207}, pages = {264--275}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2016.03.071}, doi = {10.1016/J.NEUCOM.2016.03.071}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/LingWZZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/IwataHU16, author = {Tomoharu Iwata and Tsutomu Hirao and Naonori Ueda}, title = {Probabilistic latent variable models for unsupervised many-to-many object matching}, journal = {Inf. Process. Manag.}, volume = {52}, number = {4}, pages = {682--697}, year = {2016}, url = {https://doi.org/10.1016/j.ipm.2015.12.013}, doi = {10.1016/J.IPM.2015.12.013}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/IwataHU16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/0001LS16, author = {John T. Baldwin and Michael C. Laskowski and Saharon Shelah}, title = {Constructing Many Atomic Models in {\(\aleph\)}1}, journal = {J. Symb. Log.}, volume = {81}, number = {3}, pages = {1142--1162}, year = {2016}, url = {https://doi.org/10.1017/jsl.2015.81}, doi = {10.1017/JSL.2015.81}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/0001LS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ma/MillerN16, author = {Forrest R. Miller and James W. Neill}, title = {Lack of fit tests for linear regression models with many predictor variables using minimal weighted maximal matchings}, journal = {J. Multivar. Anal.}, volume = {150}, pages = {14--26}, year = {2016}, url = {https://doi.org/10.1016/j.jmva.2016.05.005}, doi = {10.1016/J.JMVA.2016.05.005}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ma/MillerN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/Holmbacka0EL16, author = {Simon Holmbacka and J{\"{o}}rg Keller and Patrick Eitschberger and Johan Lilius}, title = {Accurate energy modeling for many-core static schedules with streaming applications}, journal = {Microprocess. Microsystems}, volume = {43}, pages = {14--25}, year = {2016}, url = {https://doi.org/10.1016/j.micpro.2016.01.008}, doi = {10.1016/J.MICPRO.2016.01.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/Holmbacka0EL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/KimKKH16, author = {Taeyoung Kim and Jintaek Kang and Sungchan Kim and Soonhoi Ha}, title = {SoPHy+: Programming model and software platform for hybrid resource management of many-core accelerators}, journal = {Microprocess. Microsystems}, volume = {43}, pages = {47--58}, year = {2016}, url = {https://doi.org/10.1016/j.micpro.2016.01.009}, doi = {10.1016/J.MICPRO.2016.01.009}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/KimKKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/RossRPS16, author = {James A. Ross and David A. Richie and Song Jun Park and Dale R. Shires}, title = {Parallel programming model for the Epiphany many-core coprocessor using threaded {MPI}}, journal = {Microprocess. Microsystems}, volume = {43}, pages = {95--103}, year = {2016}, url = {https://doi.org/10.1016/j.micpro.2016.02.006}, doi = {10.1016/J.MICPRO.2016.02.006}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/RossRPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mmas/LevienB16, author = {Ethan Levien and Paul C. Bressloff}, title = {A Stochastic Hybrid Framework for Obtaining Statistics of Many Random Walkers in a Switching Environment}, journal = {Multiscale Model. Simul.}, volume = {14}, number = {4}, pages = {1417--1433}, year = {2016}, url = {https://doi.org/10.1137/16M1061084}, doi = {10.1137/16M1061084}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mmas/LevienB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/MichalakesIJ16, author = {John Michalakes and Michael J. Iacono and Elizabeth R. Jessup}, title = {Optimizing Weather Model Radiative Transfer Physics for Intel's Many Integrated Core {(MIC)} Architecture}, journal = {Parallel Process. Lett.}, volume = {26}, number = {4}, pages = {1650019:1--1650019:16}, year = {2016}, url = {https://doi.org/10.1142/S0129626416500195}, doi = {10.1142/S0129626416500195}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/MichalakesIJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sttt/WijsB16, author = {Anton Wijs and Dragan Bosnacki}, title = {Many-core on-the-fly model checking of safety properties using GPUs}, journal = {Int. J. Softw. Tools Technol. Transf.}, volume = {18}, number = {2}, pages = {169--185}, year = {2016}, url = {https://doi.org/10.1007/s10009-015-0379-9}, doi = {10.1007/S10009-015-0379-9}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sttt/WijsB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/synthese/Hochstein16, author = {Eric Hochstein}, title = {One mechanism, many models: a distributed theory of mechanistic explanation}, journal = {Synth.}, volume = {193}, number = {5}, pages = {1387--1407}, year = {2016}, url = {https://doi.org/10.1007/s11229-015-0844-8}, doi = {10.1007/S11229-015-0844-8}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/synthese/Hochstein16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/OyaroT16, author = {Denis Oyaro and Piero Triverio}, title = {TurboMOR-RC: An Efficient Model Order Reduction Technique for {RC} Networks With Many Ports}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {10}, pages = {1695--1706}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2531046}, doi = {10.1109/TCAD.2016.2531046}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/OyaroT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosem/HieronsLLSZ16, author = {Robert M. Hierons and Miqing Li and Xiaohui Liu and Sergio Segura and Wei Zheng}, title = {{SIP:} Optimal Product Selection from Feature Models Using Many-Objective Evolutionary Optimization}, journal = {{ACM} Trans. Softw. Eng. Methodol.}, volume = {25}, number = {2}, pages = {17:1--17:39}, year = {2016}, url = {https://doi.org/10.1145/2897760}, doi = {10.1145/2897760}, timestamp = {Wed, 20 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosem/HieronsLLSZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/NikolicYP16, author = {Borislav Nikolic and Patrick Meumeu Yomsi and Stefan M. Petters}, title = {Worst-Case Communication Delay Analysis for NoC-Based Many-Cores Using a Limited Migrative Model}, journal = {J. Signal Process. Syst.}, volume = {84}, number = {1}, pages = {25--46}, year = {2016}, url = {https://doi.org/10.1007/s11265-015-0992-6}, doi = {10.1007/S11265-015-0992-6}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/NikolicYP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsd/Al-HayanniRSX16, author = {Mohammed A. Noaman Al{-}Hayanni and Ashur Rafiev and Rishad A. Shafik and Fei Xia}, editor = {J{\"{o}}rg Desel and Alex Yakovlev}, title = {Power and Energy Normalized Speedup Models for Heterogeneous Many Core Computing}, booktitle = {16th International Conference on Application of Concurrency to System Design, {ACSD} 2016, Torun, Poland, June 19-24, 2016}, pages = {84--93}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ACSD.2016.16}, doi = {10.1109/ACSD.2016.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsd/Al-HayanniRSX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/RohrCL16, author = {David Rohr and Jan de Cuveland and Volker Lindenstruth}, title = {A Model for Weak Scaling to Many GPUs at the Basis of the Linpack Benchmark}, booktitle = {2016 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2016, Taipei, Taiwan, September 12-16, 2016}, pages = {192--202}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CLUSTER.2016.15}, doi = {10.1109/CLUSTER.2016.15}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/RohrCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/SuetterleinLMMG16, author = {Joshua D. Suetterlein and Joshua Landwehr and Andr{\`{e}}s M{\'{a}}rquez and Joseph B. Manzano and Guang R. Gao}, title = {Extending the Roofline Model for Asynchronous Many-Task Runtimes}, booktitle = {2016 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2016, Taipei, Taiwan, September 12-16, 2016}, pages = {493--496}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CLUSTER.2016.47}, doi = {10.1109/CLUSTER.2016.47}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cluster/SuetterleinLMMG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/BanagaayaFSMWGB16, author = {Nicodemus Banagaaya and Lihong Feng and Wim Schoenmaker and Peter Meuris and Aarnout Wieers and Renaud Gillon and Peter Benner}, editor = {Luca Fanucci and J{\"{u}}rgen Teich}, title = {Model Order Reduction for nanoelectronics coupled problems with many inputs}, booktitle = {2016 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2016, Dresden, Germany, March 14-18, 2016}, pages = {313--318}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/document/7459329/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/BanagaayaFSMWGB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/SabbadinV16, author = {R{\'{e}}gis Sabbadin and Anne{-}France Viet}, editor = {Gal A. Kaminka and Maria Fox and Paolo Bouquet and Eyke H{\"{u}}llermeier and Virginia Dignum and Frank Dignum and Frank van Harmelen}, title = {Leader-Follower {MDP} Models with Factored State Space and Many Followers - Followers Abstraction, Structured Dynamics and State Aggregation}, booktitle = {{ECAI} 2016 - 22nd European Conference on Artificial Intelligence, 29 August-2 September 2016, The Hague, The Netherlands - Including Prestigious Applications of Artificial Intelligence {(PAIS} 2016)}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {285}, pages = {116--124}, publisher = {{IOS} Press}, year = {2016}, url = {https://doi.org/10.3233/978-1-61499-672-9-116}, doi = {10.3233/978-1-61499-672-9-116}, timestamp = {Tue, 02 Nov 2021 15:59:05 +0100}, biburl = {https://dblp.org/rec/conf/ecai/SabbadinV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fdl/SnookK16, author = {Colin F. Snook and Tom J. Kazmierski}, editor = {Rolf Drechsler and Robert Wille}, title = {Using event-B and Modelica to evaluate thermal management strategies in many core systems}, booktitle = {2016 Forum on Specification and Design Languages, {FDL} 2016, Bremen, Germany, September 14-16, 2016}, pages = {1--5}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FDL.2016.7880380}, doi = {10.1109/FDL.2016.7880380}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fdl/SnookK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/OtteZHZ16, author = {Sebastian Otte and Adrian Zwiener and Richard Hanten and Andreas Zell}, editor = {Alessandro E. P. Villa and Paolo Masulli and Antonio Javier Pons Rivero}, title = {Inverse Recurrent Models - An Application Scenario for Many-Joint Robot Arm Control}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2016 - 25th International Conference on Artificial Neural Networks, Barcelona, Spain, September 6-9, 2016, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9886}, pages = {149--157}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-44778-0\_18}, doi = {10.1007/978-3-319-44778-0\_18}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/icann/OtteZHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KoyanoYIO16, author = {Yuji Koyano and Kohei Yatabe and Yusuke Ikeda and Yasuhiro Oikawa}, title = {Physical-model based efficient data representation for many-channel microphone array}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {370--374}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICASSP.2016.7471699}, doi = {10.1109/ICASSP.2016.7471699}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KoyanoYIO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/models/DaniaC16, author = {Carolina Dania and Manuel Clavel}, editor = {Benoit Baudry and Beno{\^{\i}}t Combemale}, title = {{OCL2MSFOL:} a mapping to many-sorted first-order logic for efficiently checking the satisfiability of {OCL} constraints}, booktitle = {Proceedings of the {ACM/IEEE} 19th International Conference on Model Driven Engineering Languages and Systems, Saint-Malo, France, October 2-7, 2016}, pages = {65--75}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2976774}, timestamp = {Tue, 06 Nov 2018 16:57:17 +0100}, biburl = {https://dblp.org/rec/conf/models/DaniaC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/KhanjariV16, author = {Sharifa Al Khanjari and Wim Vanderbauwhede}, title = {Evaluation of the Memory Communication Traffic in a Hierarchical Cache Model for Massively-Manycore Processors}, booktitle = {24th Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2016, Heraklion, Crete, Greece, February 17-19, 2016}, pages = {726--733}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PDP.2016.30}, doi = {10.1109/PDP.2016.30}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/KhanjariV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/MartineauMBG16, author = {Matt Martineau and Simon McIntosh{-}Smith and Michael Boulton and Wayne P. Gaudin}, editor = {Pavan Balaji and Kai{-}Cheung Leung}, title = {An Evaluation of Emerging Many-Core Parallel Programming Models}, booktitle = {Proceedings of the 7th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2016, Barcelona, Spain, March 12-16, 2016}, pages = {1--10}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2883404.2883420}, doi = {10.1145/2883404.2883420}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/MartineauMBG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recosoc/AndrewsP16, author = {David Andrews and Marco Platzner}, title = {Programming models for reconfigurable manycore systems}, booktitle = {11th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, ReCoSoC 2016, Tallinn, Estonia, June 27-29, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ReCoSoC.2016.7533897}, doi = {10.1109/RECOSOC.2016.7533897}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/recosoc/AndrewsP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/supercomputer/DeakinPMM16, author = {Tom Deakin and James Price and Matt Martineau and Simon McIntosh{-}Smith}, editor = {Michela Taufer and Bernd Mohr and Julian M. Kunkel}, title = {{GPU-STREAM} v2.0: Benchmarking the Achievable Memory Bandwidth of Many-Core Processors Across Diverse Parallel Programming Models}, booktitle = {High Performance Computing - {ISC} High Performance 2016 International Workshops, ExaComm, E-MuCoCoS, HPC-IODC, IXPUG, IWOPH, P{\^{}}3MA, VHPC, WOPSSS, Frankfurt, Germany, June 19-23, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9945}, pages = {489--507}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-46079-6\_34}, doi = {10.1007/978-3-319-46079-6\_34}, timestamp = {Wed, 25 Sep 2019 18:17:53 +0200}, biburl = {https://dblp.org/rec/conf/supercomputer/DeakinPMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vardial/Ostling16, author = {Robert {\"{O}}stling}, editor = {Preslav Nakov and Marcos Zampieri and Liling Tan and Nikola Ljubesic and J{\"{o}}rg Tiedemann and Shervin Malmasi}, title = {How Many Languages Can a Language Model Model?}, booktitle = {Proceedings of the Third Workshop on {NLP} for Similar Languages, Varieties and Dialects, VarDial@COLING 2016, Osaka, Japan, December 12, 2016}, pages = {62}, publisher = {The {COLING} 2016 Organizing Committee}, year = {2016}, url = {https://aclanthology.org/W16-4808/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vardial/Ostling16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vecpar/PinheiroRT16, author = {Rui Pinheiro and Nuno Roma and Pedro Tom{\'{a}}s}, editor = {In{\^{e}}s Dutra and Rui Camacho and Jorge G. Barbosa and Osni Marques}, title = {A Cross-Core Performance Model for Heterogeneous Many-Core Architectures}, booktitle = {High Performance Computing for Computational Science - {VECPAR} 2016 - 12th International Conference, Porto, Portugal, June 28-30, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10150}, pages = {101--111}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-61982-8\_11}, doi = {10.1007/978-3-319-61982-8\_11}, timestamp = {Wed, 24 Mar 2021 17:11:59 +0100}, biburl = {https://dblp.org/rec/conf/vecpar/PinheiroRT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/Page16, author = {Scott E. Page}, title = {Many Model Thinking}, booktitle = {Winter Simulation Conference, {WSC} 2016, Washington, DC, USA, December 11-14, 2016}, pages = {1}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/WSC.2016.7822072}, doi = {10.1109/WSC.2016.7822072}, timestamp = {Thu, 10 Jun 2021 22:21:51 +0200}, biburl = {https://dblp.org/rec/conf/wsc/Page16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2016pmam, editor = {Pavan Balaji and Kai{-}Cheung Leung}, title = {Proceedings of the 7th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2016, Barcelona, Spain, March 12-16, 2016}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2883404}, doi = {10.1145/2883404}, isbn = {978-1-4503-4196-7}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2016pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HashimotoXTS16, author = {Kazuma Hashimoto and Caiming Xiong and Yoshimasa Tsuruoka and Richard Socher}, title = {A Joint Many-Task Model: Growing a Neural Network for Multiple {NLP} Tasks}, journal = {CoRR}, volume = {abs/1611.01587}, year = {2016}, url = {http://arxiv.org/abs/1611.01587}, eprinttype = {arXiv}, eprint = {1611.01587}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HashimotoXTS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiLCWL16a, author = {Hailiang Li and Kin{-}Man Lam and Man{-}Yau Chiu and Kangheng Wu and Zhibin Lei}, title = {Efficient Likelihood Bayesian Constrained Local Model}, journal = {CoRR}, volume = {abs/1611.09956}, year = {2016}, url = {http://arxiv.org/abs/1611.09956}, eprinttype = {arXiv}, eprint = {1611.09956}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiLCWL16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Pinto15, author = {Christian Pinto}, title = {Many-Core Architectures: Hardware-Software Optimization and Modeling Techniques}, school = {University of Bologna, Italy}, year = {2015}, url = {http://amsdottorato.unibo.it/6824/}, timestamp = {Thu, 01 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Pinto15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Al-Khalissi15, author = {Hayder Al{-}Khalissi}, title = {Efficient Programming Model for OpenMP on Cluster-Based Many-Core System}, school = {Braunschweig University of Technology, Germany}, year = {2015}, url = {https://publikationsserver.tu-braunschweig.de/receive/dbbs\_mods\_00062042}, urn = {urn:nbn:de:gbv:084-16030910456}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Al-Khalissi15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Gibson15, author = {Michael John Gibson}, title = {Genetic programming and cellular automata for fast flood modelling on multi-core {CPU} and many-core {GPU} computers}, school = {University of Exeter, {UK}}, year = {2015}, url = {https://hdl.handle.net/10871/20364}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Gibson15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Natarajan15, author = {Surya Narayanan Natarajan}, title = {Modeling performance of serial and parallel sections of multi-threaded programs in manycore era. (Mod{\'{e}}lisation de la performance des sections s{\'{e}}quentielles et parall{\`{e}}les au sein de programmes multithread{\'{e}}s {\`{a}} l'{\`{e}}re des many-coeurs)}, school = {University of Rennes 1, France}, year = {2015}, url = {https://tel.archives-ouvertes.fr/tel-01170039}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Natarajan15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsr/PetrosinoP15, author = {Giancarlo Petrosino and Domenico Parisi}, title = {A single computational model for many learning phenomena}, journal = {Cogn. Syst. Res.}, volume = {36-37}, pages = {15--29}, year = {2015}, url = {https://doi.org/10.1016/j.cogsys.2015.06.001}, doi = {10.1016/J.COGSYS.2015.06.001}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cogsr/PetrosinoP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/KaurR15, author = {Karamjit Kaur and Rinkle Rani}, title = {Managing Data in Healthcare Information Systems: Many Models, One Solution}, journal = {Computer}, volume = {48}, number = {3}, pages = {52--59}, year = {2015}, url = {https://doi.org/10.1109/MC.2015.77}, doi = {10.1109/MC.2015.77}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/KaurR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ClaussLRB15, author = {Carsten Clauss and Stefan Lankes and Pablo Reble and Thomas Bemmerl}, title = {New system software for parallel programming models on the Intel {SCC} many-core processor}, journal = {Concurr. Comput. Pract. Exp.}, volume = {27}, number = {9}, pages = {2235--2259}, year = {2015}, url = {https://doi.org/10.1002/cpe.3033}, doi = {10.1002/CPE.3033}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/ClaussLRB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/FernandezMMS15, author = {C{\`{e}}sar Fern{\'{a}}ndez and Felip Many{\`{a}} and Carles Mateu and Francina Sole{-}Mauri}, title = {Approximate dynamic programming for automated vacuum waste collection systems}, journal = {Environ. Model. Softw.}, volume = {67}, pages = {128--137}, year = {2015}, url = {https://doi.org/10.1016/j.envsoft.2015.01.013}, doi = {10.1016/J.ENVSOFT.2015.01.013}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/FernandezMMS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/HadkaHRK15, author = {David Hadka and Jonathan D. Herman and Patrick M. Reed and Klaus Keller}, title = {An open source framework for many-objective robust decision making}, journal = {Environ. Model. Softw.}, volume = {74}, pages = {114--129}, year = {2015}, url = {https://doi.org/10.1016/j.envsoft.2015.07.014}, doi = {10.1016/J.ENVSOFT.2015.07.014}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/envsoft/HadkaHRK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/TongZBC15, author = {Tian Tong and Xingyu Zhou and Bo Bai and Wei Chen}, title = {How Many Antennas Should Be Activated in Keyhole Channels Under a Holistic Power Model}, journal = {{IEEE} Commun. Lett.}, volume = {19}, number = {6}, pages = {981--984}, year = {2015}, url = {https://doi.org/10.1109/LCOMM.2015.2418762}, doi = {10.1109/LCOMM.2015.2418762}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/TongZBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/ZhangQJGY15, author = {Yunong Zhang and Binbin Qiu and Long Jin and Dongsheng Guo and Zhi Yang}, title = {Infinitely many Zhang functions resulting in various {ZNN} models for time-varying matrix inversion with link to Drazin inverse}, journal = {Inf. Process. Lett.}, volume = {115}, number = {9}, pages = {703--706}, year = {2015}, url = {https://doi.org/10.1016/j.ipl.2015.03.007}, doi = {10.1016/J.IPL.2015.03.007}, timestamp = {Mon, 18 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/ZhangQJGY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jap/Zhou15, author = {Youzhou Zhou}, title = {Ergodic Inequality of a Two-Parameter Infinitely-Many-Alleles Diffusion Model}, journal = {J. Appl. Probab.}, volume = {52}, number = {1}, pages = {238--246}, year = {2015}, url = {https://doi.org/10.1017/S0021900200012316}, doi = {10.1017/S0021900200012316}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jap/Zhou15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/BrailsfordS15, author = {Sally C. Brailsford and Dileep De Silva}, title = {How many dentists does Sri Lanka need? Modelling to inform policy decisions}, journal = {J. Oper. Res. Soc.}, volume = {66}, number = {9}, pages = {1566--1577}, year = {2015}, url = {https://doi.org/10.1057/jors.2014.136}, doi = {10.1057/JORS.2014.136}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/BrailsfordS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/StulpS15, author = {Freek Stulp and Olivier Sigaud}, title = {Many regression algorithms, one unified model: {A} review}, journal = {Neural Networks}, volume = {69}, pages = {60--79}, year = {2015}, url = {https://doi.org/10.1016/j.neunet.2015.05.005}, doi = {10.1016/J.NEUNET.2015.05.005}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/StulpS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rts/NikolicP15, author = {Borislav Nikolic and Stefan M. Petters}, title = {Real-time application mapping for many-cores using a limited migrative model}, journal = {Real Time Syst.}, volume = {51}, number = {3}, pages = {314--357}, year = {2015}, url = {https://doi.org/10.1007/s11241-014-9217-5}, doi = {10.1007/S11241-014-9217-5}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rts/NikolicP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ShiZCZ15, author = {Runhua Shi and Hong Zhong and Jie Cui and Shun Zhang}, title = {A novel one-to-many and many-to-one asymmetric encryption model and its algorithms}, journal = {Secur. Commun. Networks}, volume = {8}, number = {18}, pages = {3906--3913}, year = {2015}, url = {https://doi.org/10.1002/sec.1309}, doi = {10.1002/SEC.1309}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ShiZCZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/ChandrasekaranC15, author = {Sunita Chandrasekaran and Barbara M. Chapman and Xinmin Tian and Yonghong Yan}, title = {Programming Models, Languages, and Compilers for Manycore and Heterogeneous Architectures}, journal = {Sci. Program.}, volume = {2015}, pages = {376317:1}, year = {2015}, url = {https://doi.org/10.1155/2015/376317}, doi = {10.1155/2015/376317}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/ChandrasekaranC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/MilloKS15, author = {Jean{-}Vivien Millo and Emilien Kofman and Robert de Simone}, title = {Modeling and Analyzing Dataflow Applications on NoC-Based Many-Core Architectures}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {14}, number = {3}, pages = {46:1--46:25}, year = {2015}, url = {https://doi.org/10.1145/2700081}, doi = {10.1145/2700081}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/MilloKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SeoKKK15, author = {Junsang Seo and Myeongsu Kang and Cheol Hong Kim and Jong{-}Myon Kim}, title = {An optimal many-core model-based supercomputing for accelerating video-equipped fire detection}, journal = {J. Supercomput.}, volume = {71}, number = {6}, pages = {2275--2308}, year = {2015}, url = {https://doi.org/10.1007/s11227-015-1382-3}, doi = {10.1007/S11227-015-1382-3}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/SeoKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/LuoSO15, author = {Chang Luo and Koji Shimoyama and Shigeru Obayashi}, title = {Effects of the number of design variables on performances in Kriging-model-based many-objective optimization}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2015, Sendai, Japan, May 25-28, 2015}, pages = {1901--1908}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CEC.2015.7257118}, doi = {10.1109/CEC.2015.7257118}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/LuoSO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cf/NarayananSS15, author = {Surya Narayanan and Bharath Narasimha Swamy and Andr{\'{e}} Seznec}, editor = {Claudia Di Napoli and Valentina Salapura and Hubertus Franke and Rui Hou}, title = {An empirical high level performance model for future many-cores}, booktitle = {Proceedings of the 12th {ACM} International Conference on Computing Frontiers, CF'15, Ischia, Italy, May 18-21, 2015}, pages = {1:1--1:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2742854.2742867}, doi = {10.1145/2742854.2742867}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cf/NarayananSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cgo/CapotondiHMB15, author = {Alessandro Capotondi and Germain Haugou and Andrea Marongiu and Luca Benini}, editor = {Zheng Wang and Pavlos Petoumenos and Hugh Leather}, title = {Runtime Support for Multiple Offload-Based Programming Models on Embedded Manycore Accelerators}, booktitle = {Proceedings of the 2015 International Workshop on Code Optimisation for Multi and Many Cores, COSMIC@CGO 2015, San Francisco Bay Area, CA, USA, February 8, 2015}, pages = {4:1--4:10}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2723772.2723773}, doi = {10.1145/2723772.2723773}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cgo/CapotondiHMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/YeCP15, author = {Fan Ye and Christophe Calvin and Serge G. Petiton}, editor = {Christian Plessl and Didier El Baz and Guojing Cong and Jo{\~{a}}o M. P. Cardoso and Lu{\'{\i}}s Veiga and Thomas Rauber}, title = {An Efficient Task-Based Execution Model for Stochastic Linear Solver on Multi-core and Many-Core Systems}, booktitle = {18th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2015, Porto, Portugal, October 21-23, 2015}, pages = {200--207}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CSE.2015.52}, doi = {10.1109/CSE.2015.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/YeCP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KobbeBH15, author = {Sebastian Kobbe and Lars Bauer and J{\"{o}}rg Henkel}, editor = {Wolfgang Nebel and David Atienza}, title = {Adaptive on-the-fly application performance modeling for many cores}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {730--735}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755920}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/KobbeBH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecsqaru/KoutrasR15, author = {Costas D. Koutras and Christos Rantsoudis}, editor = {S{\'{e}}bastien Destercke and Thierry Denoeux}, title = {In All, but Finitely Many, Possible Worlds: Model-Theoretic Investigations on 'Overwhelming Majority' Default Conditionals}, booktitle = {Symbolic and Quantitative Approaches to Reasoning with Uncertainty - 13th European Conference, {ECSQARU} 2015, Compi{\`{e}}gne, France, July 15-17, 2015. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9161}, pages = {117--126}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-20807-7\_11}, doi = {10.1007/978-3-319-20807-7\_11}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecsqaru/KoutrasR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/enase/Louise15, author = {St{\'{e}}phane Louise}, editor = {Joaquim Filipe and Leszek A. Maciaszek}, title = {Toward a Model of Computation for Time-constrained Applications on Manycores}, booktitle = {{ENASE} 2015 - Proceedings of the 10th International Conference on Evaluation of Novel Approaches to Software Engineering, Barcelona, Spain, 29-30 April, 2015}, pages = {45--50}, publisher = {SciTePress}, year = {2015}, url = {https://doi.org/10.5220/0005467900450050}, doi = {10.5220/0005467900450050}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/enase/Louise15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/BoothKZKR15, author = {Joshua Dennis Booth and Jagadish Kotra and Hui Zhao and Mahmut T. Kandemir and Padma Raghavan}, title = {Phase Detection with Hidden Markov Models for {DVFS} on Many-Core Processors}, booktitle = {35th {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2015, Columbus, OH, USA, June 29 - July 2, 2015}, pages = {185--195}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICDCS.2015.27}, doi = {10.1109/ICDCS.2015.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/BoothKZKR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/JuWCZD15, author = {Tao Ju and Weiguo Wu and Heng Chen and Zhengdong Zhu and Xiaoshe Dong}, title = {Thread Count Prediction Model: Dynamically Adjusting Threads for Heterogeneous Many-Core Systems}, booktitle = {21st {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2015, Melbourne, Australia, December 14-17, 2015}, pages = {456--464}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICPADS.2015.64}, doi = {10.1109/ICPADS.2015.64}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/JuWCZD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/ZhangAYLLWZ15, author = {Peng Zhang and Yulong Ao and Chao Yang and Yiqung Liu and Fangfang Liu and Changmao Wu and Haitao Zhao}, title = {Pattern-Driven Hybrid Multi- and Many-Core Acceleration in the {MPAS} Shallow-Water Model}, booktitle = {44th International Conference on Parallel Processing, {ICPP} 2015, Beijing, China, September 1-4, 2015}, pages = {71--80}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICPP.2015.16}, doi = {10.1109/ICPP.2015.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/ZhangAYLLWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/WangHM15, author = {Wong Yoke San Wang and Geok Soon Hong and Kommisetti V. R. S. Manyam}, title = {A model-based approach for monitoring of ball nose milling by force sensing}, booktitle = {9th International Conference on Sensing Technology, {ICST} 2015, Auckland, New Zealand, December 8-10, 2015}, pages = {284--288}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICSensT.2015.7438408}, doi = {10.1109/ICSENST.2015.7438408}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/WangHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/SiPHBTI15, author = {Min Si and Antonio J. Pe{\~{n}}a and Jeff R. Hammond and Pavan Balaji and Masamichi Takagi and Yutaka Ishikawa}, title = {Casper: An Asynchronous Progress Model for {MPI} {RMA} on Many-Core Architectures}, booktitle = {2015 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2015, Hyderabad, India, May 25-29, 2015}, pages = {665--676}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IPDPS.2015.35}, doi = {10.1109/IPDPS.2015.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/SiPHBTI15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/ShihRR15, author = {Min{-}Chi Shih and Renuka Shenoy and Kenneth Rose}, title = {2D hidden Markov model with spatially adaptive state-space for tracing many cells in image sequence}, booktitle = {12th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2015, Brooklyn, NY, USA, April 16-19, 2015}, pages = {1452--1456}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISBI.2015.7164150}, doi = {10.1109/ISBI.2015.7164150}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/ShihRR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kbse/BocicB15, author = {Ivan Bocic and Tevfik Bultan}, editor = {Myra B. Cohen and Lars Grunske and Michael Whalen}, title = {Efficient Data Model Verification with Many-Sorted Logic {(T)}}, booktitle = {30th {IEEE/ACM} International Conference on Automated Software Engineering, {ASE} 2015, Lincoln, NE, USA, November 9-13, 2015}, pages = {42--52}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ASE.2015.48}, doi = {10.1109/ASE.2015.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kbse/BocicB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memsys/DingLY15, author = {Chen Ding and Hao Lu and Chencheng Ye}, editor = {Bruce L. Jacob}, title = {{MMC:} a Many-core Memory Connection Model}, booktitle = {Proceedings of the 2015 International Symposium on Memory Systems, {MEMSYS} 2015, Washington DC, DC, USA, October 5-8, 2015}, pages = {47--50}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2818950.2818958}, doi = {10.1145/2818950.2818958}, timestamp = {Fri, 13 Nov 2020 09:24:44 +0100}, biburl = {https://dblp.org/rec/conf/memsys/DingLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/HaqueMX15, author = {Sardar Anisul Haque and Marc Moreno Maza and Ning Xie}, editor = {Gerhard R. Joubert and Hugh Leather and Mark Parsons and Frans J. Peters and Mark Sawyer}, title = {A Many-Core Machine Model for Designing Algorithms with Minimum Parallelism Overheads}, booktitle = {Parallel Computing: On the Road to Exascale, Proceedings of the International Conference on Parallel Computing, ParCo 2015, 1-4 September 2015, Edinburgh, Scotland, {UK}}, series = {Advances in Parallel Computing}, volume = {27}, pages = {35--44}, publisher = {{IOS} Press}, year = {2015}, url = {https://doi.org/10.3233/978-1-61499-621-7-35}, doi = {10.3233/978-1-61499-621-7-35}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parco/HaqueMX15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parco/HoTODR15, author = {Minh Quan Ho and Bernard Tourancheau and Christian Obrecht and Beno{\^{\i}}t Dupont de Dinechin and J{\'{e}}r{\^{o}}me Reybert}, editor = {Gerhard R. Joubert and Hugh Leather and Mark Parsons and Frans J. Peters and Mark Sawyer}, title = {{MPI} communication on {MPPA} Many-core NoC: design, modeling and performance issues}, booktitle = {Parallel Computing: On the Road to Exascale, Proceedings of the International Conference on Parallel Computing, ParCo 2015, 1-4 September 2015, Edinburgh, Scotland, {UK}}, series = {Advances in Parallel Computing}, volume = {27}, pages = {113--122}, publisher = {{IOS} Press}, year = {2015}, url = {https://doi.org/10.3233/978-1-61499-621-7-113}, doi = {10.3233/978-1-61499-621-7-113}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parco/HoTODR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/Holmbacka0EL15, author = {Simon Holmbacka and J{\"{o}}rg Keller and Patrick Eitschberger and Johan Lilius}, editor = {Masoud Daneshtalab and Marco Aldinucci and Ville Lepp{\"{a}}nen and Johan Lilius and Mats Brorsson}, title = {Accurate Energy Modelling for Many-Core Static Schedules}, booktitle = {23rd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2015, Turku, Finland, March 4-6, 2015}, pages = {525--532}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PDP.2015.27}, doi = {10.1109/PDP.2015.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/Holmbacka0EL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/MartinezMDATAN15, author = {V{\'{\i}}ctor Mart{\'{\i}}nez and David Mich{\'{e}}a and Fabrice Dupros and Olivier Aumage and Samuel Thibault and Hideo Aochi and Philippe O. A. Navaux}, title = {Towards Seismic Wave Modeling on Heterogeneous Many-Core Architectures Using Task-Based Runtime System}, booktitle = {27th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2015, Florian{\'{o}}polis, Brazil, October 17-21, 2015}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SBAC-PAD.2015.33}, doi = {10.1109/SBAC-PAD.2015.33}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sbac-pad/MartinezMDATAN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/BridgesDGSFB15, author = {Patrick G. Bridges and Matthew G. F. Dosanjh and Ryan E. Grant and Anthony Skjellum and Shane Farmer and Ron Brightwell}, title = {Preparing for exascale: modeling {MPI} for many-core systems using fine-grain queues}, booktitle = {Proceedings of the 3rd Workshop on Exascale MPI, ExaMPI@SC 2015, Austin, Texas, USA, November 15, 2015}, pages = {5:1--5:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2831129.2831134}, doi = {10.1145/2831129.2831134}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/BridgesDGSFB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/LiuS15, author = {Yu{-}Hang Liu and Xian{-}He Sun}, editor = {Jackie Kern and Jeffrey S. Vetter}, title = {\emph{C}\({}^{\mbox{2}}\)-bound: a capacity and concurrency driven analytical model for many-core design}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2015, Austin, TX, USA, November 15-20, 2015}, pages = {48:1--48:11}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2807591.2807641}, doi = {10.1145/2807591.2807641}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/LiuS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/LopezYMRHV15, author = {M. Graham Lopez and Jeffrey S. Young and Jeremy S. Meredith and Philip C. Roth and Mitchel D. Horton and Jeffrey S. Vetter}, editor = {Stephen A. Jarvis and Steven A. Wright and Simon D. Hammond}, title = {Examining recent many-core architectures and programming models using {SHOC}}, booktitle = {Proceedings of the 6th International Workshop on Performance Modeling, Benchmarking, and Simulation of High Performance Computing Systems, {PMBS} 2015, Austin, Texas, USA, November 15, 2015}, pages = {3:1--3:12}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2832087.2832090}, doi = {10.1145/2832087.2832090}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/LopezYMRHV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/daglib/p/Shelah15, author = {Saharon Shelah}, editor = {{\AA}sa Hirvonen and Juha Kontinen and Roman Kossak and Andr{\'{e}}s Villaveces}, title = {{A.E.C.} with Not Too Many Models}, booktitle = {Logic Without Borders - Essays on Set Theory, Model Theory, Philosophical Logic and Philosophy of Mathematics}, series = {Ontos Mathematical Logic}, volume = {5}, pages = {367--402}, publisher = {De Gruyter}, year = {2015}, url = {https://doi.org/10.1515/9781614516873.367}, doi = {10.1515/9781614516873.367}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/daglib/p/Shelah15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2015pmam, editor = {Pavan Balaji and Minyi Guo and Zhiyi Huang}, title = {Proceedings of the Sixth International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2015, San Francisco, CA, USA, February 7-8, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2712386}, doi = {10.1145/2712386}, isbn = {978-1-4503-3404-4}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2015pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/OyaroT15, author = {Denis Oyaro and Piero Triverio}, title = {TurboMOR: an Efficient Model Order Reduction Technique for {RC} Networks with Many Ports}, journal = {CoRR}, volume = {abs/1507.00219}, year = {2015}, url = {http://arxiv.org/abs/1507.00219}, eprinttype = {arXiv}, eprint = {1507.00219}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/OyaroT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/RossRPS15, author = {James A. Ross and David A. Richie and Song Jun Park and Dale R. Shires}, title = {Parallel Programming Model for the Epiphany Many-Core Coprocessor Using Threaded {MPI}}, journal = {CoRR}, volume = {abs/1506.05442}, year = {2015}, url = {http://arxiv.org/abs/1506.05442}, eprinttype = {arXiv}, eprint = {1506.05442}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/RossRPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Rutgers14, author = {Jochem H. Rutgers}, title = {Programming models for many-core architectures: a co-design approach}, school = {University of Twente, Enschede, Netherlands}, year = {2014}, url = {http://purl.utwente.nl/publications/90661}, timestamp = {Fri, 05 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Rutgers14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Genet14, author = {Damien Genet}, title = {Conception et r{\'{e}}alisation d'un solveur pour les probl{\`{e}}mes de dynamique des fluides pour les architectures many-core. (Design of generic modular solutions for {PDE} solvers for modern architectures)}, school = {University of Bordeaux, France}, year = {2014}, url = {https://tel.archives-ouvertes.fr/tel-01198778}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Genet14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/DingTLJOLT14, author = {Ying Ding and Shaowu Tang and Serena G. Liao and Jia Jia and Steffi Oesterreich and Yan Lin and George C. Tseng}, title = {Bias correction for selecting the minimal-error classifier from many machine learning models}, journal = {Bioinform.}, volume = {30}, number = {22}, pages = {3152--3158}, year = {2014}, url = {https://doi.org/10.1093/bioinformatics/btu520}, doi = {10.1093/BIOINFORMATICS/BTU520}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/DingTLJOLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cnsns/FreireS14, author = {Igor Leite Freire and J{\'{u}}lio Cesar Santos Sampaio}, title = {On the nonlinear self-adjointness and local conservation laws for a class of evolution equations unifying many models}, journal = {Commun. Nonlinear Sci. Numer. Simul.}, volume = {19}, number = {2}, pages = {350--360}, year = {2014}, url = {https://doi.org/10.1016/j.cnsns.2013.06.010}, doi = {10.1016/J.CNSNS.2013.06.010}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cnsns/FreireS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/FernandezMMS14, author = {C{\`{e}}sar Fern{\'{a}}ndez and Felip Many{\`{a}} and Carles Mateu and Francina Sole{-}Mauri}, title = {Modeling energy consumption in automated vacuum waste collection systems}, journal = {Environ. Model. Softw.}, volume = {56}, pages = {63--73}, year = {2014}, url = {https://doi.org/10.1016/j.envsoft.2013.11.013}, doi = {10.1016/J.ENVSOFT.2013.11.013}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/FernandezMMS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/GiulianiGS14, author = {Matteo Giuliani and Stefano Galelli and Rodolfo Soncini{-}Sessa}, title = {A dimensionality reduction approach for many-objective Markov Decision Processes: Application to a water reservoir operation problem}, journal = {Environ. Model. Softw.}, volume = {57}, pages = {101--114}, year = {2014}, url = {https://doi.org/10.1016/j.envsoft.2014.02.011}, doi = {10.1016/J.ENVSOFT.2014.02.011}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/GiulianiGS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/MooijBKDDFGHHJJJKLPPSSTTTDGNK14, author = {Wolf M. Mooij and Robert J. Brederveld and Jeroen J. M. de Klein and Don L. DeAngelis and Andrea S. Downing and Michiel Faber and Daan J. Gerla and Matthew R. Hipsey and Jochem 't Hoen and Jan H. Janse and Annette B. G. Janssen and Michel Jeuken and Bob W. Kooi and Betty Lischke and Thomas Petzoldt and Leo Postma and Sebastiaan A. Schep and Huub Scholten and Sven Teurlincx and Christophe Thiange and Dennis Trolle and Anne A. van Dam and Luuk P. A. van Gerven and Egbert H. van Nes and Jan J. Kuiper}, title = {Serving many at once: How a database approach can create unity in dynamical ecosystem modelling}, journal = {Environ. Model. Softw.}, volume = {61}, pages = {266--273}, year = {2014}, url = {https://doi.org/10.1016/j.envsoft.2014.04.004}, doi = {10.1016/J.ENVSOFT.2014.04.004}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/MooijBKDDFGHHJJJKLPPSSTTTDGNK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LinC14, author = {Xuan{-}Yi Lin and Yeh{-}Ching Chung}, title = {Master-worker model for MapReduce paradigm on the {TILE64} many-core platform}, journal = {Future Gener. Comput. Syst.}, volume = {36}, pages = {19--30}, year = {2014}, url = {https://doi.org/10.1016/j.future.2013.05.001}, doi = {10.1016/J.FUTURE.2013.05.001}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/LinC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/MaAC14, author = {Lin Ma and Kunal Agrawal and Roger D. Chamberlain}, title = {A memory access model for highly-threaded many-core architectures}, journal = {Future Gener. Comput. Syst.}, volume = {30}, pages = {202--215}, year = {2014}, url = {https://doi.org/10.1016/j.future.2013.06.020}, doi = {10.1016/J.FUTURE.2013.06.020}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/MaAC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/FicetolaBMGP14, author = {Gentile Francesco Ficetola and Anna Bonardi and Caspar A. M{\"{u}}cher and Niels L. M. Gilissen and Emilio Padoa{-}Schioppa}, title = {How many predictors in species distribution models at the landscape scale? Land use versus LiDAR-derived canopy height}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {28}, number = {8}, pages = {1723--1739}, year = {2014}, url = {https://doi.org/10.1080/13658816.2014.891222}, doi = {10.1080/13658816.2014.891222}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gis/FicetolaBMGP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/Jeon14, author = {Man{-}Young Jeon}, title = {Analytical investigation of phase shift impulse response of three existing phase shift models using a simple planar oscillator}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {12}, pages = {20140293}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140293}, doi = {10.1587/ELEX.11.20140293}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/Jeon14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcmam/BarakatHTT14, author = {Khaled H. Barakat and Michael Houghton and D. Lorne Tyrrell and Jack A. Tuszynski}, title = {Rational Drug Design: One Target, Many Paths to It}, journal = {Int. J. Comput. Model. Algorithms Medicine}, volume = {4}, number = {1}, pages = {59--85}, year = {2014}, url = {https://doi.org/10.4018/ijcmam.2014010104}, doi = {10.4018/IJCMAM.2014010104}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcmam/BarakatHTT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/ZhangW14, author = {Xiaohong Zhang and Wansen Wang}, title = {Lattice-valued interval soft sets - {A} general frame of many soft set models}, journal = {J. Intell. Fuzzy Syst.}, volume = {26}, number = {3}, pages = {1311--1321}, year = {2014}, url = {https://doi.org/10.3233/IFS-130817}, doi = {10.3233/IFS-130817}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/ZhangW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/PapagiannisN14, author = {Anastasios Papagiannis and Dimitrios S. Nikolopoulos}, title = {Hybrid address spaces: {A} methodology for implementing scalable high-level programming models on non-coherent many-core architectures}, journal = {J. Syst. Softw.}, volume = {97}, pages = {47--64}, year = {2014}, url = {https://doi.org/10.1016/j.jss.2014.06.058}, doi = {10.1016/J.JSS.2014.06.058}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jss/PapagiannisN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/Belanger14, author = {David R. B{\'{e}}langer}, title = {Reverse Mathematics of First-order Theories with Finitely Many Models}, journal = {J. Symb. Log.}, volume = {79}, number = {3}, pages = {955--984}, year = {2014}, url = {https://doi.org/10.1017/jsl.2014.32}, doi = {10.1017/JSL.2014.32}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/Belanger14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mor/Biswas14, author = {Anup Biswas}, title = {Risk-Sensitive Control for the Multiclass Many-Server Queues in the Moderate Deviation Regime}, journal = {Math. Oper. Res.}, volume = {39}, number = {3}, pages = {908--929}, year = {2014}, url = {https://doi.org/10.1287/moor.2013.0632}, doi = {10.1287/MOOR.2013.0632}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mor/Biswas14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/oms/PytlakTFS14, author = {Radoslaw Pytlak and Tomasz Tarnawski and Bartlomiej Fajdek and Marcin Stachura}, title = {Interactive dynamic optimization server - connecting one modelling language with many solvers}, journal = {Optim. Methods Softw.}, volume = {29}, number = {5}, pages = {1118--1138}, year = {2014}, url = {https://doi.org/10.1080/10556788.2013.799159}, doi = {10.1080/10556788.2013.799159}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/oms/PytlakTFS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/Kang14, author = {Weining Kang}, title = {Existence and uniqueness of a fluid model for many-server queues with abandonment}, journal = {Oper. Res. Lett.}, volume = {42}, number = {6-7}, pages = {478--483}, year = {2014}, url = {https://doi.org/10.1016/j.orl.2014.08.006}, doi = {10.1016/J.ORL.2014.08.006}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/Kang14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/LinM14, author = {Kyle Y. Lin and Niall J. MacKay}, title = {The optimal policy for the one-against-many heterogeneous Lanchester model}, journal = {Oper. Res. Lett.}, volume = {42}, number = {6-7}, pages = {473--477}, year = {2014}, url = {https://doi.org/10.1016/j.orl.2014.08.008}, doi = {10.1016/J.ORL.2014.08.008}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/LinM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/LongZ14, author = {Zhenghua Long and Jiheng Zhang}, title = {Convergence to equilibrium states for fluid models of many-server queues with abandonment}, journal = {Oper. Res. Lett.}, volume = {42}, number = {6-7}, pages = {388--393}, year = {2014}, url = {https://doi.org/10.1016/j.orl.2014.06.011}, doi = {10.1016/J.ORL.2014.06.011}, timestamp = {Thu, 28 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/orl/LongZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/Balaji014, author = {Pavan Balaji and Zhiyi Huang}, title = {Special issue on programming models and applications for multicores and manycores - Guest Editors' Introduction}, journal = {Parallel Comput.}, volume = {40}, number = {2}, pages = {33--34}, year = {2014}, url = {https://doi.org/10.1016/j.parco.2013.12.002}, doi = {10.1016/J.PARCO.2013.12.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/Balaji014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/XieL14, author = {Hong Xie and John C. S. Lui}, title = {Mathematical modeling of group product recommendation with partial information: How many ratings do we need?}, journal = {Perform. Evaluation}, volume = {77}, pages = {72--95}, year = {2014}, url = {https://doi.org/10.1016/j.peva.2014.03.004}, doi = {10.1016/J.PEVA.2014.03.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/XieL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Mora-CortesMCH14, author = {Anderson Mora{-}Cortes and Nikolay V. Manyakov and Nikolay Chumerin and Marc M. Van Hulle}, title = {Language Model Applications to Spelling with Brain-Computer Interfaces}, journal = {Sensors}, volume = {14}, number = {4}, pages = {5967--5993}, year = {2014}, url = {https://doi.org/10.3390/s140405967}, doi = {10.3390/S140405967}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/Mora-CortesMCH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/DAngeloM14, author = {Gabriele D'Angelo and Moreno Marzolla}, title = {New trends in parallel and distributed simulation: From many-cores to Cloud Computing}, journal = {Simul. Model. Pract. Theory}, volume = {49}, pages = {320--335}, year = {2014}, url = {https://doi.org/10.1016/j.simpat.2014.06.007}, doi = {10.1016/J.SIMPAT.2014.06.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/DAngeloM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/DiversiTBBB14, author = {Roberto Diversi and Andrea Tilli and Andrea Bartolini and Francesco Beneventi and Luca Benini}, title = {Bias-Compensated Least Squares Identification of Distributed Thermal Models for Many-Core Systems-on-Chip}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {61-I}, number = {9}, pages = {2663--2676}, year = {2014}, url = {https://doi.org/10.1109/TCSI.2014.2312495}, doi = {10.1109/TCSI.2014.2312495}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/DiversiTBBB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/Wong14a, author = {Lawson L. S. Wong}, editor = {Carla E. Brodley and Peter Stone}, title = {A Model Attention and Selection Framework for Estimation of Many Variables, with Applications to Estimating Object States in Large Spatial Environments}, booktitle = {Proceedings of the Twenty-Eighth {AAAI} Conference on Artificial Intelligence, July 27 -31, 2014, Qu{\'{e}}bec City, Qu{\'{e}}bec, Canada}, pages = {3140--3141}, publisher = {{AAAI} Press}, year = {2014}, url = {https://doi.org/10.1609/aaai.v28i1.9108}, doi = {10.1609/AAAI.V28I1.9108}, timestamp = {Mon, 04 Sep 2023 15:06:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/Wong14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/MaCA14, author = {Lin Ma and Roger D. Chamberlain and Kunal Agrawal}, title = {Performance modeling for highly-threaded many-core GPUs}, booktitle = {{IEEE} 25th International Conference on Application-Specific Systems, Architectures and Processors, {ASAP} 2014, Zurich, Switzerland, June 18-20, 2014}, pages = {84--91}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ASAP.2014.6868641}, doi = {10.1109/ASAP.2014.6868641}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/MaCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/MaYH014, author = {Jun Ma and Guihai Yan and Yinhe Han and Xiaowei Li}, title = {Amphisbaena: Modeling two orthogonal ways to hunt on heterogeneous many-cores}, booktitle = {19th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2014, Singapore, January 20-23, 2014}, pages = {394--399}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ASPDAC.2014.6742923}, doi = {10.1109/ASPDAC.2014.6742923}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/MaYH014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/IkeiS14, author = {Mitsuru Ikei and Mitsuhisa Sato}, title = {A {PGAS} Execution Model for Efficient Stencil Computation on Many-Core Processors}, booktitle = {14th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2014, Chicago, IL, USA, May 26-29, 2014}, pages = {305--314}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CCGrid.2014.20}, doi = {10.1109/CCGRID.2014.20}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/IkeiS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/LuoSO14, author = {Chang Luo and Koji Shimoyama and Shigeru Obayashi}, title = {Kriging model based many-objective optimization with efficient calculation of expected hypervolume improvement}, booktitle = {Proceedings of the {IEEE} Congress on Evolutionary Computation, {CEC} 2014, Beijing, China, July 6-11, 2014}, pages = {1187--1194}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CEC.2014.6900299}, doi = {10.1109/CEC.2014.6900299}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/LuoSO14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangZMYJDP14, author = {Xiaohang Wang and Baoxin Zhao and Terrence S. T. Mak and Mei Yang and Yingtao Jiang and Masoud Daneshtalab and Maurizio Palesi}, editor = {Gerhard P. Fettweis and Wolfgang Nebel}, title = {Adaptive power allocation for many-core systems inspired from multiagent auction model}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2014, Dresden, Germany, March 24-28, 2014}, pages = {1--4}, publisher = {European Design and Automation Association}, year = {2014}, url = {https://doi.org/10.7873/DATE.2014.346}, doi = {10.7873/DATE.2014.346}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangZMYJDP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euvip/DechterenkoL14, author = {Filip Dechterenko and Jir{\'{\i}} Lukavsk{\'{y}}}, title = {Models of eye movements in multiple object tracking with many objects}, booktitle = {5th European Workshop on Visual Information Processing, {EUVIP} 2014, Villetaneuse, Paris, France, December 10-12, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/EUVIP.2014.7018375}, doi = {10.1109/EUVIP.2014.7018375}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euvip/DechterenkoL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcc/SeoKKK14, author = {Junsang Seo and Myeongsu Kang and Cheol{-}Hong Kim and Jong{-}Myon Kim}, editor = {James Jong Hyuk Park and Albert Y. Zomaya and Hwa{-}Young Jeong and Mohammad S. Obaidat}, title = {High-Performance Cluster Estimation Using Many-Core Models}, booktitle = {Frontier and Innovation in Future Computing and Communications, Proceedings of the 2014 {FTRA} International Symposium on Frontier and Innovation in Future Computing and Communications, {FCC} 2014, Auckland, New Zealand, January 13-16, 2014}, series = {Lecture Notes in Electrical Engineering}, volume = {301}, pages = {193--201}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-94-017-8798-7\_24}, doi = {10.1007/978-94-017-8798-7\_24}, timestamp = {Fri, 27 Mar 2020 08:55:45 +0100}, biburl = {https://dblp.org/rec/conf/fcc/SeoKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/YalavarthiK14, author = {Srikanth Yalavarthi and Akshara Kaginalkar}, title = {An early experience of regional ocean modelling on intel many integrated core architecture}, booktitle = {21st International Conference on High Performance Computing, HiPC 2014, Goa, India, December 17-20, 2014}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/HiPC.2014.7116907}, doi = {10.1109/HIPC.2014.7116907}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hipc/YalavarthiK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/Chen014, author = {Zhiyuan Chen and Bing Liu}, title = {Topic Modeling using Topics from Many Domains, Lifelong Learning and Big Data}, booktitle = {Proceedings of the 31th International Conference on Machine Learning, {ICML} 2014, Beijing, China, 21-26 June 2014}, series = {{JMLR} Workshop and Conference Proceedings}, volume = {32}, pages = {703--711}, publisher = {JMLR.org}, year = {2014}, url = {http://proceedings.mlr.press/v32/chenf14.html}, timestamp = {Wed, 29 May 2019 08:41:45 +0200}, biburl = {https://dblp.org/rec/conf/icml/Chen014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/OzogMHB14, author = {David Ozog and Allen D. Malony and Jeff R. Hammond and Pavan Balaji}, title = {WorkQ: {A} many-core producer/consumer execution model applied to {PGAS} computations}, booktitle = {20th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2014, Hsinchu, Taiwan, December 16-19, 2014}, pages = {632--639}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PADSW.2014.7097863}, doi = {10.1109/PADSW.2014.7097863}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/OzogMHB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwe/NorrieGMN14, author = {Moira C. Norrie and Linda Di Geronimo and Alfonso Murolo and Michael Nebeling}, editor = {Sven Casteleyn and Gustavo Rossi and Marco Winckler}, title = {The Forgotten Many? {A} Survey of Modern Web Development Practices}, booktitle = {Web Engineering, 14th International Conference, {ICWE} 2014, Toulouse, France, July 1-4, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8541}, pages = {290--307}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-08245-5\_17}, doi = {10.1007/978-3-319-08245-5\_17}, timestamp = {Tue, 20 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icwe/NorrieGMN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifm/FathabadiSB14, author = {Asieh Salehi Fathabadi and Colin F. Snook and Michael J. Butler}, editor = {Elvira Albert and Emil Sekerinski}, title = {Applying an Integrated Modelling Process to Run-time Management of Many-Core Systems}, booktitle = {Integrated Formal Methods - 11th International Conference, {IFM} 2014, Bertinoro, Italy, September 9-11, 2014, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8739}, pages = {120--135}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-10181-1\_8}, doi = {10.1007/978-3-319-10181-1\_8}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifm/FathabadiSB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/YouSFMDBCRY14, author = {Yang You and Shuaiwen Leon Song and Haohuan Fu and Andres Marquez and Maryam Mehri Dehnavi and Kevin J. Barker and Kirk W. Cameron and Amanda Peters Randles and Guangwen Yang}, title = {{MIC-SVM:} Designing a Highly Efficient Support Vector Machine for Advanced Modern Multi-core and Many-Core Architectures}, booktitle = {2014 {IEEE} 28th International Parallel and Distributed Processing Symposium, Phoenix, AZ, USA, May 19-23, 2014}, pages = {809--818}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IPDPS.2014.88}, doi = {10.1109/IPDPS.2014.88}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/YouSFMDBCRY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/RossRPS14, author = {James A. Ross and David A. Richie and Song Jun Park and Dale R. Shires}, editor = {Masoumeh Ebrahimi and Diana Goehringer and Masoud Daneshtalab and Maurizio Palesi and S{\"{o}}ren Sonntag and Federico Angiolini}, title = {Parallel Programming Model for the Epiphany Many-Core Coprocessor Using Threaded {MPI}}, booktitle = {Proceedings of the 3rd International Workshop on Many-core Embedded Systems (MES'2015) held on June 13, 2015 in conjunction with the 42nd International Symposium on Computer Architecture (ISCA'2015), Portland, OR, {USA}}, pages = {41--47}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2768177.2768183}, doi = {10.1145/2768177.2768183}, timestamp = {Fri, 09 Jul 2021 15:51:20 +0200}, biburl = {https://dblp.org/rec/conf/isca/RossRPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/DahmaniCLG14, author = {Safae Dahmani and Lo{\"{\i}}c Cudennec and St{\'{e}}phane Louise and Guy Gogniat}, title = {Using the Spring Physical Model to Extend a Cooperative Caching Protocol for Many-Core Processors}, booktitle = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014}, pages = {303--310}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MCSoC.2014.49}, doi = {10.1109/MCSOC.2014.49}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mcsoc/DahmaniCLG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/LouiseDG14, author = {St{\'{e}}phane Louise and Paul Dubrulle and Thierry Goubier}, title = {A Model of Computation for Real-Time Applications on Embedded Manycores}, booktitle = {{IEEE} 8th International Symposium on Embedded Multicore/Manycore SoCs, MCSoC 2014, Aizu-Wakamatsu, Japan, September 23-25, 2014}, pages = {333--340}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/MCSoC.2014.54}, doi = {10.1109/MCSOC.2014.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/LouiseDG14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/memocode/NouriBMLB14, author = {Ayoub Nouri and Marius Bozga and Anca Molnos and Axel Legay and Saddek Bensalem}, title = {Building faithful high-level models and performance evaluation of manycore embedded systems}, booktitle = {Twelfth {ACM/IEEE} International Conference on Formal Methods and Models for Codesign, {MEMOCODE} 2014, Lausanne, Switzerland, October 19-21, 2014}, pages = {209--218}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/MEMCOD.2014.6961864}, doi = {10.1109/MEMCOD.2014.6961864}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/memocode/NouriBMLB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/TcarenkoFLPT14, author = {Igor Tcarenko and Mohammad Fattah and Pasi Liljeberg and Juha Plosila and Hannu Tenhunen}, title = {Multi Rectangle Modeling Approach for Application Mapping on a Many-Core System}, booktitle = {22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2014, Torino, Italy, February 12-14, 2014}, pages = {452--457}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PDP.2014.99}, doi = {10.1109/PDP.2014.99}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/TcarenkoFLPT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/GreeneOC14, author = {Derek Greene and Derek O'Callaghan and Padraig Cunningham}, editor = {Toon Calders and Floriana Esposito and Eyke H{\"{u}}llermeier and Rosa Meo}, title = {How Many Topics? Stability Analysis for Topic Models}, booktitle = {Machine Learning and Knowledge Discovery in Databases - European Conference, {ECML} {PKDD} 2014, Nancy, France, September 15-19, 2014. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8724}, pages = {498--513}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44848-9\_32}, doi = {10.1007/978-3-662-44848-9\_32}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/GreeneOC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/HofmannTHW14, author = {Johannes Hofmann and Jan Treibig and Georg Hager and Gerhard Wellein}, editor = {Gabriel Tanase and Peng Wu and Joel Falcou}, title = {Comparing the performance of different x86 {SIMD} instruction sets for a medical imaging application on modern multi- and manycore chips}, booktitle = {Proceedings of the 2014 Workshop on Programming models for SIMD/Vector processing, {WPMVP} 2014, Orlando, Florida, USA, February 16, 2014}, pages = {57--64}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2568058.2568068}, doi = {10.1145/2568058.2568068}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/HofmannTHW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/NikolicYP14, author = {Borislav Nikolic and Patrick Meumeu Yomsi and Stefan M. Petters}, title = {Worst-case communication delay analysis for many-cores using a Limited Migrative Model}, booktitle = {2014 {IEEE} 20th International Conference on Embedded and Real-Time Computing Systems and Applications, Chongqing, China, August 20-22, 2014}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/RTCSA.2014.6910498}, doi = {10.1109/RTCSA.2014.6910498}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/NikolicYP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/DixonLZ14, author = {Matthew Dixon and J{\"{o}}rg Lotze and Mohammad Zubair}, editor = {David Daly and Matthew Dixon and Jos{\'{e}} E. Moreira}, title = {A portable and fast stochastic volatility model calibration using multi and many-core processors}, booktitle = {Proceedings of the 7th Workshop on High Performance Computational Finance, {WHPCF} '14, New Orleans, Louisiana, USA, November 16-21, 2014}, pages = {23--28}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/WHPCF.2014.12}, doi = {10.1109/WHPCF.2014.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/DixonLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skg/LaiLWS14, author = {Zhiquan Lai and King Tin Lam and Cho{-}Li Wang and Jinshu Su}, title = {A Power Modelling Approach for Many-Core Architectures}, booktitle = {2014 10th International Conference on Semantics, Knowledge and Grids, Beijing, China, August 27-29, 2014}, pages = {128--132}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/SKG.2014.10}, doi = {10.1109/SKG.2014.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/skg/LaiLWS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcet/NelisYPFBQVM14, author = {Vincent N{\'{e}}lis and Patrick Meumeu Yomsi and Lu{\'{\i}}s Miguel Pinho and Jos{\'{e}} Carlos Fonseca and Marko Bertogna and Eduardo Qui{\~{n}}ones and Roberto Vargas and Andrea Marongiu}, editor = {Heiko Falk}, title = {The Challenge of Time-Predictability in Modern Many-Core Architectures}, booktitle = {14th International Workshop on Worst-Case Execution Time Analysis, {WCET} 2014, July 8, 2014, Ulm, Germany}, series = {OASIcs}, volume = {39}, pages = {63--72}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2014}, url = {https://doi.org/10.4230/OASIcs.WCET.2014.63}, doi = {10.4230/OASICS.WCET.2014.63}, timestamp = {Tue, 15 Feb 2022 09:40:04 +0100}, biburl = {https://dblp.org/rec/conf/wcet/NelisYPFBQVM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/mosi/QuagliaPV14, author = {Francesco Quaglia and Alessandro Pellegrini and Roberto Vitali}, editor = {Daniele Gianni and Andrea D'Ambrogio and Andreas Tolk}, title = {Reshuffling {PDES} platforms for multi/many-core machines: {A} perspective with focus on load sharing}, booktitle = {Modeling and Simulation-Based Systems Engineering Handbook}, pages = {203--232}, publisher = {{CRC} Press}, year = {2014}, url = {http://www.crcnetbase.com/doi/abs/10.1201/b17902-10}, doi = {10.1201/B17902-10}, timestamp = {Wed, 02 Dec 2015 00:00:00 +0100}, biburl = {https://dblp.org/rec/reference/mosi/QuagliaPV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2014pmam, editor = {Pavan Balaji and Minyi Guo and Zhiyi Huang}, title = {Proceedings of the 2014 {PPOPP} International Workshop on Programming Models and Applications for Multicores and Manycores, {PMAM} 2014, Orlando, Florida, USA, February 15, 2014}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2578948}, doi = {10.1145/2578948}, isbn = {978-1-4503-2657-5}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2014pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/GreeneOC14, author = {Derek Greene and Derek O'Callaghan and P{\'{a}}draig Cunningham}, title = {How Many Topics? Stability Analysis for Topic Models}, journal = {CoRR}, volume = {abs/1404.4606}, year = {2014}, url = {http://arxiv.org/abs/1404.4606}, eprinttype = {arXiv}, eprint = {1404.4606}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/GreeneOC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HaqueMX14, author = {Sardar Anisul Haque and Marc Moreno Maza and Ning Xie}, title = {A Many-core Machine Model for Designing Algorithms with Minimum Parallelism Overheads}, journal = {CoRR}, volume = {abs/1402.0264}, year = {2014}, url = {http://arxiv.org/abs/1402.0264}, eprinttype = {arXiv}, eprint = {1402.0264}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HaqueMX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HofmannTHW14a, author = {Johannes Hofmann and Jan Treibig and Georg Hager and Gerhard Wellein}, title = {Comparing the Performance of Different x86 {SIMD} Instruction Sets for a Medical Imaging Application on Modern Multi- and Manycore Chips}, journal = {CoRR}, volume = {abs/1401.7494}, year = {2014}, url = {http://arxiv.org/abs/1401.7494}, eprinttype = {arXiv}, eprint = {1401.7494}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/HofmannTHW14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/IzbickiS14, author = {Rafael Izbicki and Rafael Bassi Stern}, title = {Learning with many experts: model selection and sparsity}, journal = {CoRR}, volume = {abs/1405.3292}, year = {2014}, url = {http://arxiv.org/abs/1405.3292}, eprinttype = {arXiv}, eprint = {1405.3292}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/IzbickiS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Gerhard13, author = {Jochen Gerhard}, title = {Refactoring the UrQMD model for many-core architectures}, school = {Goethe University Frankfurt am Main}, year = {2013}, url = {http://publikationen.ub.uni-frankfurt.de/frontdoor/index/index/docId/31346}, urn = {urn:nbn:de:hebis:30:3-313463}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Gerhard13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/NilakantanBH13, author = {Siddharth Nilakantan and Steven J. Battle and Mark Hempstead}, title = {Metrics for Early-Stage Modeling of Many-Accelerator Architectures}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {12}, number = {1}, pages = {25--28}, year = {2013}, url = {https://doi.org/10.1109/L-CA.2012.9}, doi = {10.1109/L-CA.2012.9}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/NilakantanBH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/KasprzykNRL13, author = {Joseph R. Kasprzyk and Shanthi Nataraj and Patrick M. Reed and Robert J. Lempert}, title = {Many objective robust decision making for complex environmental systems undergoing change}, journal = {Environ. Model. Softw.}, volume = {42}, pages = {55--71}, year = {2013}, url = {https://doi.org/10.1016/j.envsoft.2012.12.007}, doi = {10.1016/J.ENVSOFT.2012.12.007}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/KasprzykNRL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/et/MashkovBS13, author = {Viktor Mashkov and Jir{\'{\i}} Barilla and Pavel Simr}, title = {Applying Petri Nets to Modeling of Many-Core Processor Self-Testing when Tests are Performed Randomly}, journal = {J. Electron. Test.}, volume = {29}, number = {1}, pages = {25--34}, year = {2013}, url = {https://doi.org/10.1007/s10836-012-5346-8}, doi = {10.1007/S10836-012-5346-8}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/et/MashkovBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AbrilS13, author = {Ildefons Magrans de Abril and Masashi Sugiyama}, title = {Winning the Kaggle Algorithmic Trading Challenge with the Composition of Many Models and Feature Engineering}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {96-D}, number = {3}, pages = {742--745}, year = {2013}, url = {https://doi.org/10.1587/transinf.E96.D.742}, doi = {10.1587/TRANSINF.E96.D.742}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AbrilS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/PilatN13, author = {Martin Pil{\'{a}}t and Roman Neruda}, title = {Aggregate meta-models for evolutionary multiobjective and many-objective optimization}, journal = {Neurocomputing}, volume = {116}, pages = {392--402}, year = {2013}, url = {https://doi.org/10.1016/j.neucom.2012.06.043}, doi = {10.1016/J.NEUCOM.2012.06.043}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/PilatN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/WojciechowskiBPB13, author = {Bartosz Wojciechowski and Krzysztof S. Berezowski and Piotr Patronik and Janusz Biernat}, title = {Fast and accurate thermal modeling and simulation of manycore processors and workloads}, journal = {Microelectron. J.}, volume = {44}, number = {11}, pages = {986--993}, year = {2013}, url = {https://doi.org/10.1016/j.mejo.2012.08.001}, doi = {10.1016/J.MEJO.2012.08.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/WojciechowskiBPB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mmas/Margetis13, author = {Dionisios Margetis}, title = {Erratum: Bose-Einstein Condensation beyond Mean Field: Many-Body Bound State of Periodic Microstructure}, journal = {Multiscale Model. Simul.}, volume = {11}, number = {1}, pages = {410}, year = {2013}, url = {https://doi.org/10.1137/120876794}, doi = {10.1137/120876794}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mmas/Margetis13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/JovenMABM13, author = {Jaume Joven and Andrea Marongiu and Federico Angiolini and Luca Benini and Giovanni De Micheli}, title = {An integrated, programming model-driven framework for NoC-QoS support in cluster-based embedded many-cores}, journal = {Parallel Comput.}, volume = {39}, number = {10}, pages = {549--566}, year = {2013}, url = {https://doi.org/10.1016/j.parco.2013.06.002}, doi = {10.1016/J.PARCO.2013.06.002}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/JovenMABM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/questa/Zhang13, author = {Jiheng Zhang}, title = {Fluid models of many-server queues with abandonment}, journal = {Queueing Syst. Theory Appl.}, volume = {73}, number = {2}, pages = {147--193}, year = {2013}, url = {https://doi.org/10.1007/s11134-012-9307-9}, doi = {10.1007/S11134-012-9307-9}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/questa/Zhang13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DeusGK13, author = {Dorothea Deus and Richard Gloaguen and Peter Krause}, title = {Water Balance Modeling in a Semi-Arid Environment with Limited \emph{in situ} Data Using Remote Sensing in Lake Manyara, East African Rift, Tanzania}, journal = {Remote. Sens.}, volume = {5}, number = {4}, pages = {1651--1680}, year = {2013}, url = {https://doi.org/10.3390/rs5041651}, doi = {10.3390/RS5041651}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/DeusGK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sadm/IzbickiS13, author = {Rafael Izbicki and Rafael Bassi Stern}, title = {Learning with many experts: Model selection and sparsity}, journal = {Stat. Anal. Data Min.}, volume = {6}, number = {6}, pages = {565--577}, year = {2013}, url = {https://doi.org/10.1002/sam.11206}, doi = {10.1002/SAM.11206}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sadm/IzbickiS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/LiASBTJ13, author = {Sheng Li and Jung Ho Ahn and Richard D. Strong and Jay B. Brockman and Dean M. Tullsen and Norman P. Jouppi}, title = {The McPAT Framework for Multicore and Manycore Architectures: Simultaneously Modeling Power, Area, and Timing}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {10}, number = {1}, pages = {5:1--5:29}, year = {2013}, url = {https://doi.org/10.1145/2445572.2445577}, doi = {10.1145/2445572.2445577}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/LiASBTJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/ZhangDT13, author = {Nan Zhang and Zhenhua Duan and Cong Tian}, title = {A cylinder computation model for many-core parallel computing}, journal = {Theor. Comput. Sci.}, volume = {497}, pages = {68--83}, year = {2013}, url = {https://doi.org/10.1016/j.tcs.2012.02.011}, doi = {10.1016/J.TCS.2012.02.011}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/ZhangDT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/KimKK13, author = {Yongmin Kim and Myeongsu Kang and Jong{-}Myon Kim}, title = {Exploration of Optimal Many-Core Models for Efficient Image Segmentation}, journal = {{IEEE} Trans. Image Process.}, volume = {22}, number = {5}, pages = {1767--1777}, year = {2013}, url = {https://doi.org/10.1109/TIP.2012.2235851}, doi = {10.1109/TIP.2012.2235851}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/KimKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomacs/LiaoPPP13, author = {Wei{-}Cherng Liao and Fragkiskos Papadopoulos and Konstantinos Psounis and Constantinos Psomas}, title = {Modeling BitTorrent-like systems with many classes of users}, journal = {{ACM} Trans. Model. Comput. Simul.}, volume = {23}, number = {2}, pages = {13:1--13:25}, year = {2013}, url = {https://doi.org/10.1145/2457459.2457462}, doi = {10.1145/2457459.2457462}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tomacs/LiaoPPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvcg/KehrerPBG13, author = {Johannes Kehrer and Harald Piringer and Wolfgang Berger and M. Eduard Gr{\"{o}}ller}, title = {A Model for Structure-Based Comparison of Many Categories in Small-Multiple Displays}, journal = {{IEEE} Trans. Vis. Comput. Graph.}, volume = {19}, number = {12}, pages = {2287--2296}, year = {2013}, url = {https://doi.org/10.1109/TVCG.2013.122}, doi = {10.1109/TVCG.2013.122}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvcg/KehrerPBG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEicci/Valero-LaraP13, author = {Pedro Valero{-}Lara and Fernando L. Pelayo}, title = {Analysis in performance and new model for multiple kernels executions on many-core architectures}, booktitle = {{IEEE} 12th International Conference on Cognitive Informatics and Cognitive Computing, ICCI*CC 2013, New York, NY, USA, July 16-18, 2013}, pages = {189--194}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICCI-CC.2013.6622243}, doi = {10.1109/ICCI-CC.2013.6622243}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEicci/Valero-LaraP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/agere/FrancesquiniGM13, author = {Emilio Francesquini and Alfredo Goldman and Jean{-}Fran{\c{c}}ois M{\'{e}}haut}, editor = {Nadeem Jamali and Alessandro Ricci and Gera Weiss and Akinori Yonezawa}, title = {Improving the performance of actor model runtime environments on multicore and manycore platforms}, booktitle = {Proceedings of the 2013 Workshop on Programming based on Actors, Agents, and Decentralized Control, AGERE!@SPLASH 2013, Indianapolis, IN, USA, October 27-28, 2013}, pages = {109--114}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2541329.2541342}, doi = {10.1145/2541329.2541342}, timestamp = {Thu, 11 Mar 2021 17:04:51 +0100}, biburl = {https://dblp.org/rec/conf/agere/FrancesquiniGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arcs/Prat-PerezDLT13, author = {Arnau Prat{-}P{\'{e}}rez and David Dominguez{-}Sal and Josep Llu{\'{\i}}s Larriba{-}Pey and Pedro Trancoso}, editor = {Hana Kub{\'{a}}tov{\'{a}} and Christian Hochberger and Martin Danek and Bernhard Sick}, title = {Producer-Consumer: The Programming Model for Future Many-Core Processors}, booktitle = {Architecture of Computing Systems - {ARCS} 2013 - 26th International Conference, Prague, Czech Republic, February 19-22, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7767}, pages = {110--121}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-36424-2\_10}, doi = {10.1007/978-3-642-36424-2\_10}, timestamp = {Thu, 10 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arcs/Prat-PerezDLT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PaoneVZSMHL13, author = {Edoardo Paone and Nazanin Vahabi and Vittorio Zaccaria and Cristina Silvano and Diego Melpignano and Germain Haugou and Thierry Lepley}, editor = {Enrico Macii}, title = {Improving simulation speed and accuracy for many-core embedded platforms with ensemble models}, booktitle = {Design, Automation and Test in Europe, {DATE} 13, Grenoble, France, March 18-22, 2013}, pages = {671--676}, publisher = {{EDA} Consortium San Jose, CA, {USA} / {ACM} {DL}}, year = {2013}, url = {https://doi.org/10.7873/DATE.2013.145}, doi = {10.7873/DATE.2013.145}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PaoneVZSMHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecms/KulakowskiGD13, author = {Krzysztof Kulakowski and Piotr Gronek and Antoni Dydejczyk}, editor = {Webj{\o}rn Rekdalsbakken and Robin T. Bye and Houxiang Zhang}, title = {How Many Parameters To Model States Of Mind?}, booktitle = {Proceedings of the 27th European Conference on Modelling and Simulation, {ECMS} 2013, {\AA}lesund, Norway, May 27-30, 2013}, pages = {895--899}, publisher = {European Council for Modeling and Simulation}, year = {2013}, url = {https://doi.org/10.7148/2013-0895}, doi = {10.7148/2013-0895}, timestamp = {Tue, 09 Feb 2021 08:33:03 +0100}, biburl = {https://dblp.org/rec/conf/ecms/KulakowskiGD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/DiversiTBB13, author = {Roberto Diversi and Andrea Tilli and Andrea Bartolini and Luca Benini}, title = {Errors-in-variables identification of thermal models for many-core computing systems}, booktitle = {12th European Control Conference, {ECC} 2013, Zurich, Switzerland, July 17-19, 2013}, pages = {1908--1915}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.23919/ECC.2013.6669398}, doi = {10.23919/ECC.2013.6669398}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/eucc/DiversiTBB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/YangCW0Z13, author = {Jing Yang and Jun Chai and Mei Wen and Nan Wu and Chunyuan Zhang}, title = {Solving the Cardiac Model Using Multi-core {CPU} and Many Integrated Cores {(MIC)}}, booktitle = {10th {IEEE} International Conference on High Performance Computing and Communications {\&} 2013 {IEEE} International Conference on Embedded and Ubiquitous Computing, {HPCC/EUC} 2013, Zhangjiajie, China, November 13-15, 2013}, pages = {1009--1015}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/HPCC.and.EUC.2013.143}, doi = {10.1109/HPCC.AND.EUC.2013.143}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/YangCW0Z13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AndersonBSKA13, author = {Matthew Anderson and Maciej Brodowicz and Thomas L. Sterling and Hartmut Kaiser and Bryce Adelstein{-}Lelbach}, title = {Tabulated Equations of State with a Many-tasking Execution Model}, booktitle = {2013 {IEEE} International Symposium on Parallel {\&} Distributed Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24, 2013}, pages = {1691--1699}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IPDPSW.2013.162}, doi = {10.1109/IPDPSW.2013.162}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/AndersonBSKA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/WangMR13, author = {Ke Wang and Zhangjie Ma and Ioan Raicu}, title = {Modeling Many-Task Computing Workloads on a Petaflop {IBM} Blue Gene/P Supercomputer}, booktitle = {2013 {IEEE} International Symposium on Parallel {\&} Distributed Processing, Workshops and Phd Forum, Cambridge, MA, USA, May 20-24, 2013}, pages = {2111--2120}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IPDPSW.2013.274}, doi = {10.1109/IPDPSW.2013.274}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/WangMR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KawakamiII13, author = {Satoshi Kawakami and Akihito Iwanaga and Koji Inoue}, editor = {Masoud Daneshtalab and Ahmed Hemani and Maurizio Palesi}, title = {Many-core acceleration for model predictive control systems}, booktitle = {Proceedings of the 1st International Workshop on Many-core Embedded Systems 2013, MES'2013, Held in conjunction with the 40th Annual {IEEE/ACM} International Symposium on Computer Architecture, {ISCA} 2013, June 24, 2013}, pages = {17--24}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2489068.2489071}, doi = {10.1145/2489068.2489071}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/KawakamiII13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ShimadaGHI13, author = {Akio Shimada and Balazs Gerofi and Atsushi Hori and Yutaka Ishikawa}, editor = {Masoud Daneshtalab and Ahmed Hemani and Maurizio Palesi}, title = {Proposing a new task model towards many-core architecture}, booktitle = {Proceedings of the 1st International Workshop on Many-core Embedded Systems 2013, MES'2013, Held in conjunction with the 40th Annual {IEEE/ACM} International Symposium on Computer Architecture, {ISCA} 2013, June 24, 2013}, pages = {45--48}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2489068.2489075}, doi = {10.1145/2489068.2489075}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/ShimadaGHI13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/NathCR13, author = {Rajib Nath and Douglas M. Carmean and Tajana Simunic Rosing}, title = {Power modeling and thermal management techniques for manycores}, booktitle = {2013 {IEEE} Symposium on Computers and Communications, {ISCC} 2013, Split, Croatia, 7-10 July, 2013}, pages = {740--746}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISCC.2013.6755037}, doi = {10.1109/ISCC.2013.6755037}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/NathCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispass/AhnLSJ13, author = {Jung Ho Ahn and Sheng Li and Seongil O and Norman P. Jouppi}, title = {McSimA+: {A} manycore simulator with application-level+ simulation and detailed microarchitecture modeling}, booktitle = {2012 {IEEE} International Symposium on Performance Analysis of Systems {\&} Software, Austin, TX, USA, 21-23 April, 2013}, pages = {74--85}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ISPASS.2013.6557148}, doi = {10.1109/ISPASS.2013.6557148}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispass/AhnLSJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/NikolicYP13, author = {Borislav Nikolic and Patrick Meumeu Yomsi and Stefan M. Petters}, title = {Worst-case memory traffic analysis for many-cores using a limited migrative model}, booktitle = {2013 {IEEE} 19th International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2013, Taipei, Taiwan, August 19-21, 2013}, pages = {42--51}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/RTCSA.2013.6732202}, doi = {10.1109/RTCSA.2013.6732202}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/NikolicYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/AndersonBKS13, author = {Matthew Anderson and Maciej Brodowicz and Abhishek Kulkarni and Thomas L. Sterling}, editor = {Stephen A. Jarvis and Steven A. Wright and Simon D. Hammond}, title = {Performance Modeling of Gyrokinetic Toroidal Simulations for a Many-Tasking Runtime System}, booktitle = {High Performance Computing Systems. Performance Modeling, Benchmarking and Simulation - 4th International Workshop, {PMBS} 2013, Denver, CO, USA, November 18, 2013. Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8551}, pages = {136--157}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-10214-6\_7}, doi = {10.1007/978-3-319-10214-6\_7}, timestamp = {Wed, 19 May 2021 08:31:38 +0200}, biburl = {https://dblp.org/rec/conf/sc/AndersonBKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/VenkatadriMM13, author = {Giridhari Venkatadri and Veeramani Mahendran and C. Siva Ram Murthy}, title = {Improving {DTN} routing performance using Many-to-Many communication: {A} performance modeling study}, booktitle = {11th International Symposium and Workshops on Modeling and Optimization in Mobile, Ad Hoc and Wireless Networks, WiOpt 2013, Tsukuba Science City, Japan, May 13-17, 2013}, pages = {125--132}, publisher = {{IEEE}}, year = {2013}, url = {https://dl.ifip.org/db/conf/wiopt/wiopt2013/VenkatadriMM13.pdf}, timestamp = {Thu, 09 Dec 2021 12:24:09 +0100}, biburl = {https://dblp.org/rec/conf/wiopt/VenkatadriMM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2013pmam, editor = {Pavan Balaji and Minyi Guo and Zhiyi Huang}, title = {Proceedings of the 2013 {PPOPP} International Workshop on Programming Models and Applications for Multicores and Manycores, {PMAM} 2013, Shenzhen, China, February 23, 2013}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2442992}, doi = {10.1145/2442992}, isbn = {978-1-4503-1908-9}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2013pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KulakowskiGD13, author = {Krzysztof Kulakowski and Piotr Gronek and Antoni Dydejczyk}, title = {How many parameters to model states of mind ?}, journal = {CoRR}, volume = {abs/1306.2487}, year = {2013}, url = {http://arxiv.org/abs/1306.2487}, eprinttype = {arXiv}, eprint = {1306.2487}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KulakowskiGD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1303-1952, author = {Giridhari Venkatadri and Veeramani Mahendran and C. Siva Ram Murthy}, title = {Improving {DTN} Routing Performance Using Many-to-Many Communication: {A} Performance Modeling Study}, journal = {CoRR}, volume = {abs/1303.1952}, year = {2013}, url = {http://arxiv.org/abs/1303.1952}, eprinttype = {arXiv}, eprint = {1303.1952}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1303-1952.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1303-7434, author = {Feng Shi and Peter J. Mucha and Rick Durrett}, title = {A multi-opinion evolving voter model with infinitely many phase transitions}, journal = {CoRR}, volume = {abs/1303.7434}, year = {2013}, url = {http://arxiv.org/abs/1303.7434}, eprinttype = {arXiv}, eprint = {1303.7434}, timestamp = {Thu, 18 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1303-7434.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Moller12, author = {Leandro M{\"{o}}ller}, title = {Communication infrastructure modeling of many-core architectures}, school = {Darmstadt University of Technology, Germany}, year = {2012}, url = {http://tuprints.ulb.tu-darmstadt.de/3121/}, urn = {urn:nbn:de:tuda-tuprints-31212}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Moller12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ec/HadkaR12, author = {David Hadka and Patrick M. Reed}, title = {Diagnostic Assessment of Search Controls and Failure Modes in Many-Objective Evolutionary Optimization}, journal = {Evol. Comput.}, volume = {20}, number = {3}, pages = {423--452}, year = {2012}, url = {https://doi.org/10.1162/EVCO\_a\_00053}, doi = {10.1162/EVCO\_A\_00053}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ec/HadkaR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/KasprzykRCK12, author = {Joseph R. Kasprzyk and Patrick M. Reed and Gregory W. Characklis and Brian R. Kirsch}, title = {Many-objective de Novo water supply portfolio planning under deep uncertainty}, journal = {Environ. Model. Softw.}, volume = {34}, pages = {87--104}, year = {2012}, url = {https://doi.org/10.1016/j.envsoft.2011.04.003}, doi = {10.1016/J.ENVSOFT.2011.04.003}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/KasprzykRCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/RuanoRSF12, author = {M. V. Ruano and J. Ribes and Aurora Seco and Jos{\'{e}} Ferrer}, title = {An improved sampling strategy based on trajectory design for application of the Morris method to systems with many input factors}, journal = {Environ. Model. Softw.}, volume = {37}, pages = {103--109}, year = {2012}, url = {https://doi.org/10.1016/j.envsoft.2012.03.008}, doi = {10.1016/J.ENVSOFT.2012.03.008}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/RuanoRSF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/LeeCC12a, author = {Hyuk{-}Jun Lee and Woo{-}Cheol Cho and Eui{-}Young Chung}, title = {Analytical memory bandwidth model for many-core processor based systems}, journal = {{IEICE} Electron. Express}, volume = {9}, number = {18}, pages = {1461--1466}, year = {2012}, url = {https://doi.org/10.1587/elex.9.1461}, doi = {10.1587/ELEX.9.1461}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/LeeCC12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpsa/IgounetAUE12, author = {Pablo Igounet and Pablo Alfaro and Gabriel Usera and Pablo Ezzatti}, title = {Towards a finite volume model on a many-core platform}, journal = {Int. J. High Perform. Syst. Archit.}, volume = {4}, number = {2}, pages = {78--88}, year = {2012}, url = {https://doi.org/10.1504/IJHPSA.2012.050987}, doi = {10.1504/IJHPSA.2012.050987}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpsa/IgounetAUE12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/ZhangT12, author = {Qiang Zhang and Ma Tian}, title = {A Nonlinear Schr{\"{o}}dinger Model for Many-Particle Quantum Systems}, journal = {J. Appl. Math.}, volume = {2012}, pages = {387823:1--387823:15}, year = {2012}, url = {https://doi.org/10.1155/2012/387823}, doi = {10.1155/2012/387823}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/ZhangT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/BarnatBBC12, author = {Jiri Barnat and Petr Bauch and Lubos Brim and Milan Ceska}, title = {Designing fast {LTL} model checking algorithms for many-core GPUs}, journal = {J. Parallel Distributed Comput.}, volume = {72}, number = {9}, pages = {1083--1097}, year = {2012}, url = {https://doi.org/10.1016/j.jpdc.2011.10.015}, doi = {10.1016/J.JPDC.2011.10.015}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/BarnatBBC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mmas/HerrmannNV12, author = {Michael Herrmann and Barbara Niethammer and J. J. L. Vel{\'{a}}zquez}, title = {Kramers and Non-Kramers Phase Transitions in Many-Particle Systems with Dynamical Constraint}, journal = {Multiscale Model. Simul.}, volume = {10}, number = {3}, pages = {818--852}, year = {2012}, url = {https://doi.org/10.1137/110851882}, doi = {10.1137/110851882}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mmas/HerrmannNV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mmas/Margetis12, author = {Dionisios Margetis}, title = {Bose-Einstein Condensation beyond Mean Field: Many-Body Bound State of Periodic Microstructure}, journal = {Multiscale Model. Simul.}, volume = {10}, number = {2}, pages = {383--417}, year = {2012}, url = {https://doi.org/10.1137/110826576}, doi = {10.1137/110826576}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mmas/Margetis12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/LiuW12, author = {Yunan Liu and Ward Whitt}, title = {A many-server fluid limit for the G\({}_{\mbox{t}}\)/G//s\({}_{\mbox{t}}\)+G/ queueing model experiencing periods of overloading}, journal = {Oper. Res. Lett.}, volume = {40}, number = {5}, pages = {307--312}, year = {2012}, url = {https://doi.org/10.1016/j.orl.2012.05.010}, doi = {10.1016/J.ORL.2012.05.010}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/orl/LiuW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/orl/ZhangZ12, author = {Bo Zhang and Bert Zwart}, title = {Fluid models for many-server Markovian queues in a changing environment}, journal = {Oper. Res. Lett.}, volume = {40}, number = {6}, pages = {573--577}, year = {2012}, url = {https://doi.org/10.1016/j.orl.2012.10.001}, doi = {10.1016/J.ORL.2012.10.001}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/orl/ZhangZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmetrics/LiuW12, author = {Yunan Liu and Ward Whitt}, title = {A fluid model for many-server queues with time-varying arrivals and phase-type service distribution}, journal = {{SIGMETRICS} Perform. Evaluation Rev.}, volume = {39}, number = {4}, pages = {43}, year = {2012}, url = {https://doi.org/10.1145/2185395.2185434}, doi = {10.1145/2185395.2185434}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigmetrics/LiuW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simpra/PutnamWM12, author = {Patrick Putnam and Philip A. Wilsey and Karthik Vadambacheri Manian}, title = {Core frequency adjustment to optimize Time Warp on many-core processors}, journal = {Simul. Model. Pract. Theory}, volume = {28}, pages = {55--64}, year = {2012}, url = {https://doi.org/10.1016/j.simpat.2012.05.011}, doi = {10.1016/J.SIMPAT.2012.05.011}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/simpra/PutnamWM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChenA12, author = {Xi E. Chen and Tor M. Aamodt}, title = {Modeling Cache Contention and Throughput of Multiprogrammed Manycore Processors}, journal = {{IEEE} Trans. Computers}, volume = {61}, number = {7}, pages = {913--927}, year = {2012}, url = {https://doi.org/10.1109/TC.2011.141}, doi = {10.1109/TC.2011.141}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChenA12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/DiazMN12, author = {Javier D{\'{\i}}az and Camelia Mu{\~{n}}oz{-}Caro and Alfonso Ni{\~{n}}o}, title = {A Survey of Parallel Programming Models and Tools in the Multi and Many-Core Era}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {23}, number = {8}, pages = {1369--1386}, year = {2012}, url = {https://doi.org/10.1109/TPDS.2011.308}, doi = {10.1109/TPDS.2011.308}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/DiazMN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/Mizuno12, author = {Naoki Mizuno}, title = {On adaptive controller design based on many parametric models}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2012, Dubrovnik, Croatia, October 3-5, 2012}, pages = {545--550}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CCA.2012.6402728}, doi = {10.1109/CCA.2012.6402728}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/Mizuno12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaaifs/BiesanAd12, author = {Shawn Biesan and Adam Anthony and Marie desJardins}, title = {Block Modeling in Large Social Networks with Many Clusters}, booktitle = {Social Networks and Social Contagion, Papers from the 2012 {AAAI} Fall Symposium, Arlington, Virginia, USA, November 2-4, 2012}, series = {{AAAI} Technical Report}, volume = {{FS-12-08}}, publisher = {{AAAI}}, year = {2012}, url = {http://www.aaai.org/ocs/index.php/FSS/FSS12/paper/view/5545}, timestamp = {Tue, 08 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aaaifs/BiesanAd12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/TsaiLH12, author = {Shihheng Tsai and Man{-}Yu Li and Chung{-}Yang Huang}, title = {A semi-formal min-cost buffer insertion technique considering multi-mode multi-corner timing constraints}, booktitle = {Proceedings of the 17th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2012, Sydney, Australia, January 30 - February 2, 2012}, pages = {505--510}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ASPDAC.2012.6165005}, doi = {10.1109/ASPDAC.2012.6165005}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/TsaiLH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/SuYZ12, author = {Yangfeng Su and Fan Yang and Xuan Zeng}, editor = {Patrick Groeneveld and Donatella Sciuto and Soha Hassoun}, title = {{AMOR:} an efficient aggregating based model order reduction method for many-terminal interconnect circuits}, booktitle = {The 49th Annual Design Automation Conference 2012, {DAC} '12, San Francisco, CA, USA, June 3-7, 2012}, pages = {295--300}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2228360.2228416}, doi = {10.1145/2228360.2228416}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/SuYZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsn/KarpuzcuKKT12, author = {Ulya R. Karpuzcu and Krishna B. Kolluru and Nam Sung Kim and Josep Torrellas}, editor = {Robert S. Swarz and Philip Koopman and Michel Cukier}, title = {{VARIUS-NTV:} {A} microarchitectural model to capture the increased sensitivity of manycores to process variations at near-threshold voltages}, booktitle = {{IEEE/IFIP} International Conference on Dependable Systems and Networks, {DSN} 2012, Boston, MA, USA, June 25-28, 2012}, pages = {1--11}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/DSN.2012.6263951}, doi = {10.1109/DSN.2012.6263951}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsn/KarpuzcuKKT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/StevensKAB12, author = {Keith Stevens and W. Philip Kegelmeyer and David Andrzejewski and David Buttler}, editor = {Jun'ichi Tsujii and James Henderson and Marius Pasca}, title = {Exploring Topic Coherence over Many Models and Many Topics}, booktitle = {Proceedings of the 2012 Joint Conference on Empirical Methods in Natural Language Processing and Computational Natural Language Learning, EMNLP-CoNLL 2012, July 12-14, 2012, Jeju Island, Korea}, pages = {952--961}, publisher = {{ACL}}, year = {2012}, url = {https://aclanthology.org/D12-1087/}, timestamp = {Thu, 14 Apr 2022 16:28:48 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/StevensKAB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/GiannopoulouLST12, author = {Georgia Giannopoulou and Kai Lampka and Nikolay Stoimenov and Lothar Thiele}, editor = {Ahmed Jerraya and Luca P. Carloni and Florence Maraninchi and John Regehr}, title = {Timed model checking with abstractions: towards worst-case response time analysis in resource-sharing manycore systems}, booktitle = {Proceedings of the 12th International Conference on Embedded Software, {EMSOFT} 2012, part of the Eighth Embedded Systems Week, ESWeek 2012, Tampere, Finland, October 7-12, 2012}, pages = {63--72}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380356.2380372}, doi = {10.1145/2380356.2380372}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/GiannopoulouLST12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/HosenC12, author = {A. S. M. Sanwar Hosen and Gihwan Cho}, editor = {Kuinam J. Kim and Kyung{-}Yong Chung}, title = {A Design of {WSN} Model to Minimize Data-Centric Routing Cost for Many-to-Many Communication}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2012, Pyeong Chang, Korea, December 5-7, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {215}, pages = {597--604}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5860-5\_72}, doi = {10.1007/978-94-007-5860-5\_72}, timestamp = {Wed, 24 May 2017 08:27:16 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/HosenC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/MaAC12, author = {Lin Ma and Kunal Agrawal and Roger D. Chamberlain}, title = {A Memory Access Model for Highly-threaded Many-core Architectures}, booktitle = {18th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2012, Singapore, December 17-19, 2012}, pages = {339--347}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICPADS.2012.54}, doi = {10.1109/ICPADS.2012.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/MaAC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/ClaussLB12, author = {Carsten Clauss and Stefan Lankes and Thomas Bemmerl}, editor = {Waleed W. Smari and Vesna Zeljkovic}, title = {Mapping the {PRAM} model onto the Intel {SCC} many-core processor}, booktitle = {2012 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2012, Madrid, Spain, July 2-6, 2012}, pages = {395--402}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HPCSim.2012.6266943}, doi = {10.1109/HPCSIM.2012.6266943}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/ClaussLB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isi/PrattGJM12, author = {Simon F. Pratt and Philippe J. Giabbanelli and Piper J. Jackson and Vijay Kumar Mago}, editor = {Daniel Zeng and Lina Zhou and Bojan Cukic and G. Alan Wang and Christopher C. Yang}, title = {Rebel with many causes: {A} computational model of insurgency}, booktitle = {2012 {IEEE} International Conference on Intelligence and Security Informatics, {ISI} 2012, Washington, DC, USA, June 11-14, 2012}, pages = {90--95}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISI.2012.6284097}, doi = {10.1109/ISI.2012.6284097}, timestamp = {Mon, 15 May 2023 16:24:40 +0200}, biburl = {https://dblp.org/rec/conf/isi/PrattGJM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/or/Breitner12, author = {Michael H. Breitner}, editor = {Stefan Helber and Michael H. Breitner and Daniel R{\"{o}}sch and Cornelia Sch{\"{o}}n and Johann{-}Matthias Graf von der Schulenburg and Philipp Sibbertsen and Marc Christian Steinbach and Stefan Weber and Anja Wolter}, title = {Modeling the Transformation of the German Energy System Until 2050: {A} Multi-Criteria, Long Term Optimization Problem with Many Constraints}, booktitle = {Operations Research Proceedings 2012, Selected Papers of the International Annual Conference of the German Operations Research Society (GOR), Leibniz University of Hannover, Germany, September 5-7, 2012}, pages = {369--374}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-319-00795-3\_55}, doi = {10.1007/978-3-319-00795-3\_55}, timestamp = {Tue, 16 Jun 2020 09:00:32 +0200}, biburl = {https://dblp.org/rec/conf/or/Breitner12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/EdwardsS12, author = {H. Carter Edwards and Daniel Sunderland}, editor = {Minyi Guo and Zhiyi Huang}, title = {Kokkos Array performance-portable manycore programming model}, booktitle = {Proceedings of the 2012 {PPOPP} International Workshop on Programming Models and Applications for Multicores and Manycores, {PMAM} 2012, New Orleans, LA, USA, February 26, 2012}, pages = {1--10}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2141702.2141703}, doi = {10.1145/2141702.2141703}, timestamp = {Sun, 12 Jun 2022 19:46:08 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/EdwardsS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/SmelyanskiySKSDABNMLKFG12, author = {Mikhail Smelyanskiy and Jason Sewall and Dhiraj D. Kalamkar and Nadathur Satish and Pradeep Dubey and Nikita Astafiev and Ilya Burylov and Andrey Nikolaev and Sergey Maidanov and Shuo Li and Sunil Kulkarni and Charles H. Finan and Ekaterina Gonina}, title = {Analysis and Optimization of Financial Analytics Benchmark on Modern Multi- and Many-core IA-Based Architectures}, booktitle = {2012 {SC} Companion: High Performance Computing, Networking Storage and Analysis, Salt Lake City, UT, USA, November 10-16, 2012}, pages = {1154--1162}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/SC.Companion.2012.139}, doi = {10.1109/SC.COMPANION.2012.139}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/SmelyanskiySKSDABNMLKFG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ppopp/2012pmam, editor = {Minyi Guo and Zhiyi Huang}, title = {Proceedings of the 2012 {PPOPP} International Workshop on Programming Models and Applications for Multicores and Manycores, {PMAM} 2012, New Orleans, LA, USA, February 26, 2012}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2141702}, doi = {10.1145/2141702}, isbn = {978-1-4503-1211-0}, timestamp = {Sun, 12 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/2012pmam.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1207-3749, author = {Federico Zuiani and Massimiliano Vasile}, title = {Preliminary Design of Debris Removal Missions by Means of Simplified Models for Low-Thrust, Many-Revolution Transfers}, journal = {CoRR}, volume = {abs/1207.3749}, year = {2012}, url = {http://arxiv.org/abs/1207.3749}, eprinttype = {arXiv}, eprint = {1207.3749}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1207-3749.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/anor/YanC11, author = {Shangyao Yan and Chun{-}Ying Chen}, title = {An optimization model and a solution algorithm for the many-to-many car pooling problem}, journal = {Ann. Oper. Res.}, volume = {191}, number = {1}, pages = {37--71}, year = {2011}, url = {https://doi.org/10.1007/s10479-011-0948-6}, doi = {10.1007/S10479-011-0948-6}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/anor/YanC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ife/MachadoLAP11, author = {Rui Machado and Carsten Lojewski and Salvador Abreu and Franz{-}Josef Pfreundt}, title = {Unbalanced tree search on a manycore system using the {GPI} programming model}, journal = {Comput. Sci. Res. Dev.}, volume = {26}, number = {3-4}, pages = {229--236}, year = {2011}, url = {https://doi.org/10.1007/s00450-011-0163-3}, doi = {10.1007/S00450-011-0163-3}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ife/MachadoLAP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpcn/MunawarW11, author = {Mohammad Ahmad Munawar and Paul A. S. Ward}, title = {Leveraging many simple statistical models to adaptively monitor software systems}, journal = {Int. J. High Perform. Comput. Netw.}, volume = {7}, number = {1}, pages = {29--39}, year = {2011}, url = {https://doi.org/10.1504/IJHPCN.2011.038708}, doi = {10.1504/IJHPCN.2011.038708}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpcn/MunawarW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/GevelerRMG11, author = {Markus Geveler and Dirk Ribbrock and Sven Mallach and Dominik G{\"{o}}ddeke}, title = {A simulation suite for Lattice-Boltzmann based real-time {CFD} applications exploiting multi-level parallelism on modern multi- and many-core architectures}, journal = {J. Comput. Sci.}, volume = {2}, number = {2}, pages = {113--123}, year = {2011}, url = {https://doi.org/10.1016/j.jocs.2011.01.008}, doi = {10.1016/J.JOCS.2011.01.008}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocs/GevelerRMG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/logcom/FlaminioM11, author = {Tommaso Flaminio and Franco Montagna}, title = {Models for Many-Valued Probabilistic Reasoning}, journal = {J. Log. Comput.}, volume = {21}, number = {3}, pages = {447--464}, year = {2011}, url = {https://doi.org/10.1093/logcom/exp013}, doi = {10.1093/LOGCOM/EXP013}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/logcom/FlaminioM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcm/SunCN11, author = {Juntao Sun and Haibo Chen and Juan J. Nieto}, title = {Infinitely many solutions for second-order Hamiltonian system with impulsive effects}, journal = {Math. Comput. Model.}, volume = {54}, number = {1-2}, pages = {544--555}, year = {2011}, url = {https://doi.org/10.1016/j.mcm.2011.02.044}, doi = {10.1016/J.MCM.2011.02.044}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mcm/SunCN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LiaoCDL11, author = {Pei{-}Yu Liao and Yong Seok Choi and Jonathan D. Dinman and Kelvin H. Lee}, title = {The many paths to frameshifting: kinetic modelling and analysis of the effects of different elongation steps on programmed -1 ribosomal frameshifting}, journal = {Nucleic Acids Res.}, volume = {39}, number = {1}, pages = {300--312}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq761}, doi = {10.1093/NAR/GKQ761}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/LiaoCDL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sosym/MicskeiW11, author = {Zolt{\'{a}}n Micskei and H{\'{e}}l{\`{e}}ne Waeselynck}, title = {The many meanings of {UML} 2 Sequence Diagrams: a survey}, journal = {Softw. Syst. Model.}, volume = {10}, number = {4}, pages = {489--514}, year = {2011}, url = {https://doi.org/10.1007/s10270-010-0157-9}, doi = {10.1007/S10270-010-0157-9}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sosym/MicskeiW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/IonutiuRS11, author = {Roxana Ionutiu and Joost Rommes and Wil H. A. Schilders}, title = {SparseRC: Sparsity Preserving Model Reduction for {RC} Circuits With Many Terminals}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {30}, number = {12}, pages = {1828--1841}, year = {2011}, url = {https://doi.org/10.1109/TCAD.2011.2166075}, doi = {10.1109/TCAD.2011.2166075}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/IonutiuRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/YanCL11, author = {Shangyao Yan and Chun{-}Ying Chen and Yu{-}Fang Lin}, title = {A Model With a Heuristic Algorithm for Solving the Long-Term Many-to-Many Car Pooling Problem}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {12}, number = {4}, pages = {1362--1373}, year = {2011}, url = {https://doi.org/10.1109/TITS.2011.2158209}, doi = {10.1109/TITS.2011.2158209}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/YanCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tods/KimCSSNKLBD11, author = {Changkyu Kim and Jatin Chhugani and Nadathur Satish and Eric Sedlar and Anthony D. Nguyen and Tim Kaldewey and Victor W. Lee and Scott A. Brandt and Pradeep Dubey}, title = {Designing fast architecture-sensitive tree search on modern multicore/many-core processors}, journal = {{ACM} Trans. Database Syst.}, volume = {36}, number = {4}, pages = {22:1--22:34}, year = {2011}, url = {https://doi.org/10.1145/2043652.2043655}, doi = {10.1145/2043652.2043655}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tods/KimCSSNKLBD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoa/ZhangD11, author = {Nan Zhang and Zhenhua Duan}, editor = {Weifan Wang and Xuding Zhu and Ding{-}Zhu Du}, title = {A Semantic Model for Many-Core Parallel Computing}, booktitle = {Combinatorial Optimization and Applications - 5th International Conference, {COCOA} 2011, Zhangjiajie, China, August 4-6, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6831}, pages = {464--479}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22616-8\_36}, doi = {10.1007/978-3-642-22616-8\_36}, timestamp = {Tue, 28 Apr 2020 17:58:14 +0200}, biburl = {https://dblp.org/rec/conf/cocoa/ZhangD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/ZhangYZSG11, author = {Shuxia Zhang and David A. Yuen and Aiyu Zhu and Shenyi Song and David L. George}, editor = {Wenyu Qu and Kai Lin and Yanming Shen and Weisong Shi and D. Frank Hsu and Xiaolong Jin and Francis C. M. Lau and Junfeng Xu}, title = {Parallelization of GeoClaw Code for Modeling Geophysical Flows with Adaptive Mesh Refinement on Many-core Systems}, booktitle = {14th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2011, Dalian, China, August 24-26, 2011}, pages = {573--579}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CSE.2011.102}, doi = {10.1109/CSE.2011.102}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/ZhangYZSG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emeit/ZhangZ11, author = {Manyu Zhang and Weimin Zhao}, title = {Discuss the modeling technique of 3D animated characters under three-dimensional visual angle}, booktitle = {International Conference on Electronic and Mechanical Engineering and Information Technology, {EMEIT} 2011, Harbin, Heilongjiang, China, 12-14 August, 2011}, pages = {2606--2608}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/EMEIT.2011.6023631}, doi = {10.1109/EMEIT.2011.6023631}, timestamp = {Mon, 09 Aug 2021 14:53:48 +0200}, biburl = {https://dblp.org/rec/conf/emeit/ZhangZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/flairs/WangH11, author = {Yutao Wang and Neil T. Heffernan}, editor = {R. Charles Murray and Philip M. McCarthy}, title = {The "Assistance" Model: Leveraging How Many Hints and Attempts a Student Needs}, booktitle = {Proceedings of the Twenty-Fourth International Florida Artificial Intelligence Research Society Conference, May 18-20, 2011, Palm Beach, Florida, {USA}}, publisher = {{AAAI} Press}, year = {2011}, url = {http://aaai.org/ocs/index.php/FLAIRS/FLAIRS11/paper/view/2648}, timestamp = {Wed, 26 Oct 2022 08:35:19 +0200}, biburl = {https://dblp.org/rec/conf/flairs/WangH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/PilatN11, author = {Martin Pil{\'{a}}t and Roman Neruda}, editor = {Ajith Abraham and Mohamed Kamel and Ronald R. Yager}, title = {Improving many-objective optimizers with aggregate meta-models}, booktitle = {11th International Conference on Hybrid Intelligent Systems, {HIS} 2011, Melacca, Malaysia, December 5-8, 2011}, pages = {555--560}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HIS.2011.6122165}, doi = {10.1109/HIS.2011.6122165}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/his/PilatN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ht/JonesA11, author = {William Jones and Kenneth M. Anderson}, editor = {Paul De Bra and Kaj Gr{\o}nb{\ae}k}, title = {Many views, many modes, many tools {\&} one structure}, booktitle = {HT'11, Proceedings of the 22nd {ACM} Conference on Hypertext and Hypermedia, Eindhoven, The Netherlands, June 6-9, 2011}, pages = {113--122}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995966.1995984}, doi = {10.1145/1995966.1995984}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ht/JonesA11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/GoubierSLD11, author = {Thierry Goubier and Renaud Sirdey and St{\'{e}}phane Louise and Vincent David}, editor = {Yang Xiang and Alfredo Cuzzocrea and Michael Hobbs and Wanlei Zhou}, title = {{\(\Sigma\)}C: {A} Programming Model and Language for Embedded Manycores}, booktitle = {Algorithms and Architectures for Parallel Processing - 11th International Conference, ICA3PP, Melbourne, Australia, October 24-26, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7016}, pages = {385--394}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24650-0\_33}, doi = {10.1007/978-3-642-24650-0\_33}, timestamp = {Fri, 22 Apr 2022 17:07:03 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/GoubierSLD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icannga/LopesR11, author = {Noel Lopes and Bernardete Ribeiro}, editor = {Andrej Dobnikar and Uros Lotric and Branko Ster}, title = {A Robust Learning Model for Dealing with Missing Values in Many-Core Architectures}, booktitle = {Adaptive and Natural Computing Algorithms - 10th International Conference, {ICANNGA} 2011, Ljubljana, Slovenia, April 14-16, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6594}, pages = {108--117}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-20267-4\_12}, doi = {10.1007/978-3-642-20267-4\_12}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/icannga/LopesR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HsiehWTH11, author = {Ang{-}Chih Hsieh and Yi{-}Ta Wu and Shau{-}Yin Tseng and TingTing Hwang}, editor = {Guang R. Gao and Yu{-}Chee Tseng}, title = {Memory Mapping and Task Scheduling Techniques for Computation Models of Image Processing on Many-Core Platforms}, booktitle = {International Conference on Parallel Processing, {ICPP} 2011, Taipei, Taiwan, September 13-16, 2011}, pages = {552--561}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPP.2011.33}, doi = {10.1109/ICPP.2011.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/HsiehWTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/RibutzkaHMG11, author = {Juergen Ributzka and Yuhei Hayashi and Joseph B. Manzano and Guang R. Gao}, editor = {David K. Lowenthal and Bronis R. de Supinski and Sally A. McKee}, title = {The elephant and the mice: the role of non-strict fine-grain synchronization for modern many-core architectures}, booktitle = {Proceedings of the 25th International Conference on Supercomputing, 2011, Tucson, AZ, USA, May 31 - June 04, 2011}, pages = {338--347}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1995896.1995948}, doi = {10.1145/1995896.1995948}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ics/RibutzkaHMG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/ClaussLRB11, author = {Carsten Clauss and Stefan Lankes and Pablo Reble and Thomas Bemmerl}, editor = {Waleed W. Smari and John P. McIntire}, title = {Evaluation and improvements of programming models for the Intel {SCC} many-core processor}, booktitle = {2011 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2012, Istanbul, Turkey, July 4-8, 2011}, pages = {525--532}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCSim.2011.5999870}, doi = {10.1109/HPCSIM.2011.5999870}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/ClaussLRB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcci/DhandS11, author = {Ish Dhand and Manoranjan P. Singh}, editor = {Kurosh Madani and Janusz Kacprzyk and Joaquim Filipe}, title = {Mean Field Monte Carlo Studies of Associative Memory - Understanding the Dynamics of a Many-pattern Model}, booktitle = {{NCTA} 2011 - Proceedings of the International Conference on Neural Computation Theory and Applications [part of the International Joint Conference on Computational Intelligence {IJCCI} 2011], Paris, France, 24-26 October, 2011}, pages = {395--400}, publisher = {SciTePress}, year = {2011}, timestamp = {Thu, 03 May 2012 19:03:07 +0200}, biburl = {https://dblp.org/rec/conf/ijcci/DhandS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/QiuWL11, author = {Qinru Qiu and Qing Wu and Richard W. Linderman}, title = {Unified perception-prediction model for context aware text recognition on a heterogeneous many-core platform}, booktitle = {The 2011 International Joint Conference on Neural Networks, {IJCNN} 2011, San Jose, California, USA, July 31 - August 5, 2011}, pages = {1714--1721}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IJCNN.2011.6033431}, doi = {10.1109/IJCNN.2011.6033431}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/QiuWL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/VarbanescuHNB11, author = {Ana Lucia Varbanescu and Pieter Hijma and Rob van Nieuwpoort and Henri E. Bal}, title = {Towards an Effective Unified Programming Model for Many-Cores}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May 2011 - Workshop Proceedings}, pages = {681--692}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.210}, doi = {10.1109/IPDPS.2011.210}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/VarbanescuHNB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/Zhang11, author = {Yongpeng Zhang}, title = {Data Parallel Programming Model for Many-Core Architectures}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May 2011 - Workshop Proceedings}, pages = {2065--2068}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.378}, doi = {10.1109/IPDPS.2011.378}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/Zhang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/KaseridisSJ11, author = {Dimitris Kaseridis and Jeffrey Stuecheli and Lizy Kurian John}, editor = {Carlo Galuzzi and Luigi Carro and Andreas Moshovos and Milos Prvulovic}, title = {Minimalist open-page: a {DRAM} page-mode scheduling policy for the many-core era}, booktitle = {44rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2011, Porto Alegre, Brazil, December 3-7, 2011}, pages = {24--35}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2155620.2155624}, doi = {10.1145/2155620.2155624}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/KaseridisSJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pads/Hill11, author = {David R. C. Hill}, title = {Distribution of Random Streams in Stochastic Models in the Age of Multi-Core and Manycore Processors}, booktitle = {25th {ACM/IEEE/SCS} Workshop on Principles of Advanced and Distributed Simulation, {PADS} 2011, Nice, France, June 14-17, 2011}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PADS.2011.5936759}, doi = {10.1109/PADS.2011.5936759}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pads/Hill11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/AgarwalCSSPE11, author = {Khushbu Agarwal and Jared M. Chase and Karen L. Schuchardt and Timothy D. Scheibe and Bruce J. Palmer and Todd O. Elsethagen}, editor = {Ioan Raicu and Ian T. Foster and Yong Zhao}, title = {Design and implementation of "many parallel task" hybrid subsurface model}, booktitle = {Proceedings of the 2011 {ACM} International Workshop on Many Task Computing on Grids and Supercomputers, MTAGS@SC 2011, Seattle, Washington, USA, November 14, 2011}, pages = {25--32}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2132876.2132884}, doi = {10.1145/2132876.2132884}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/AgarwalCSSPE11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wob/ParisFSR11, author = {Renata De Paris and F{\'{a}}bio A. Frantz and Osmar Norberto de Souza and Duncan D. Ruiz}, editor = {Osmar Norberto de Souza and Guilherme P. Telles and Mathew J. Palakal}, title = {A Conceptual Many Tasks Computing Architecture to Execute Molecular Docking Simulations of a Fully-Flexible Receptor Model}, booktitle = {Advances in Bioinformatics and Computational Biology - 6th Brazilian Symposium on Bioinformatics, {BSB} 2011, Brasilia, Brazil, August 10-12, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6832}, pages = {75--78}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22825-4\_11}, doi = {10.1007/978-3-642-22825-4\_11}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/wob/ParisFSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1110-0404, author = {Daniel S. Katz and Matei Ripeanu and Michael Wilde}, title = {Many-Task Computing Tools for Multiscale Modeling}, journal = {CoRR}, volume = {abs/1110.0404}, year = {2011}, url = {http://arxiv.org/abs/1110.0404}, eprinttype = {arXiv}, eprint = {1110.0404}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1110-0404.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/AshcroftGFCLGBC10, author = {Michael B. Ashcroft and John R. Gollan and Daniel P. Faith and Gareth A. Carter and Scott A. Lassau and Scott G. Ginn and Matthew W. Bulbert and Gerasimos Cassis}, title = {Using Generalised Dissimilarity Models and many small samples to improve the efficiency of regional and landscape scale invertebrate sampling}, journal = {Ecol. Informatics}, volume = {5}, number = {2}, pages = {124--132}, year = {2010}, url = {https://doi.org/10.1016/j.ecoinf.2009.12.002}, doi = {10.1016/J.ECOINF.2009.12.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/AshcroftGFCLGBC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaom/PunYC10, author = {Kit Fai Pun and Man{-}Yin Rebecca Yiu and Kwai{-}Sang Chin}, title = {Developing a self-assessment model for measuring new product development performance: an {AHP} approach}, journal = {Int. J. Adv. Oper. Manag.}, volume = {2}, number = {1/2}, pages = {57--79}, year = {2010}, url = {https://doi.org/10.1504/IJAOM.2010.034586}, doi = {10.1504/IJAOM.2010.034586}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijaom/PunYC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/FreedmanT10, author = {Daniel Freedman and Matthew W. Turek}, title = {Graph cuts with many-pixel interactions: Theory and applications to shape modelling}, journal = {Image Vis. Comput.}, volume = {28}, number = {3}, pages = {467--473}, year = {2010}, url = {https://doi.org/10.1016/j.imavis.2009.07.006}, doi = {10.1016/J.IMAVIS.2009.07.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/FreedmanT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcm/BonannoBO10, author = {Gabriele Bonanno and Giovanni Molica Bisci and Donal O'Regan}, title = {Infinitely many weak solutions for a class of quasilinear elliptic systems}, journal = {Math. Comput. Model.}, volume = {52}, number = {1-2}, pages = {152--160}, year = {2010}, url = {https://doi.org/10.1016/j.mcm.2010.02.004}, doi = {10.1016/J.MCM.2010.02.004}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mcm/BonannoBO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/LiDXE10, author = {Fei Li and Qionghai Dai and Wenli Xu and Guihua Er}, title = {Statistical modeling and many-to-many matching for view-based 3D object retrieval}, journal = {Signal Process. Image Commun.}, volume = {25}, number = {1}, pages = {18--27}, year = {2010}, url = {https://doi.org/10.1016/j.image.2009.11.001}, doi = {10.1016/J.IMAGE.2009.11.001}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/LiDXE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/BeckerNT10, author = {J{\"{o}}rg Becker and Bj{\"{o}}rn Niehaves and Irina Thome}, editor = {Martin Santana and Jerry N. Luftman and Ajay S. Vinze}, title = {How Many Methods Do We Need? - {A} Multiple Case Study Exploration into the Use of Business Process Modeling Methods in Industry}, booktitle = {Sustainable {IT} Collaboration Around the Globe. 16th Americas Conference on Information Systems, {AMCIS} 2010, Lima, Peru, August 12-15, 2010}, pages = {534}, publisher = {Association for Information Systems}, year = {2010}, url = {http://aisel.aisnet.org/amcis2010/534}, timestamp = {Thu, 22 Feb 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcis/BeckerNT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmas/HabibP10, author = {Amer Habib and Fran{\c{c}}ois P{\^{e}}cheux}, editor = {Laurence Nagel and Jesse Chen and Ronald S. Vogelsong}, title = {Modeling and simulation of a manycore {PCR-CE} lab-on-chip for {DNA} sequencing using SystemC/SystemC-AMS}, booktitle = {2010 {IEEE} International Behavioral Modeling and Simulation Conference, {BMAS} 2010, San Jose, CA, USA, September 23-24, 2010}, pages = {63--68}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/BMAS.2010.6156600}, doi = {10.1109/BMAS.2010.6156600}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/bmas/HabibP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/IhrigMJ10, author = {Colin J. Ihrig and Rami G. Melhem and Alex K. Jones}, editor = {Sachin S. Sapatnekar}, title = {Automated modeling and emulation of interconnect designs for many-core chip multiprocessors}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {431--436}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837383}, doi = {10.1145/1837274.1837383}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/IhrigMJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TruongB10, author = {Dean Truong and Bevan M. Baas}, editor = {Sachin S. Sapatnekar}, title = {Circuit modeling for practical many-core architecture design exploration}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {627--628}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837432}, doi = {10.1145/1837274.1837432}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TruongB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai/LimaRD10, author = {Tiago de Lima and Lamb{\`{e}}r M. M. Royakkers and Frank Dignum}, editor = {Helder Coelho and Rudi Studer and Michael J. Wooldridge}, title = {Modeling the problem of many hands in organisations}, booktitle = {{ECAI} 2010 - 19th European Conference on Artificial Intelligence, Lisbon, Portugal, August 16-20, 2010, Proceedings}, series = {Frontiers in Artificial Intelligence and Applications}, volume = {215}, pages = {79--84}, publisher = {{IOS} Press}, year = {2010}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=17718}, timestamp = {Fri, 28 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecai/LimaRD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/ChenMGGS10, author = {Chen Chen and Joseph B. Manzano and Ge Gan and Guang R. Gao and Vivek Sarkar}, editor = {Pasqua D'Ambra and Mario Rosario Guarracino and Domenico Talia}, title = {A Study of a Software Cache Implementation of the OpenMP Memory Model for Multicore and Manycore Architectures}, booktitle = {Euro-Par 2010 - Parallel Processing, 16th International Euro-Par Conference, Ischia, Italy, August 31 - September 3, 2010, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6272}, pages = {341--352}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15291-7\_31}, doi = {10.1007/978-3-642-15291-7\_31}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/ChenMGGS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/evoW/MartiGBM10, author = {Luis Mart{\'{\i}} and Jes{\'{u}}s Garc{\'{\i}}a and Antonio Berlanga and Jos{\'{e}} M. Molina}, editor = {Cecilia Di Chio and Stefano Cagnoni and Carlos Cotta and Marc Ebner and Anik{\'{o}} Ek{\'{a}}rt and Anna Esparcia{-}Alc{\'{a}}zar and Chi Keong Goh and Juan Juli{\'{a}}n Merelo Guerv{\'{o}}s and Ferrante Neri and Mike Preuss and Julian Togelius and Georgios N. Yannakakis}, title = {Advancing Model-Building for Many-Objective Optimization Estimation of Distribution Algorithms}, booktitle = {Applications of Evolutionary Computation, EvoApplicatons 2010: EvoCOMPLEX, EvoGAMES, EvoIASP, EvoINTELLIGENCE, EvoNUM, and EvoSTOC, Istanbul, Turkey, April 7-9, 2010, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6024}, pages = {512--521}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-12239-2\_53}, doi = {10.1007/978-3-642-12239-2\_53}, timestamp = {Tue, 12 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/evoW/MartiGBM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciso/JiangC10, author = {Guorui Jiang and Sheng Chen}, editor = {Ren{\'{e}} J. Jorna and Kecheng Liu and Guorui Jiang and Keiichi Nakata and Lily Sun}, title = {Argumentation-based One-to-many Negotiation Model}, booktitle = {{ICISO} 2010 - Proceedings of the Twelfth International Conference on Informatics and Semiotics in Organisations, Reading, UK, July 19-21, 2010}, pages = {268--274}, publisher = {SciTePress}, year = {2010}, timestamp = {Fri, 22 Jun 2018 07:29:23 +0200}, biburl = {https://dblp.org/rec/conf/iciso/JiangC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppsn/ReynoldsCC10, author = {Alan P. Reynolds and David W. Corne and Michael J. Chantler}, editor = {Robert Schaefer and Carlos Cotta and Joanna Kolodziej and G{\"{u}}nter Rudolph}, title = {Feature Selection for Multi-purpose Predictive Models: {A} Many-Objective Task}, booktitle = {Parallel Problem Solving from Nature - {PPSN} XI, 11th International Conference, Krak{\'{o}}w, Poland, September 11-15, 2010, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6238}, pages = {384--393}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-15844-5\_39}, doi = {10.1007/978-3-642-15844-5\_39}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppsn/ReynoldsCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/SrinivasanLKTTH10, author = {Ashwanth Srinivasan and Matthieu Le H{\'{e}}naff and Villy H. Kourafalou and William C. Thacker and Nicholas F. Tsinoremas and Judith Helgers and Claire B. Paris and HeeSook Kang and Mohamed Iskandarani and Joel P. Zysman and Omar M. Knio}, title = {Many Task Computing for modeling the fate of oil discharged from the Deep Water Horizon well blowout}, booktitle = {3rd Workshop on Many-Task Computing on Grids and Supercomputers, MTAGS@SC 2010, New Orleans, Louisiana, USA, November 15, 2010}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/MTAGS.2010.5699424}, doi = {10.1109/MTAGS.2010.5699424}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/SrinivasanLKTTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Bengtsson09, author = {Jerker Bengtsson}, title = {Models and Methods for Development of {DSP} Applications on Manycore Processors}, school = {Chalmers University of Technology, Gothenburg, Sweden}, year = {2009}, url = {http://publications.lib.chalmers.se/publication/93954-models-and-methods-for-development-of-dsp-applications-on-manycore-processors}, timestamp = {Fri, 31 Mar 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Bengtsson09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmic/BaiM09, author = {Manying Bai and Jie Ma}, title = {The CVaR constrained stochastic programming {ALM} model for defined benefit pension funds}, journal = {Int. J. Model. Identif. Control.}, volume = {8}, number = {1}, pages = {48--55}, year = {2009}, url = {https://doi.org/10.1504/IJMIC.2009.028874}, doi = {10.1504/IJMIC.2009.028874}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmic/BaiM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsat/HamadiJS09, author = {Youssef Hamadi and Sa{\"{\i}}d Jabbour and Lakhdar Sais}, title = {ManySAT: a Parallel {SAT} Solver}, journal = {J. Satisf. Boolean Model. Comput.}, volume = {6}, number = {4}, pages = {245--262}, year = {2009}, url = {https://doi.org/10.3233/sat190070}, doi = {10.3233/SAT190070}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsat/HamadiJS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/synthese/Gelfert09, author = {Axel Gelfert}, title = {Rigorous results, cross-model justification, and the transfer of empirical warrant: the case of many-body models in physics}, journal = {Synth.}, volume = {169}, number = {3}, pages = {497--519}, year = {2009}, url = {https://doi.org/10.1007/s11229-008-9431-6}, doi = {10.1007/S11229-008-9431-6}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/synthese/Gelfert09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/LiH09, author = {Ning Li and Jinqiang Hu}, editor = {Huaikou Miao and Gongzhu Hu}, title = {Scientific Workflow Model for Provenance Analysis Based on Many-sorted High-Level Nets}, booktitle = {8th {IEEE/ACIS} International Conference on Computer and Information Science, {IEEE/ACIS} {ICIS} 2009, June 1-3, 2009, Shanghai, China}, pages = {512--515}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICIS.2009.149}, doi = {10.1109/ICIS.2009.149}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/LiH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KhanTT09, author = {Nazar Khan and Lam Tran and Marshall F. Tappen}, title = {Training many-parameter shape-from-shading models using a surface database}, booktitle = {12th {IEEE} International Conference on Computer Vision Workshops, {ICCV} Workshops 2009, Kyoto, Japan, September 27 - October 4, 2009}, pages = {1433--1440}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCVW.2009.5457444}, doi = {10.1109/ICCVW.2009.5457444}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/KhanTT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/ZhangSL09, author = {Teng Zhang and Arthur Szlam and Gilad Lerman}, title = {Median K-Flats for hybrid linear modeling with many outliers}, booktitle = {12th {IEEE} International Conference on Computer Vision Workshops, {ICCV} Workshops 2009, Kyoto, Japan, September 27 - October 4, 2009}, pages = {234--241}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICCVW.2009.5457695}, doi = {10.1109/ICCVW.2009.5457695}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/ZhangSL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmt/Garcia-MagarinoGF09, author = {Iv{\'{a}}n Garc{\'{\i}}a{-}Magari{\~{n}}o and Jorge J. G{\'{o}}mez{-}Sanz and Rub{\'{e}}n Fuentes{-}Fern{\'{a}}ndez}, editor = {Richard F. Paige}, title = {Model Transformation By-Example: An Algorithm for Generating Many-to-Many Transformation Rules in Several Model Transformation Languages}, booktitle = {Theory and Practice of Model Transformations - 2nd International Conference, ICMT@TOOLS 2009, Zurich, Switzerland, June 29-30, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5563}, pages = {52--66}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02408-5\_5}, doi = {10.1007/978-3-642-02408-5\_5}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmt/Garcia-MagarinoGF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/BrightwellHWW09, author = {Ron Brightwell and Mike Heroux and Zhaofang Wen and Junfeng Wu}, title = {Parallel Phase Model: {A} Programming Model for High-end Parallel Machines with Manycores}, booktitle = {{ICPP} 2009, International Conference on Parallel Processing, Vienna, Austria, 22-25 September 2009}, pages = {92--99}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICPP.2009.69}, doi = {10.1109/ICPP.2009.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/BrightwellHWW09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/GodoyRC09, author = {Elizabeth Godoy and Olivier Rosec and Thierry Chonavel}, title = {Alleviating the one-to-many mapping problem in voice conversion with context-dependent modeling}, booktitle = {{INTERSPEECH} 2009, 10th Annual Conference of the International Speech Communication Association, Brighton, United Kingdom, September 6-10, 2009}, pages = {1627--1630}, publisher = {{ISCA}}, year = {2009}, url = {https://doi.org/10.21437/Interspeech.2009-486}, doi = {10.21437/INTERSPEECH.2009-486}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/GodoyRC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/LiASBTJ09, author = {Sheng Li and Jung Ho Ahn and Richard D. Strong and Jay B. Brockman and Dean M. Tullsen and Norman P. Jouppi}, editor = {David H. Albonesi and Margaret Martonosi and David I. August and Jos{\'{e}} F. Mart{\'{\i}}nez}, title = {McPAT: an integrated power, area, and timing modeling framework for multicore and manycore architectures}, booktitle = {42st Annual {IEEE/ACM} International Symposium on Microarchitecture {(MICRO-42} 2009), December 12-16, 2009, New York, New York, {USA}}, pages = {469--480}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1669112.1669172}, doi = {10.1145/1669112.1669172}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/LiASBTJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/qtna/Sakuma09, author = {Yutaka Sakuma}, editor = {Winston Seah}, title = {Tail behavior for many server queueing model with join the shortest queue discipline and jockeying}, booktitle = {Proceedings of the 4th International Conference on Queueing Theory and Network Applications, {QTNA} '09, Fusionopolis, Singapore, July 29-31, 2009}, pages = {9:1--9:4}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1626553.1626562}, doi = {10.1145/1626553.1626562}, timestamp = {Fri, 18 Mar 2022 09:31:26 +0100}, biburl = {https://dblp.org/rec/conf/qtna/Sakuma09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/corr/abs-1002-0939, author = {Stefan Marr and Michael Haupt and Stijn Timbermont and Bram Adams and Theo D'Hondt and Pascal Costanza and Wolfgang De Meuter}, editor = {Alastair R. Beresford and Simon J. Gay}, title = {Virtual Machine Support for Many-Core Architectures: Decoupling Abstract from Concrete Concurrency Models}, booktitle = {Proceedings Second International Workshop on Programming Language Approaches to Concurrency and Communication-cEntric Software, {PLACES} 2009, York, UK, 22nd March 2009}, series = {{EPTCS}}, volume = {17}, pages = {63--77}, year = {2009}, url = {https://doi.org/10.4204/EPTCS.17.6}, doi = {10.4204/EPTCS.17.6}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1002-0939.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/Gottwald09, author = {Siegfried Gottwald}, editor = {Andrzej Bargiela and Witold Pedrycz}, title = {Many-Valued Logic Tools for Granular Modeling}, booktitle = {Human-Centric Information Processing Through Granular Modelling}, series = {Studies in Computational Intelligence}, volume = {182}, pages = {153--184}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-540-92916-1\_7}, doi = {10.1007/978-3-540-92916-1\_7}, timestamp = {Tue, 16 May 2017 14:24:37 +0200}, biburl = {https://dblp.org/rec/series/sci/Gottwald09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iassist/VardiganHGIL09, author = {Mary Vardigan and Sue Ellen Hansen and Peter Granda and Sanda Ionescu and Felicia LeClere}, title = {{DDI} Across the Life Cycle: One Data Model, Many Products}, booktitle = {{IASSIST} 2009 - Mobile Data and the Life Cycle, Tampere, Finland, May 27-29, 2009}, publisher = {{IASSIST}}, year = {2009}, url = {http://www.iassistdata.org/conference/2009/presentation/1336}, timestamp = {Sun, 09 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iassist/VardiganHGIL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0909-3123, author = {Teng Zhang and Arthur Szlam and Gilad Lerman}, title = {Median K-flats for hybrid linear modeling with many outliers}, journal = {CoRR}, volume = {abs/0909.3123}, year = {2009}, url = {http://arxiv.org/abs/0909.3123}, eprinttype = {arXiv}, eprint = {0909.3123}, timestamp = {Mon, 23 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-0909-3123.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/Kiiveri08, author = {Harri T. Kiiveri}, title = {A general approach to simultaneous model fitting and variable elimination in response models for biological data with many more variables than observations}, journal = {{BMC} Bioinform.}, volume = {9}, year = {2008}, url = {https://doi.org/10.1186/1471-2105-9-195}, doi = {10.1186/1471-2105-9-195}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/Kiiveri08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/GhobadiGS08, author = {Monia Ghobadi and Sudhakar Ganti and Gholamali C. Shoja}, title = {Resource optimization algorithms for virtual private networks using the hose model}, journal = {Comput. Networks}, volume = {52}, number = {16}, pages = {3130--3147}, year = {2008}, url = {https://doi.org/10.1016/j.comnet.2008.08.010}, doi = {10.1016/J.COMNET.2008.08.010}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/GhobadiGS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ese/WeyukerOB08, author = {Elaine J. Weyuker and Thomas J. Ostrand and Robert M. Bell}, title = {Do too many cooks spoil the broth? Using the number of developers to enhance defect prediction models}, journal = {Empir. Softw. Eng.}, volume = {13}, number = {5}, pages = {539--559}, year = {2008}, url = {https://doi.org/10.1007/s10664-008-9082-8}, doi = {10.1007/S10664-008-9082-8}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ese/WeyukerOB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/BessacM08, author = {Fabienne Bessac and Feliu Maseras}, title = {{DFT} modeling of reactivity in an ionic liquid: How many ion pairs?}, journal = {J. Comput. Chem.}, volume = {29}, number = {6}, pages = {892--899}, year = {2008}, url = {https://doi.org/10.1002/jcc.20846}, doi = {10.1002/JCC.20846}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcc/BessacM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/LeFlochM08, author = {Philippe G. LeFloch and Majid Mohammadian}, title = {Why many theories of shock waves are necessary: Kinetic functions, equivalent equations, and fourth-order models}, journal = {J. Comput. Phys.}, volume = {227}, number = {8}, pages = {4162--4189}, year = {2008}, url = {https://doi.org/10.1016/j.jcp.2007.12.026}, doi = {10.1016/J.JCP.2007.12.026}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcphy/LeFlochM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsat/ArgelichLMP08, author = {Josep Argelich and Chu Min Li and Felip Many{\`{a}} and Jordi Planes}, title = {The First and Second Max-SAT Evaluations}, journal = {J. Satisf. Boolean Model. Comput.}, volume = {4}, number = {2-4}, pages = {251--278}, year = {2008}, url = {https://doi.org/10.3233/sat190047}, doi = {10.3233/SAT190047}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsat/ArgelichLMP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/TalrejaW08, author = {Rishi Talreja and Ward Whitt}, title = {Fluid Models for Overloaded Multiclass Many-Server Queueing Systems with First-Come, First-Served Routing}, journal = {Manag. Sci.}, volume = {54}, number = {8}, pages = {1513--1527}, year = {2008}, url = {https://doi.org/10.1287/mnsc.1080.0868}, doi = {10.1287/MNSC.1080.0868}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mansci/TalrejaW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigarch/ZeaSK08, author = {Nicolas Zea and John Sartori and Rakesh Kumar}, title = {Servo: a programming model for many-core computing}, journal = {{SIGARCH} Comput. Archit. News}, volume = {36}, number = {2}, pages = {28--37}, year = {2008}, url = {https://doi.org/10.1145/1399972.1399979}, doi = {10.1145/1399972.1399979}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigarch/ZeaSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/Curtis-MaurySBNSS08, author = {Matthew Curtis{-}Maury and Ankur Shah and Filip Blagojevic and Dimitrios S. Nikolopoulos and Bronis R. de Supinski and Martin Schulz}, editor = {Andreas Moshovos and David Tarditi and Kunle Olukotun}, title = {Prediction models for multi-dimensional power-performance optimization on many cores}, booktitle = {17th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2008, Toronto, Ontario, Canada, October 25-29, 2008}, pages = {250--259}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1454115.1454151}, doi = {10.1145/1454115.1454151}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/Curtis-MaurySBNSS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apscc/KapaniG08, author = {Kapil Kapani and Arobinda Gupta}, title = {Influencing Models in Automated One-to-Many Negotiation}, booktitle = {Proceedings of the 3rd {IEEE} Asia-Pacific Services Computing Conference, {APSCC} 2008, Yilan, Taiwan, 9-12 December 2008}, pages = {439--444}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/APSCC.2008.185}, doi = {10.1109/APSCC.2008.185}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apscc/KapaniG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/TulathimutteB08, author = {Tony Tulathimutte and Nate Bolt}, editor = {Mary Czerwinski and Arnold M. Lund and Desney S. Tan}, title = {The science of fun: one-to-many moderated game research}, booktitle = {Extended Abstracts Proceedings of the 2008 Conference on Human Factors in Computing Systems, {CHI} 2008, Florence, Italy, April 5-10, 2008}, pages = {2033--2038}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1358628.1358634}, doi = {10.1145/1358628.1358634}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/TulathimutteB08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/MeiFRDE08, author = {Lin Mei and Michael Figl and Daniel Rueckert and Ara Darzi and Philip J. Edwards}, title = {Statistical shape modelling: How many modes should be retained?}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2008, Anchorage, AK, USA, 23-28 June, 2008}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CVPRW.2008.4562996}, doi = {10.1109/CVPRW.2008.4562996}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/MeiFRDE08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/LongFZSYL08, author = {Guoping Long and Dongrui Fan and Junchao Zhang and Fenglong Song and Nan Yuan and Wei Lin}, editor = {Emilio Luque and Tom{\`{a}}s Margalef and Domingo Benitez}, title = {A Performance Model of Dense Matrix Operations on Many-Core Architectures}, booktitle = {Euro-Par 2008 - Parallel Processing, 14th International Euro-Par Conference, Las Palmas de Gran Canaria, Spain, August 26-29, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5168}, pages = {120--129}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-85451-7\_14}, doi = {10.1007/978-3-540-85451-7\_14}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/LongFZSYL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/DiamosY08, author = {Gregory F. Diamos and Sudhakar Yalamanchili}, editor = {Manish Parashar and Karsten Schwan and Jon B. Weissman and Domenico Laforenza}, title = {Harmony: an execution model and runtime for heterogeneous many core systems}, booktitle = {Proceedings of the 17th International Symposium on High-Performance Distributed Computing {(HPDC-17} 2008), 23-27 June 2008, Boston, MA, {USA}}, pages = {197--200}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1383422.1383447}, doi = {10.1145/1383422.1383447}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/DiamosY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/HuangX08, author = {Lin Huang and Qiang Xu}, title = {On Modeling the Lifetime Reliability of Homogeneous Manycore Systems}, booktitle = {14th {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2008, 15-17 December 2008, Taipei, Taiwan}, pages = {87--94}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/PRDC.2008.23}, doi = {10.1109/PRDC.2008.23}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/HuangX08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/samos/BernardBGJLTZ08, author = {Thomas A. M. Bernard and Kostas Bousias and Liang Guang and Chris R. Jesshope and Mike Lankamp and Michiel W. van Tol and Li Zhang}, editor = {Walid A. Najjar and Holger Blume}, title = {A general model of concurrency and its implementation as many-core dynamic {RISC} processors}, booktitle = {Proceedings of the 2008 International Conference on Embedded Computer Systems: Architectures, Modeling and Simulation {(IC-SAMOS} 2008), Samos, Greece, July 21-24, 2008}, pages = {1--9}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSAMOS.2008.4664840}, doi = {10.1109/ICSAMOS.2008.4664840}, timestamp = {Fri, 22 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/samos/BernardBGJLTZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sat/ArgelichCLM08, author = {Josep Argelich and Alba Cabiscol and In{\^{e}}s Lynce and Felip Many{\`{a}}}, editor = {Hans Kleine B{\"{u}}ning and Xishun Zhao}, title = {Modelling Max-CSP as Partial Max-SAT}, booktitle = {Theory and Applications of Satisfiability Testing - {SAT} 2008, 11th International Conference, {SAT} 2008, Guangzhou, China, May 12-15, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4996}, pages = {1--14}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-79719-7\_1}, doi = {10.1007/978-3-540-79719-7\_1}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sat/ArgelichCLM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/SzyszkowiczY08, author = {Sebastian S. Szyszkowicz and Halim Yanikomeroglu}, title = {Analysis of Interference from Large Clusters as Modeled by the Sum of Many Correlated Lognormals}, booktitle = {{WCNC} 2008, {IEEE} Wireless Communications {\&} Networking Conference, March 31 2008 - April 3 2008, Las Vegas, Nevada, USA, Conference Proceedings}, pages = {741--745}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/WCNC.2008.136}, doi = {10.1109/WCNC.2008.136}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wcnc/SzyszkowiczY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0812-2926, author = {Gheorghe Stefanescu and Camelia Chira}, title = {New parallel programming language design: a bridge between brain models and multi-core/many-core computers?}, journal = {CoRR}, volume = {abs/0812.2926}, year = {2008}, url = {http://arxiv.org/abs/0812.2926}, eprinttype = {arXiv}, eprint = {0812.2926}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0812-2926.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ca/Gurfinkel07, author = {Arie Gurfinkel}, title = {Model-checking with many values}, school = {University of Toronto, Canada}, year = {2007}, url = {https://librarysearch.library.utoronto.ca/permalink/01UTORONTO\_INST/14bjeso/alma991106335505906196}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ca/Gurfinkel07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/QianZ07, author = {Guoqi Q. Qian and Xindong Zhao}, title = {On time series model selection involving many candidate {ARMA} models}, journal = {Comput. Stat. Data Anal.}, volume = {51}, number = {12}, pages = {6180--6196}, year = {2007}, url = {https://doi.org/10.1016/j.csda.2006.12.044}, doi = {10.1016/J.CSDA.2006.12.044}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csda/QianZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mcm/BalbasBM07, author = {Alejandro Balb{\'{a}}s and Raquel Balb{\'{a}}s and Silvia Mayoral}, title = {Risk-neutral valuation with infinitely many trading dates}, journal = {Math. Comput. Model.}, volume = {45}, number = {11-12}, pages = {1308--1318}, year = {2007}, url = {https://doi.org/10.1016/j.mcm.2006.11.002}, doi = {10.1016/J.MCM.2006.11.002}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mcm/BalbasBM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/HuiL07, author = {S. C. Hui and Jack Y. B. Lee}, title = {On aggregate available bandwidth in many-to-one data transfer - modeling and applications}, journal = {Multim. Tools Appl.}, volume = {34}, number = {2}, pages = {139--154}, year = {2007}, url = {https://doi.org/10.1007/s11042-006-0088-1}, doi = {10.1007/S11042-006-0088-1}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/HuiL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmod/Winslett07, author = {Marianne Winslett}, title = {Stefano Ceri speaks out on many-book researchers and one-startup researchers, web modeling, the vanishing US-Europe research gap, the semantic web services train, and more}, journal = {{SIGMOD} Rec.}, volume = {36}, number = {1}, pages = {39--46}, year = {2007}, url = {https://doi.org/10.1145/1276301.1276310}, doi = {10.1145/1276301.1276310}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigmod/Winslett07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/Manyari-RiveraB07, author = {Manuel Manyari{-}Rivera and Jo{\~{a}}o Carlos Basilio and Amit Bhaya}, title = {Integrated Fault Diagnosis Based on Petri Net Models}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2007, Singapore, October 1-3, 2007}, pages = {958--963}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CCA.2007.4389357}, doi = {10.1109/CCA.2007.4389357}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/Manyari-RiveraB07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/GiefersP07, author = {Heiner Giefers and Marco Platzner}, editor = {Koen Bertels and Walid A. Najjar and Arjan J. van Genderen and Stamatis Vassiliadis}, title = {A Many-core Implementation based on the Reconfigurable Mesh Model}, booktitle = {{FPL} 2007, International Conference on Field Programmable Logic and Applications, Amsterdam, The Netherlands, 27-29 August 2007}, pages = {41--46}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/FPL.2007.4380623}, doi = {10.1109/FPL.2007.4380623}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/GiefersP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/GhobadiGS07, author = {Monia Ghobadi and Sudhakar Ganti and Gholamali C. Shoja}, title = {Hierarchical Provisioning Algorithm for Virtual Private Networks Using the Hose Model}, booktitle = {Proceedings of the Global Communications Conference, 2007. {GLOBECOM} '07, Washington, DC, USA, 26-30 November 2007}, pages = {2467--2471}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/GLOCOM.2007.469}, doi = {10.1109/GLOCOM.2007.469}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/GhobadiGS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/GhobadiGS07, author = {Monia Ghobadi and Sudhakar Ganti and Gholamali C. Shoja}, title = {Resource Optimization to Provision a Virtual Private Network Using the Hose Model}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2007, Glasgow, Scotland, UK, 24-28 June 2007}, pages = {512--517}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICC.2007.90}, doi = {10.1109/ICC.2007.90}, timestamp = {Tue, 19 Jun 2018 07:53:33 +0200}, biburl = {https://dblp.org/rec/conf/icc/GhobadiGS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/OhtaniTSS07, author = {Yamato Ohtani and Tomoki Toda and Hiroshi Saruwatari and Kiyohiro Shikano}, title = {Speaker adaptive training for one-to-many eigenvoice conversion based on Gaussian mixture model}, booktitle = {{INTERSPEECH} 2007, 8th Annual Conference of the International Speech Communication Association, Antwerp, Belgium, August 27-31, 2007}, pages = {1981--1984}, publisher = {{ISCA}}, year = {2007}, url = {https://doi.org/10.21437/Interspeech.2007-554}, doi = {10.21437/INTERSPEECH.2007-554}, timestamp = {Fri, 23 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/OhtaniTSS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LastovetskyO07, author = {Alexey L. Lastovetsky and Maureen O'Flynn}, title = {A Performance Model of Many-to-One Collective Communications for Parallel Computing}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370574}, doi = {10.1109/IPDPS.2007.370574}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LastovetskyO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/MunawarW07, author = {Mohammad Ahmad Munawar and Paul A. S. Ward}, editor = {Ivan Stojmenovic and Ruppa K. Thulasiram and Laurence Tianruo Yang and Weijia Jia and Minyi Guo and Rodrigo Fernandes de Mello}, title = {Leveraging Many Simple Statistical Models to Adaptively Monitor Software Systems}, booktitle = {Parallel and Distributed Processing and Applications, 5th International Symposium, {ISPA} 2007, Niagara Falls, Canada, August 29-31, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4742}, pages = {457--470}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74742-0\_42}, doi = {10.1007/978-3-540-74742-0\_42}, timestamp = {Tue, 24 Nov 2020 16:44:23 +0100}, biburl = {https://dblp.org/rec/conf/ispa/MunawarW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/JiampojamarnKS07, author = {Sittichai Jiampojamarn and Grzegorz Kondrak and Tarek Sherif}, editor = {Candace L. Sidner and Tanja Schultz and Matthew Stone and ChengXiang Zhai}, title = {Applying Many-to-Many Alignments and Hidden Markov Models to Letter-to-Phoneme Conversion}, booktitle = {Human Language Technology Conference of the North American Chapter of the Association of Computational Linguistics, Proceedings, April 22-27, 2007, Rochester, New York, {USA}}, pages = {372--379}, publisher = {The Association for Computational Linguistics}, year = {2007}, url = {https://aclanthology.org/N07-1047/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/JiampojamarnKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wotug/Brown07, author = {Neil Brown}, editor = {Alistair A. McEwan and Steve A. Schneider and Wilson Ifill and Peter H. Welch}, title = {{C++CSP2:} {A} Many-to-Many Threading Model for Multicore Architectures}, booktitle = {The 30th Communicating Process Architectures Conference, {CPA} 2007, organised under the auspices of WoTUG and the University of Surrey, Guildford, Surrey, UK, 8-11 July 2007}, series = {Concurrent Systems Engineering Series}, volume = {65}, pages = {183--205}, publisher = {{IOS} Press}, year = {2007}, url = {http://www.booksonline.iospress.nl/Content/View.aspx?piid=5972}, timestamp = {Thu, 01 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wotug/Brown07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/McGeachieD07, author = {Jesse McGeachie and J{\"{u}}rgen Dingel}, editor = {Marieke Huisman and Fausto Spoto}, title = {Translate One, Analyze Many: Leveraging the Microsoft Intermediate Language and Source Code Transformation for Model Checking}, booktitle = {Proceedings of the Second Workshop on Bytecode Semantics, Verification, Analysis and Transformation, Bytecode@ETAPS 2007, Braga, Portugal, March 31, 2007}, series = {Electronic Notes in Theoretical Computer Science}, volume = {190}, number = {1}, pages = {3--18}, publisher = {Elsevier}, year = {2007}, url = {https://doi.org/10.1016/j.entcs.2007.02.057}, doi = {10.1016/J.ENTCS.2007.02.057}, timestamp = {Tue, 31 Jan 2023 15:42:47 +0100}, biburl = {https://dblp.org/rec/journals/entcs/McGeachieD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasp/MukaiSAM06, author = {Ryo Mukai and Hiroshi Sawada and Shoko Araki and Shoji Makino}, title = {Frequency-Domain Blind Source Separation of Many Speech Signals Using Near-Field and Far-Field Models}, journal = {{EURASIP} J. Adv. Signal Process.}, volume = {2006}, year = {2006}, url = {https://doi.org/10.1155/ASP/2006/83683}, doi = {10.1155/ASP/2006/83683}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasp/MukaiSAM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/GorurJR06, author = {Dilan G{\"{o}}r{\"{u}}r and Frank J{\"{a}}kel and Carl Edward Rasmussen}, editor = {William W. Cohen and Andrew W. Moore}, title = {A choice model with infinitely many latent features}, booktitle = {Machine Learning, Proceedings of the Twenty-Third International Conference {(ICML} 2006), Pittsburgh, Pennsylvania, USA, June 25-29, 2006}, series = {{ACM} International Conference Proceeding Series}, volume = {148}, pages = {361--368}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1143844.1143890}, doi = {10.1145/1143844.1143890}, timestamp = {Tue, 19 Nov 2019 09:25:06 +0100}, biburl = {https://dblp.org/rec/conf/icml/GorurJR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prima/ShiH06, author = {Man{-}Yin Shi and Shan{-}Li Hu}, editor = {Zhong{-}Zhi Shi and Ramakoti Sadananda}, title = {Modeling Negotiation in Combinatorial Auctions Based on Multi-agent}, booktitle = {Agent Computing and Multi-Agent Systems, 9th Pacific Rim International Workshop on Multi-Agents, {PRIMA} 2006, Guilin, China, August 7-8, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4088}, pages = {644--649}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11802372\_73}, doi = {10.1007/11802372\_73}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/prima/ShiH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wlp/Majkic06, author = {Zoran Majkic}, editor = {Michael Fink and Hans Tompits and Stefan Woltran}, title = {Many-valued Logic Programming and Fixpoint Semantics for Higher-order Herbrand Models}, booktitle = {20th Workshop on Logic Programming, Vienna, Austria, February 22--24, 2006}, series = {{INFSYS} Research Report}, volume = {1843-06-02}, pages = {120--128}, publisher = {Technische Universit{\"{a}}t Wien, Austria}, year = {2006}, url = {http://www.kr.tuwien.ac.at/wlp06/11-final.ps.gz}, timestamp = {Thu, 16 Nov 2017 07:57:26 +0100}, biburl = {https://dblp.org/rec/conf/wlp/Majkic06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cphysics/KrajewskiM05, author = {Florian R. Krajewski and Martin H. M{\"{u}}ser}, title = {Many-body quantum dynamics by adiabatic path-integral molecular dynamics: Disordered Frenkel Kontorova models}, journal = {Comput. Phys. Commun.}, volume = {169}, number = {1-3}, pages = {426--429}, year = {2005}, url = {https://doi.org/10.1016/j.cpc.2005.03.094}, doi = {10.1016/J.CPC.2005.03.094}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cphysics/KrajewskiM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mansci/HogarthK05, author = {Robin M. Hogarth and Natalia Karelaia}, title = {Simple Models for Multiattribute Choice with Many Alternatives: When It Does and Does Not Pay to Face Trade-offs with Binary Attributes}, journal = {Manag. Sci.}, volume = {51}, number = {12}, pages = {1860--1872}, year = {2005}, url = {https://doi.org/10.1287/mnsc.1050.0448}, doi = {10.1287/MNSC.1050.0448}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mansci/HogarthK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sac/NottKD05, author = {David J. Nott and Anthony Y. C. Kuk and Hiep Duc}, title = {Efficient sampling schemes for Bayesian {MARS} models with many predictors}, journal = {Stat. Comput.}, volume = {15}, number = {2}, pages = {93--101}, year = {2005}, url = {https://doi.org/10.1007/s11222-005-6201-x}, doi = {10.1007/S11222-005-6201-X}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sac/NottKD05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamma/Kurth05, author = {Michael Kurth}, title = {On the Existence of Infinitely Many Modes of a Nonlocal Nonlinear Schr{\"{o}}dinger Equation Related to Dispersion-Managed Solitons}, journal = {{SIAM} J. Math. Anal.}, volume = {36}, number = {3}, pages = {967--985}, year = {2005}, url = {https://doi.org/10.1137/S0036141003431530}, doi = {10.1137/S0036141003431530}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamma/Kurth05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sma/Scarpa05, author = {Bruno Scarpa}, title = {Non parametric space-time modeling of SO\({}_{\mbox{2}}\) in presence of many missing data}, journal = {Stat. Methods Appl.}, volume = {14}, number = {1}, pages = {67--82}, year = {2005}, url = {https://doi.org/10.1007/BF02511575}, doi = {10.1007/BF02511575}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sma/Scarpa05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgt/MartinezMNO04, author = {Ruth Mart{\'{\i}}nez and Jordi Mass{\'{o}} and Alejandro Neme and Jorge Oviedo}, title = {On group strategy-proof mechanisms for a many-to-one matching model}, journal = {Int. J. Game Theory}, volume = {33}, number = {1}, pages = {115--128}, year = {2004}, url = {https://doi.org/10.1007/s001820400189}, doi = {10.1007/S001820400189}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgt/MartinezMNO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scl/GumussoyO04, author = {Suat Gumussoy and Hitay {\"{O}}zbay}, title = {On the mixed sensitivity minimization for systems with infinitely many unstable modes}, journal = {Syst. Control. Lett.}, volume = {53}, number = {3-4}, pages = {211--216}, year = {2004}, url = {https://doi.org/10.1016/j.sysconle.2004.04.007}, doi = {10.1016/J.SYSCONLE.2004.04.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scl/GumussoyO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tit/ShakkottaiS04, author = {Sanjay Shakkottai and R. Srikant}, title = {Mean {FDE} Models for Internet Congestion Control Under a Many-Flows Regime}, journal = {{IEEE} Trans. Inf. Theory}, volume = {50}, number = {6}, pages = {1050--1072}, year = {2004}, url = {https://doi.org/10.1109/TIT.2004.828063}, doi = {10.1109/TIT.2004.828063}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tit/ShakkottaiS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/AnsoteguiVDFM04, author = {Carlos Ans{\'{o}}tegui and Alvaro del Val and Iv{\'{a}}n Dot{\'{u}} and C{\`{e}}sar Fern{\'{a}}ndez and Felip Many{\`{a}}}, editor = {Deborah L. McGuinness and George Ferguson}, title = {Modeling Choices in Quasigroup Completion: {SAT} vs. {CSP}}, booktitle = {Proceedings of the Nineteenth National Conference on Artificial Intelligence, Sixteenth Conference on Innovative Applications of Artificial Intelligence, July 25-29, 2004, San Jose, California, {USA}}, pages = {137--142}, publisher = {{AAAI} Press / The {MIT} Press}, year = {2004}, url = {http://www.aaai.org/Library/AAAI/2004/aaai04-022.php}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/AnsoteguiVDFM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/FengRL04, author = {Yu Feng and Heather J. Ruskin and Yongle Liu}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Multiple Parameterisation of Human Immune Response in {HIV:} Many-Cell Models}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3045}, pages = {498--507}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24767-8\_52}, doi = {10.1007/978-3-540-24767-8\_52}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/FengRL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/NogamiNUF04, author = {Ryo Nogami and Hiroshi Noborio and Fumiaki Ujibe and Hiroki Fujii}, title = {Precise Deformation of Rheologic Object under {MSD} Models with many Voxels and Calibrating Parameters}, booktitle = {Proceedings of the 2004 {IEEE} International Conference on Robotics and Automation, {ICRA} 2004, April 26 - May 1, 2004, New Orleans, LA, {USA}}, pages = {1919--1926}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ROBOT.2004.1308104}, doi = {10.1109/ROBOT.2004.1308104}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/NogamiNUF04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifm/VilasARGD04, author = {Ana Fern{\'{a}}ndez Vilas and Jos{\'{e}} Juan Pazos{-}Arias and Rebeca P. D{\'{\i}}az Redondo and Alberto Gil{-}Solla and Jorge Garc{\'{\i}}a Duque}, editor = {Eerke A. Boiten and John Derrick and Graeme Smith}, title = {A Many-Valued Logic with Imperative Semantics for Incremental Specification of Timed Models}, booktitle = {Integrated Formal Methods, 4th International Conference, {IFM} 2004, Canterbury, UK, April 4-7, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2999}, pages = {382--401}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24756-2\_21}, doi = {10.1007/978-3-540-24756-2\_21}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifm/VilasARGD04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/FukumotoTS04, author = {Taro Fukumoto and Takehisa Takahashi and Hajime Sawamura}, editor = {Mircea Gh. Negoita and Robert J. Howlett and Lakhmi C. Jain}, title = {Implementing EGAP-Based Many-Valued Argument Model for Uncertain Knowledge}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 8th International Conference, {KES} 2004, Wellington, New Zealand, September 20-25, 2004. Proceedings. Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3215}, pages = {1--7}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30134-9\_1}, doi = {10.1007/978-3-540-30134-9\_1}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/FukumotoTS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/RaunerB03, author = {Marion S. Rauner and Nikolaus Bajmoczy}, title = {How many AEDs in which region? An economic decision model for the Austrian Red Cross}, journal = {Eur. J. Oper. Res.}, volume = {150}, number = {1}, pages = {3--18}, year = {2003}, url = {https://doi.org/10.1016/S0377-2217(02)00777-4}, doi = {10.1016/S0377-2217(02)00777-4}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eor/RaunerB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcns/KalluriD03, author = {Sridhar Kalluri and Bertrand Delgutte}, title = {Mathematical Models of Cochlear Nucleus Onset Neurons: I. Point Neuron with Many Weak Synaptic Inputs}, journal = {J. Comput. Neurosci.}, volume = {14}, number = {1}, pages = {71--90}, year = {2003}, url = {https://doi.org/10.1023/A:1021128418615}, doi = {10.1023/A:1021128418615}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcns/KalluriD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jot/Thomas03, author = {Dave Thomas}, title = {{UML} - Unified or Universal Modeling Language? UML2, OCL, MOF, {EDOC} - The Emperor Has Too Many Clothes}, journal = {J. Object Technol.}, volume = {2}, number = {1}, pages = {7--12}, year = {2003}, url = {https://doi.org/10.5381/jot.2003.2.1.c1}, doi = {10.5381/JOT.2003.2.1.C1}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jot/Thomas03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/AbdullaJ03, author = {Parosh Aziz Abdulla and Bengt Jonsson}, title = {Model checking of systems with many identical timed processes}, journal = {Theor. Comput. Sci.}, volume = {290}, number = {1}, pages = {241--264}, year = {2003}, url = {https://doi.org/10.1016/S0304-3975(01)00330-9}, doi = {10.1016/S0304-3975(01)00330-9}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/AbdullaJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fse/Gilbert03, author = {Henri Gilbert}, editor = {Thomas Johansson}, title = {The Security of "One-Block-to-Many" Modes of Operation}, booktitle = {Fast Software Encryption, 10th International Workshop, {FSE} 2003, Lund, Sweden, February 24-26, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2887}, pages = {376--395}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39887-5\_27}, doi = {10.1007/978-3-540-39887-5\_27}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/fse/Gilbert03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LinWFC03, author = {Yu Lin and Haitao Wu and Rui Fan and Shiduan Cheng}, title = {Modeling multiple {TCP} connections established between a busy server and many receivers}, booktitle = {Proceedings of the Global Telecommunications Conference, 2003. {GLOBECOM} '03, San Francisco, CA, USA, 1-5 December 2003}, pages = {3668--3672}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/GLOCOM.2003.1258918}, doi = {10.1109/GLOCOM.2003.1258918}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/LinWFC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/Kienappel03, author = {Anne K. Kienappel}, title = {Learning intra-speaker model parameter correlations from many short speaker segments}, booktitle = {8th European Conference on Speech Communication and Technology, {EUROSPEECH} 2003 - {INTERSPEECH} 2003, Geneva, Switzerland, September 1-4, 2003}, pages = {1473--1476}, publisher = {{ISCA}}, year = {2003}, url = {https://doi.org/10.21437/Eurospeech.2003-429}, doi = {10.21437/EUROSPEECH.2003-429}, timestamp = {Thu, 22 Jun 2023 16:42:17 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/Kienappel03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tomacs/MandjesR02, author = {Michel Mandjes and Ad Ridder}, title = {A large deviations analysis of the transient of a queue with many Markov fluid inputs: approximations and fast simulation}, journal = {{ACM} Trans. Model. Comput. Simul.}, volume = {12}, number = {1}, pages = {1--26}, year = {2002}, url = {https://doi.org/10.1145/511442.511443}, doi = {10.1145/511442.511443}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tomacs/MandjesR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adbis/FeyerT02, author = {Thomas Feyer and Bernhard Thalheim}, editor = {Yannis Manolopoulos and Pavol N{\'{a}}vrat}, title = {Many-Dimensional Schema Modeling}, booktitle = {Advances in Databases and Information Systems, 6th East European Conference, {ADBIS} 2002, Bratislava, Slovakia, September 8-11, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2435}, pages = {305--318}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45710-0\_24}, doi = {10.1007/3-540-45710-0\_24}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/adbis/FeyerT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cadui/PaternoS02, author = {Fabio Patern{\`{o}} and Carmen Santoro}, editor = {Christophe Kolski and Jean Vanderdonckt}, title = {One Model, Many Interfaces}, booktitle = {Computer-Aided Design of User Interfaces III, Proceedings of the Fourth International Conference on Computer-Aided Design of User Interfaces, May, 15-17, 2002, Valenciennes, France}, pages = {143--154}, publisher = {Kluwer}, year = {2002}, timestamp = {Thu, 23 Jun 2016 15:53:27 +0200}, biburl = {https://dblp.org/rec/conf/cadui/PaternoS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/RobertsonC02, author = {Duncan P. Robertson and Roberto Cipolla}, editor = {Anders Heyden and Gunnar Sparr and Mads Nielsen and Peter Johansen}, title = {Building Architectural Models from Many Views Using Map Constraints}, booktitle = {Computer Vision - {ECCV} 2002, 7th European Conference on Computer Vision, Copenhagen, Denmark, May 28-31, 2002, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2351}, pages = {155--169}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-47967-8\_11}, doi = {10.1007/3-540-47967-8\_11}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/eccv/RobertsonC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/FariasM02, author = {Oscar Luiz Monteiro de Farias and Sueli Bandeira Teixeira Mendes}, editor = {Tim Hendtlass and Moonis Ali}, title = {A Unified Approach for Spatial Object Modelling and Map Analysis Based on 2nd Order Many-Sorted Language}, booktitle = {Developments in Applied Artificial Intelligence, 15th International Conference on Industrial and Engineering, Applications of Artificial Intelligence and Expert Systems, {IEA/AIE} 2002, Cairns, Australia, June 17-20, 2002, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2358}, pages = {638--648}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-48035-8\_62}, doi = {10.1007/3-540-48035-8\_62}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/FariasM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/EnokiN02, author = {Ryo Enoki and Hiroshi Noborio}, title = {A comparative study of many randomized algorithms to calibrate virtual contact and friction force models}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, Lausanne, Switzerland, September 30 - October 4, 2002}, pages = {3042--3047}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/IRDS.2002.1041735}, doi = {10.1109/IRDS.2002.1041735}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/EnokiN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ita/Jacobs01, author = {Bart Jacobs}, title = {Many-Sorted Coalgebraic Modal Logic: a Model-theoretic Study}, journal = {{RAIRO} Theor. Informatics Appl.}, volume = {35}, number = {1}, pages = {31--59}, year = {2001}, url = {https://doi.org/10.1051/ita:2001108}, doi = {10.1051/ITA:2001108}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ita/Jacobs01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/GeorghiadesBK01, author = {Athinodoros S. Georghiades and Peter N. Belhumeur and David J. Kriegman}, title = {From Few to Many: Illumination Cone Models for Face Recognition under Variable Lighting and Pose}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {23}, number = {6}, pages = {643--660}, year = {2001}, url = {https://doi.org/10.1109/34.927464}, doi = {10.1109/34.927464}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/GeorghiadesBK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamam/Stevens01, author = {Angela Stevens}, title = {Erratum: The Derivation of Chemotaxis Equations as Limit Dynamics of Moderately Interacting Stochastic Many-Particle Systems}, journal = {{SIAM} J. Appl. Math.}, volume = {61}, number = {6}, pages = {2200--2200}, year = {2001}, url = {https://doi.org/10.1137/S0036139900377494}, doi = {10.1137/S0036139900377494}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamam/Stevens01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dmdw/SongRME01, author = {Il{-}Yeol Song and William Rowen and Carl Medsker and Edward F. Ewen}, editor = {Dimitri Theodoratos and Joachim Hammer and Manfred A. Jeusfeld and Martin Staudt}, title = {An Analysis of Many-to-Many Relationships Between Fact and Dimension Tables in Dimensional Modeling}, booktitle = {Proceedings of the 3rd Intl. Workshop on Design and Management of Data Warehouses, DMDW'2001, Interlaken, Switzerland, June 4, 2001}, series = {{CEUR} Workshop Proceedings}, volume = {39}, pages = {6}, publisher = {CEUR-WS.org}, year = {2001}, url = {https://ceur-ws.org/Vol-39/paper6.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:01 +0100}, biburl = {https://dblp.org/rec/conf/dmdw/SongRME01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/GarettoCMM01, author = {Michele Garetto and Renato Lo Cigno and Michela Meo and Marco Ajmone Marsan}, title = {A Detailed and Accurate Closed Queueing Network Model of Many Interacting {TCP} Flows}, booktitle = {Proceedings {IEEE} {INFOCOM} 2001, The Conference on Computer Communications, Twentieth Annual Joint Conference of the {IEEE} Computer and Communications Societies, Twenty years into the communications odyssey, Anchorage, Alaska, USA, April 22-26, 2001}, pages = {1706--1715}, publisher = {{IEEE} Comptuer Society}, year = {2001}, url = {https://doi.org/10.1109/INFCOM.2001.916668}, doi = {10.1109/INFCOM.2001.916668}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/GarettoCMM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/Goseva-PopstojanovaMT01, author = {Katerina Goseva{-}Popstojanova and Aditya P. Mathur and Kishor S. Trivedi}, title = {Many architecture-based software reliability modelsComparison of Architecture-Based Software Reliability Models}, booktitle = {12th International Symposium on Software Reliability Engineering {(ISSRE} 2001), 27-30 November 2001, Hong Kong, China}, pages = {22--33}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ISSRE.2001.989455}, doi = {10.1109/ISSRE.2001.989455}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/Goseva-PopstojanovaMT01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/jvm/Midkiff01, author = {Samuel P. Midkiff}, editor = {Saul Wold}, title = {A Java Compiler for Many Memory Models}, booktitle = {Proceedings of the 1st Java Virtual Machine Research and Technology Symposium, April 23-24, 2001, Monterey, CA, {USA}}, publisher = {{USENIX}}, year = {2001}, url = {http://www.usenix.org/publications/library/proceedings/jvm01/JVM\_wips/S11.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/jvm/Midkiff01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/igpl/Nies00, author = {Andr{\'{e}} Nies}, title = {Model theory of the computably enumerable many-one degrees}, journal = {Log. J. {IGPL}}, volume = {8}, number = {5}, pages = {701--706}, year = {2000}, url = {https://doi.org/10.1093/jigpal/8.5.701}, doi = {10.1093/JIGPAL/8.5.701}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/igpl/Nies00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/Mcgrail00, author = {Tracey Baldwin McGrail}, title = {The Model Theory of Differential Fields with Finitely Many Commuting Derivations}, journal = {J. Symb. Log.}, volume = {65}, number = {2}, pages = {885--913}, year = {2000}, url = {https://doi.org/10.2307/2586576}, doi = {10.2307/2586576}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/Mcgrail00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamam/Stevens00a, author = {Angela Stevens}, title = {The Derivation of Chemotaxis Equations as Limit Dynamics of Moderately Interacting Stochastic Many-Particle Systems}, journal = {{SIAM} J. Appl. Math.}, volume = {61}, number = {1}, pages = {183--212}, year = {2000}, url = {https://doi.org/10.1137/S0036139998342065}, doi = {10.1137/S0036139998342065}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamam/Stevens00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cade/EmersonK00, author = {E. Allen Emerson and Vineet Kahlon}, editor = {David A. McAllester}, title = {Reducing Model Checking of the Many to the Few}, booktitle = {Automated Deduction - CADE-17, 17th International Conference on Automated Deduction, Pittsburgh, PA, USA, June 17-20, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1831}, pages = {236--254}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/10721959\_19}, doi = {10.1007/10721959\_19}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/cade/EmersonK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgr/GeorghiadesBK00, author = {Athinodoros S. Georghiades and Peter N. Belhumeur and David J. Kriegman}, title = {From Few to Many: Generative Models for Recognition Under Variable Pose and Illumination}, booktitle = {4th {IEEE} International Conference on Automatic Face and Gesture Recognition {(FG} 2000), 26-30 March 2000, Grenoble, France}, pages = {277--284}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/AFGR.2000.840647}, doi = {10.1109/AFGR.2000.840647}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgr/GeorghiadesBK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aiedam/BaraiR99, author = {S. V. Barai and Yoram Reich}, title = {Ensemble modelling or selecting the best model: Many could be better than one}, journal = {Artif. Intell. Eng. Des. Anal. Manuf.}, volume = {13}, number = {5}, pages = {377--386}, year = {1999}, url = {http://journals.cambridge.org/action/displayAbstract?aid=38733}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aiedam/BaraiR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/CignoG99, author = {Renato Lo Cigno and Mario Gerla}, title = {Modeling Window Based Congestion Control Protocols with Many Flows}, journal = {Perform. Evaluation}, volume = {36-37}, number = {1-4}, pages = {289--306}, year = {1999}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pe/CignoG99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/MotegiK99, author = {Manabu Motegi and Yukio Kosugi}, title = {Self-organizing elastic networks for generating a 3D model for many images}, journal = {Syst. Comput. Jpn.}, volume = {30}, number = {12}, pages = {106--115}, year = {1999}, url = {https://doi.org/10.1002/(SICI)1520-684X(19991115)30:12\<106::AID-SCJ11\>3.0.CO;2-6}, doi = {10.1002/(SICI)1520-684X(19991115)30:12\<106::AID-SCJ11\>3.0.CO;2-6}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/MotegiK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/KawamuraOH99, author = {Masaki Kawamura and Masato Okada and Yuzo Hirai}, title = {Dynamics of selective recall in an associative memory model with one-to-many associations}, journal = {{IEEE} Trans. Neural Networks}, volume = {10}, number = {3}, pages = {704--713}, year = {1999}, url = {https://doi.org/10.1109/72.761729}, doi = {10.1109/72.761729}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/KawamuraOH99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cade/KonradW99, author = {Karsten Konrad and David A. Wolfram}, editor = {Harald Ganzinger}, title = {System Description: Kimba, {A} Model Generator for Many-Valued First-Order Logics}, booktitle = {Automated Deduction - CADE-16, 16th International Conference on Automated Deduction, Trento, Italy, July 7-10, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1632}, pages = {282--286}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-48660-7\_24}, doi = {10.1007/3-540-48660-7\_24}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cade/KonradW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsvis/Forbrig99, author = {Peter Forbrig}, editor = {David J. Duke and Angel R. Puerta}, title = {Task and Object-Oriented Development of Interactive Systems - How many models are necessary?}, booktitle = {Design, Specification and Verification of Interactive Systems'99, Proceedings of the Eurographics Workshop in Braga, Portugal, June 2-4, 1999}, pages = {225--237}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/978-3-7091-6815-8\_15}, doi = {10.1007/978-3-7091-6815-8\_15}, timestamp = {Fri, 21 Jun 2019 13:08:59 +0200}, biburl = {https://dblp.org/rec/conf/dsvis/Forbrig99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusflat/NiittymakiT99, author = {Jarkko Niittym{\"{a}}ki and Esko Turunen}, editor = {Gaspar Mayor and Jaume Su{\~{n}}er}, title = {Many-valued similarity modelling traffic signal control}, booktitle = {Proceedings of the {EUSFLAT-ESTYLF} Joint Conference, Palma de Mallorca, Spain, September 22-25, 1999}, pages = {67--70}, publisher = {Universitat de les Illes Balears, Palma de Mallorca, Spain}, year = {1999}, url = {http://www.eusflat.org/proceedings/EUSFLAT-ESTYLF\_1999/papers/067-turunen.pdf}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusflat/NiittymakiT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/AddieNZ99, author = {Ronald G. Addie and Timothy D. Neame and Moshe Zukerman}, title = {Modeling superposition of many sources generating self similar traffic}, booktitle = {1999 {IEEE} International Conference on Communications: Global Convergence Through Communications, {ICC} 1999, Vancouver, BC, Canada, June 18-22, 1999}, pages = {387--391}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/ICC.1999.767969}, doi = {10.1109/ICC.1999.767969}, timestamp = {Mon, 23 Nov 2020 08:36:56 +0100}, biburl = {https://dblp.org/rec/conf/icc/AddieNZ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/FraleyR98, author = {Chris Fraley and Adrian E. Raftery}, title = {How Many Clusters? Which Clustering Method? Answers Via Model-Based Cluster Analysis}, journal = {Comput. J.}, volume = {41}, number = {8}, pages = {578--588}, year = {1998}, url = {https://doi.org/10.1093/comjnl/41.8.578}, doi = {10.1093/COMJNL/41.8.578}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/FraleyR98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/Lin98, author = {Feng{-}Tyan Lin}, title = {Many Sorted Algebraic Data Models for {GIS}}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {12}, number = {8}, pages = {765--788}, year = {1998}, url = {https://doi.org/10.1080/136588198241491}, doi = {10.1080/136588198241491}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gis/Lin98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/ChienHP98, author = {Y. P. Chien and Anand V. Hudli and Mathew Palakal}, title = {Using Many-Sorted Logic in the Object-Oriented Data Model for Fast Robot Task Planning}, journal = {J. Intell. Robotic Syst.}, volume = {23}, number = {1}, pages = {1--25}, year = {1998}, url = {https://doi.org/10.1023/A:1008021418835}, doi = {10.1023/A:1008021418835}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/ChienHP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/TorrFZ98, author = {Philip H. S. Torr and Andrew W. Fitzgibbon and Andrew Zisserman}, title = {Maintaining Multiple Motion Model Hypotheses Through Many Views to Recover Matching and Structure}, booktitle = {Proceedings of the Sixth International Conference on Computer Vision (ICCV-98), Bombay, India, January 4-7, 1998}, pages = {485--491}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICCV.1998.710762}, doi = {10.1109/ICCV.1998.710762}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/TorrFZ98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/TianS97, author = {Yajie Tian and Nobuo Sannomiya}, title = {Simulation and analysis of the behaviour of a fish school with many individuals by using an aggregated model}, journal = {Int. J. Syst. Sci.}, volume = {28}, number = {4}, pages = {357--364}, year = {1997}, url = {https://doi.org/10.1080/00207729708929395}, doi = {10.1080/00207729708929395}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/TianS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/Hjorth96a, author = {Greg Hjorth}, title = {On aleph\({}_{\mbox{1}}\) Many Minimal Models}, journal = {J. Symb. Log.}, volume = {61}, number = {3}, pages = {906--919}, year = {1996}, url = {https://doi.org/10.2307/2275791}, doi = {10.2307/2275791}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/Hjorth96a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/CummingsS95, author = {James Cummings and Saharon Shelah}, title = {A Model in Which Every Boolean Algebra Has Many Subalgebras}, journal = {J. Symb. Log.}, volume = {60}, number = {3}, pages = {992--1004}, year = {1995}, url = {https://doi.org/10.2307/2275769}, doi = {10.2307/2275769}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/CummingsS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewspt/ConradiL95, author = {Reidar Conradi and Chunnian Liu}, editor = {Wilhelm Sch{\"{a}}fer}, title = {Process Modelling Languages: One or Many?}, booktitle = {Software Process Technology, 4th European Workshop, {EWSPT} '95, Noordwijkerhout, The Netherlands, April 3-5, 1995, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {913}, pages = {98--118}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/3-540-59205-9\_47}, doi = {10.1007/3-540-59205-9\_47}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/ewspt/ConradiL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siguccs/LarsenRH95, author = {Lida L. Larsen and MaryJac M. Reed and Ken Han}, editor = {John E. Bucher}, title = {Managing information on-line: there are so many players you can't find the game boards or models for campus-wide information systems}, booktitle = {Proceedings of the 23rd Annual {ACM} {SIGUCCS} Conference on User Services: Winning the Networking Game, October 15-18, 1995, St. Louis, Missouri, {USA}}, pages = {19--24}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/219894.222992}, doi = {10.1145/219894.222992}, timestamp = {Tue, 06 Nov 2018 16:58:11 +0100}, biburl = {https://dblp.org/rec/conf/siguccs/LarsenRH95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlq/Burris94, author = {Stanley Burris}, title = {Model Companions with Finitely Many Countable Models}, journal = {Math. Log. Q.}, volume = {40}, pages = {141--142}, year = {1994}, url = {https://doi.org/10.1002/malq.19940400119}, doi = {10.1002/MALQ.19940400119}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlq/Burris94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/simulation/BettonvilK94, author = {Bert Bettonvil and Jack P. C. Kleijnen}, title = {{IMAGE} - Modeling the Greenhouse Effect: Identifying the Important Factors in Simulation Models with Many Factors {(FEW} 498)}, journal = {Simul.}, volume = {63}, number = {4}, pages = {275--276}, year = {1994}, url = {https://doi.org/10.1177/003754979406300407}, doi = {10.1177/003754979406300407}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/simulation/BettonvilK94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/TakaraMH94, author = {Tomio Takara and Naoto Matayoshi and Kazuya Higa}, title = {Connected spoken word recognition using a many-state Markov model}, booktitle = {The 3rd International Conference on Spoken Language Processing, {ICSLP} 1994, Yokohama, Japan, September 18-22, 1994}, pages = {235--238}, publisher = {{ISCA}}, year = {1994}, url = {https://doi.org/10.21437/ICSLP.1994-62}, doi = {10.21437/ICSLP.1994-62}, timestamp = {Wed, 21 Jun 2023 17:18:06 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/TakaraMH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apal/Steel93, author = {John R. Steel}, title = {Inner Models with Many Woodin Cardinals}, journal = {Ann. Pure Appl. Logic}, volume = {65}, number = {2}, pages = {185--209}, year = {1993}, url = {https://doi.org/10.1016/0168-0072(93)90037-E}, doi = {10.1016/0168-0072(93)90037-E}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apal/Steel93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/transci/Campbell93, author = {James F. Campbell}, title = {One-to-Many Distribution with Transshipments: An Analytic Model}, journal = {Transp. Sci.}, volume = {27}, number = {4}, pages = {330--340}, year = {1993}, url = {https://doi.org/10.1287/trsc.27.4.330}, doi = {10.1287/TRSC.27.4.330}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/transci/Campbell93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fuin/Fitting92, author = {Melvin Fitting}, title = {Many-Valued Model Logics {II}}, journal = {Fundam. Informaticae}, volume = {17}, number = {1-2}, pages = {55--73}, year = {1992}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fuin/Fitting92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/Anderson92, author = {Richard I. Anderson}, editor = {Dennis R. Wixon}, title = {Modeling system evolution: a means of cutting through obstacles to desirable change to large business (information) systems, their many computer-human interfaces, and user/operator responsibilities}, booktitle = {Posters and Short Talks of the 1992 {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} 1992, Monterey, California, USA, May 3-7, 1992}, pages = {54}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/1125021.1125072}, doi = {10.1145/1125021.1125072}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/Anderson92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acm/Temte90, author = {Mark Temte}, editor = {Arun Sood}, title = {A Framework for Accessing Data in Models with Many Discrete States (Abstract)}, booktitle = {Proceedings of the {ACM} 18th Annual Computer Science Conference on Cooperation, {CSC} '90, Sheraton Washington Hotel, Washington, DC, USA, February 20-22, 1990}, pages = {416}, publisher = {{ACM}}, year = {1990}, url = {https://doi.org/10.1145/100348.102724}, doi = {10.1145/100348.102724}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acm/Temte90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/SchererG90, author = {Ronald C. Scherer and Chwen{-}geng Guo}, title = {Laryngeal modeling: translaryngeal pressure for a model with many glottal shapes}, booktitle = {The First International Conference on Spoken Language Processing, {ICSLP} 1990, Kobe, Japan, November 18-22, 1990}, pages = {57--60}, publisher = {{ISCA}}, year = {1990}, url = {https://doi.org/10.21437/ICSLP.1990-15}, doi = {10.21437/ICSLP.1990-15}, timestamp = {Wed, 21 Jun 2023 17:18:07 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/SchererG90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/Hofer-AlfeisM88, author = {Josef Hofer{-}Alfeis and Gerd Maderlechner}, title = {Automated Conversion of Mechanical Engineering Drawings to {CAD} Models: Too many Problems?}, booktitle = {Proceedings of {IAPR} Workshop on Computer Vision - Special Hardware and Industrial Applications, {MVA} 1988, Tokyo, Japan, October 12-14, 1988}, pages = {206--209}, year = {1988}, url = {http://www.mva-org.jp/Proceedings/CommemorativeDVD/1988/papers/1988206.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/Hofer-AlfeisM88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/Henriksen88, author = {James O. Henriksen}, editor = {Michael A. Abrams and Peter L. Haigh and John Craig Comfort}, title = {On system, several perspectives, many models}, booktitle = {Proceedings of the 20th conference on Winter simulation, {WSC} 1988, San Diego, California, USA, December 12-14, 1988}, pages = {352--356}, publisher = {{ACM}}, year = {1988}, url = {https://doi.org/10.1145/318123.318213}, doi = {10.1145/318123.318213}, timestamp = {Thu, 10 Jun 2021 22:21:21 +0200}, biburl = {https://dblp.org/rec/conf/wsc/Henriksen88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/apal/Shelah87b, author = {Saharon Shelah}, title = {Existence of many L\({}_{\mbox{{\(\infty\)}, {\(\lambda\)}}}\)-equivalent, non- isomorphic models of {T} of power {\(\lambda\)}}, journal = {Ann. Pure Appl. Log.}, volume = {34}, number = {3}, pages = {291--310}, year = {1987}, url = {https://doi.org/10.1016/0168-0072(87)90005-4}, doi = {10.1016/0168-0072(87)90005-4}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/apal/Shelah87b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/ChowCHKW87, author = {Fred C. Chow and Steven Correll and Mark I. Himelstein and Earl Killian and Larry Weber}, editor = {Randy H. Katz and Martin Freeman}, title = {How Many Addressing Modes are Enough?}, booktitle = {Proceedings of the Second International Conference on Architectural Support for Programming Languages and Operating Systems {(ASPLOS} II), Palo Alto, California, USA, October 5-8, 1987}, pages = {117--121}, publisher = {{ACM} Press}, year = {1987}, url = {https://doi.org/10.1145/36206.36193}, doi = {10.1145/36206.36193}, timestamp = {Wed, 04 May 2022 13:03:26 +0200}, biburl = {https://dblp.org/rec/conf/asplos/ChowCHKW87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tls/ClarkeG87, author = {Edmund M. Clarke and Orna Grumberg}, editor = {Behnam Banieqbal and Howard Barringer and Amir Pnueli}, title = {The Model Checking Problem for Concurrent Systems with Many Similar Processes}, booktitle = {Temporal Logic in Specification, Altrincham, UK, April 8-10, 1987, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {398}, pages = {188--201}, publisher = {Springer}, year = {1987}, url = {https://doi.org/10.1007/3-540-51803-7\_26}, doi = {10.1007/3-540-51803-7\_26}, timestamp = {Fri, 17 Jul 2020 16:12:48 +0200}, biburl = {https://dblp.org/rec/conf/tls/ClarkeG87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/Thomas86, author = {Simon Thomas}, title = {Theories with Finitely Many Models}, journal = {J. Symb. Log.}, volume = {51}, number = {2}, pages = {374--376}, year = {1986}, url = {https://doi.org/10.1017/S0022481200031236}, doi = {10.1017/S0022481200031236}, timestamp = {Thu, 23 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/Thomas86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlq/Schmerl86, author = {James H. Schmerl}, title = {Theories Having Finitely Many Countable Homogeneous Models}, journal = {Math. Log. Q.}, volume = {32}, number = {7-9}, pages = {131}, year = {1986}, url = {https://doi.org/10.1002/malq.19860320705}, doi = {10.1002/MALQ.19860320705}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlq/Schmerl86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/Doberkat83, author = {Ernst{-}Erich Doberkat}, title = {Continuous models that are equivalent to randomness for the analysis of many sorting algorithms}, journal = {Computing}, volume = {31}, number = {1}, pages = {11--31}, year = {1983}, url = {https://doi.org/10.1007/BF02247934}, doi = {10.1007/BF02247934}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/Doberkat83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/Blass81a, author = {Andreas Blass}, title = {The Model of Set Theory Generated by Countably Many Generic Reals}, journal = {J. Symb. Log.}, volume = {46}, number = {4}, pages = {732--752}, year = {1981}, url = {https://doi.org/10.2307/2273223}, doi = {10.2307/2273223}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/Blass81a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/AndrekaGN80, author = {Hajnal Andr{\'{e}}ka and Tam{\'{a}}s Gergely and Istv{\'{a}}n N{\'{e}}meti}, editor = {Bernard Vauquois}, title = {Model Theoretic Semantics For Many-Purpose Languages And Language Hierarchies}, booktitle = {Proceedings of the 8th International Conference on Computational Linguistics, {COLING} '80, Tokyo, Japan, September 30 - October 4, 1980}, pages = {213--219}, year = {1980}, url = {https://aclanthology.org/C80-1031/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/AndrekaGN80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlq/Cutland77, author = {Nigel J. Cutland}, title = {Some Theories Having Countably Many Countable Models}, journal = {Math. Log. Q.}, volume = {23}, number = {7-12}, pages = {105--110}, year = {1977}, url = {https://doi.org/10.1002/malq.19770230703}, doi = {10.1002/MALQ.19770230703}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlq/Cutland77.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsyml/Malitz75, author = {Jerome I. Malitz}, title = {Complete Theories with Countably many Rigid Nonisomorphic Models}, journal = {J. Symb. Log.}, volume = {40}, number = {3}, pages = {389--392}, year = {1975}, url = {https://doi.org/10.2307/2272161}, doi = {10.2307/2272161}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsyml/Malitz75.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip7/El-Fattah75, author = {Y. M. El{-}Fattah}, editor = {Jean Cea}, title = {A Model of Many Goal- Oriented Stochastic Automata with Application on a Marketing Problem}, booktitle = {Optimization Techniques: Modeling and Optimization in the Service of Man, Part 1 - Proceedings, 7th {IFIP} Conference, Nice, France, September 8-12, 1975}, series = {Lecture Notes in Computer Science}, volume = {40}, pages = {570--588}, publisher = {Springer}, year = {1975}, url = {https://doi.org/10.1007/3-540-07622-0\_495}, doi = {10.1007/3-540-07622-0\_495}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/ifip7/El-Fattah75.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/relsoft/TrivediS75, author = {Ashok K. Trivedi and Martin L. Shooman}, editor = {Martin L. Shooman and Raymond T. Yeh}, title = {A many-state Markov model for the estimation and prediction of computer software performance parameters}, booktitle = {Proceedings of the International Conference on Reliable Software 1975, Los Angeles, California, USA, April 21-23, 1975}, pages = {208--220}, publisher = {{ACM}}, year = {1975}, url = {https://doi.org/10.1145/800027.808442}, doi = {10.1145/800027.808442}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/relsoft/TrivediS75.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tinlap/Clippinger75, author = {John H. Clippinger Jr.}, editor = {Bonnie Nash{-}Webber and Roger C. Schank}, title = {Speaking with Manytongues: Some Problems in Modeling Speakers of Actual Discourse}, booktitle = {Theoretical Issues in Natural Language Processing, {TINLAP} 1975, Cambridge, MA, USA, June 10-13, 1975}, pages = {68--73}, year = {1975}, url = {https://aclanthology.org/T75-2015/}, doi = {10.3115/980190.980214}, timestamp = {Tue, 22 Mar 2022 09:38:54 +0100}, biburl = {https://dblp.org/rec/conf/tinlap/Clippinger75.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/AdiriA69, author = {Igal Adiri and Benjamin Avi{-}Itzhak}, title = {A Time-Sharing Model with Many Queues}, journal = {Oper. Res.}, volume = {17}, number = {6}, pages = {1077--1089}, year = {1969}, url = {https://doi.org/10.1287/opre.17.6.1077}, doi = {10.1287/OPRE.17.6.1077}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/AdiriA69.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ior/Helmbold68, author = {Robert L. Helmbold}, title = {Solution of a General, Nonadaptive, Many-vs-Many Duel Model}, journal = {Oper. Res.}, volume = {16}, number = {3}, pages = {518--524}, year = {1968}, url = {https://doi.org/10.1287/opre.16.3.518}, doi = {10.1287/OPRE.16.3.518}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ior/Helmbold68.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.