default search action
Search dblp for Publications
export results for "in-network processing"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/csi/RosaBilbaoBLV25, author = {Jes{\'{u}}s Rosa{-}Bilbao and Juan Boubeta{-}Puig and Jes{\'{u}}s Lagares{-}Gal{\'{a}}n and Mark Vella}, title = {Leveraging complex event processing for monitoring and automatically detecting anomalies in Ethereum-based blockchain networks}, journal = {Comput. Stand. Interfaces}, volume = {91}, pages = {103882}, year = {2025}, url = {https://doi.org/10.1016/j.csi.2024.103882}, doi = {10.1016/J.CSI.2024.103882}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csi/RosaBilbaoBLV25.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/Petrovic24, author = {Predrag Petrovic}, title = {Processing, Estimation and Measurement of Signals Parameters in Public Distribution Networks}, volume = {502}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-43107-4}, doi = {10.1007/978-3-031-43107-4}, isbn = {978-3-031-43106-7}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/Petrovic24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/HorowitzKrausMHFRH24, author = {Tzipi Horowitz{-}Kraus and Raya Meri and Scott K. Holland and Rola Farah and Tamara Rohana and Narmeen Haj}, title = {Language First, Cognition Later: Different Trajectories of Subcomponents of the Future-Reading Network in Processing Narratives from Kindergarten to Adolescence}, journal = {Brain Connect.}, volume = {14}, number = {1}, pages = {60--69}, year = {2024}, url = {https://doi.org/10.1089/brain.2023.0012}, doi = {10.1089/BRAIN.2023.0012}, timestamp = {Fri, 29 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/brain/HorowitzKrausMHFRH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/DengYY24, author = {Tao Deng and Zhanwei Yu and Di Yuan}, title = {Task offloading optimization in mobile edge computing under uncertain processing cycles and intermittent communications}, journal = {Comput. Networks}, volume = {245}, pages = {110359}, year = {2024}, url = {https://doi.org/10.1016/j.comnet.2024.110359}, doi = {10.1016/J.COMNET.2024.110359}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/DengYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/GallegoMadridBRSS24, author = {Jorge Gallego{-}Madrid and Irene Bru{-}Santa and Alvaro Ruiz{-}Rodenas and Ramon Sanchez{-}Iborra and Antonio F. Skarmeta}, title = {Machine learning-powered traffic processing in commodity hardware with eBPF}, journal = {Comput. Networks}, volume = {243}, pages = {110295}, year = {2024}, url = {https://doi.org/10.1016/j.comnet.2024.110295}, doi = {10.1016/J.COMNET.2024.110295}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/GallegoMadridBRSS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/HaraS24, author = {Takanori Hara and Masahiro Sasabe}, title = {Practicality of in-kernel/user-space packet processing empowered by lightweight neural network and decision tree}, journal = {Comput. Networks}, volume = {240}, pages = {110188}, year = {2024}, url = {https://doi.org/10.1016/j.comnet.2024.110188}, doi = {10.1016/J.COMNET.2024.110188}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/HaraS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cogsr/DavidKPT24, author = {Florian David and George Kalibala and Blandine Pichon and Jan Treur}, title = {A Network Model for Modulating Sensory Processing Sensitivity in Autism Spectrum Disorder: Epigenetics, Adaptivity, and Other Factors}, journal = {Cogn. Syst. Res.}, volume = {87}, pages = {101240}, year = {2024}, url = {https://doi.org/10.1016/j.cogsys.2024.101240}, doi = {10.1016/J.COGSYS.2024.101240}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cogsr/DavidKPT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computers/KaurAM24, author = {Rupinder Kaur and Arghavan Asad and Farah A. Mohammadi}, title = {A Comprehensive Review of Processing-in-Memory Architectures for Deep Neural Networks}, journal = {Comput.}, volume = {13}, number = {7}, pages = {174}, year = {2024}, url = {https://doi.org/10.3390/computers13070174}, doi = {10.3390/COMPUTERS13070174}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computers/KaurAM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/HuangL24, author = {Yuan{-}Ko Huang and Chien{-}Pang Lee}, title = {Efficient processing of all neighboring object group queries with budget range constraint in road networks}, journal = {Computing}, volume = {106}, number = {5}, pages = {1359--1393}, year = {2024}, url = {https://doi.org/10.1007/s00607-024-01260-7}, doi = {10.1007/S00607-024-01260-7}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/HuangL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ShiLZFQ24, author = {Lei Shi and Zepeng Li and Shuangliang Zhao and Yuqi Fan and Dingjun Qian}, title = {Non-orthogonal multiple access-based task processing and energy optimization in vehicular edge computing networks}, journal = {Concurr. Comput. Pract. Exp.}, volume = {36}, number = {22}, year = {2024}, url = {https://doi.org/10.1002/cpe.8222}, doi = {10.1002/CPE.8222}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/ShiLZFQ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/SiyadM24, author = {B. Mohammed Siyad and Ramasundaram Mohan}, title = {Processing-in-memory based multilateration localization in wireless sensor networks using memristor crossbar arrays}, journal = {Concurr. Comput. Pract. Exp.}, volume = {36}, number = {12}, year = {2024}, url = {https://doi.org/10.1002/cpe.8047}, doi = {10.1002/CPE.8047}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/SiyadM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/VatterMJ24, author = {Jana Vatter and Ruben Mayer and Hans{-}Arno Jacobsen}, title = {The Evolution of Distributed Systems for Graph Neural Networks and Their Origin in Graph Processing and Deep Learning: {A} Survey}, journal = {{ACM} Comput. Surv.}, volume = {56}, number = {1}, pages = {6:1--6:37}, year = {2024}, url = {https://doi.org/10.1145/3597428}, doi = {10.1145/3597428}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/VatterMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/AbutOK24, author = {Serdar Abut and Hayrettin Okut and K. James Kallail}, title = {Paradigm shift from Artificial Neural Networks (ANNs) to deep Convolutional Neural Networks (DCNNs) in the field of medical image processing}, journal = {Expert Syst. Appl.}, volume = {244}, pages = {122983}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122983}, doi = {10.1016/J.ESWA.2023.122983}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/AbutOK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informaticaSI/Hrovatin24, author = {Niki Hrovatin}, title = {Enabling Decentralized Privacy Preserving Data Processing in Sensor Networks}, journal = {Informatica (Slovenia)}, volume = {48}, number = {1}, year = {2024}, url = {https://doi.org/10.31449/inf.v48i1.5739}, doi = {10.31449/INF.V48I1.5739}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informaticaSI/Hrovatin24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/MaWGL24, author = {Mingfang Ma and Zhengming Wang and Songtao Guo and Huimin Lu}, title = {Cloud-Edge Framework for AoI-Efficient Data Processing in Multi-UAV-Assisted Sensor Networks}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {14}, pages = {25251--25267}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3392244}, doi = {10.1109/JIOT.2024.3392244}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/MaWGL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/HelalFBSHKMRKLDXC24, author = {Hatem Helal and Jesun Firoz and Jenna A. Bilbrey and Henry Sprueill and Kristina M. Herman and Mario Michael Krell and Tom Murray and Manuel Lopez Roldan and Mike Kraus and Ang Li and Payel Das and Sotiris S. Xantheas and Sutanay Choudhury}, title = {Acceleration of Graph Neural Network-Based Prediction Models in Chemistry via Co-Design Optimization on Intelligence Processing Units}, journal = {J. Chem. Inf. Model.}, volume = {64}, number = {5}, pages = {1568--1580}, year = {2024}, url = {https://doi.org/10.1021/acs.jcim.3c01312}, doi = {10.1021/ACS.JCIM.3C01312}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/HelalFBSHKMRKLDXC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/KareemullaNPLRA24, author = {N. R. Mohamed Kareemulla and G. S. Nijaguna and Pushpa and N. Dayananda Lal and M. Naga Raju and Zameer Ahmed Adhoni}, title = {A Comprehensive Approach to a Hybrid Blockchain Framework for Multimedia Data Processing and Analysis in IoT-Healthcare}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {15}, number = {2}, pages = {94--108}, year = {2024}, url = {https://doi.org/10.58346/jowua.2024.i2.007}, doi = {10.58346/JOWUA.2024.I2.007}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/KareemullaNPLRA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/RizaYR24, author = {Bob Subhan Riza and Rina Yunita and Rika Rosnelly}, title = {Comparative Analysis of {LSTM} and BiLSTM in Image Detection Processing}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {15}, number = {1}, pages = {244--260}, year = {2024}, url = {https://doi.org/10.58346/jowua.2024.i1.017}, doi = {10.58346/JOWUA.2024.I1.017}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/RizaYR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jr/Robotics24m, title = {Retracted: Application of Image Processing Variation Model Based on Network Control Robot Image Transmission and Processing System in Multimedia Enhancement Technology}, journal = {J. Robotics}, volume = {2024}, pages = {1}, year = {2024}, url = {https://doi.org/10.1155/2024/9795848}, doi = {10.1155/2024/9795848}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jr/Robotics24m.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimRM24, author = {Daehyun Kim and Nael Mizanur Rahman and Saibal Mukhopadhyay}, title = {{PRESTO:} {A} Processing-in-Memory-Based k-SAT Solver Using Recurrent Stochastic Neural Network With Unsupervised Learning}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {7}, pages = {2310--2320}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3352585}, doi = {10.1109/JSSC.2024.3352585}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimRM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pe/WiednerHDAC24, author = {Florian Wiedner and Max Helm and Alexander Daichendt and Jonas Andre and Georg Carle}, title = {Performance evaluation of containers for low-latency packet processing in virtualized network environments}, journal = {Perform. Evaluation}, volume = {166}, pages = {102442}, year = {2024}, url = {https://doi.org/10.1016/j.peva.2024.102442}, doi = {10.1016/J.PEVA.2024.102442}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pe/WiednerHDAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/phycomm/LeiLGWWC24, author = {Binghan Lei and Ning Li and Yan Guo and Zhenhua Wang and Jianyu Wei and Ruizheng Chen}, title = {Rapid data collection and processing in dense urban edge computing networks with drone assistance}, journal = {Phys. Commun.}, volume = {66}, pages = {102462}, year = {2024}, url = {https://doi.org/10.1016/j.phycom.2024.102462}, doi = {10.1016/J.PHYCOM.2024.102462}, timestamp = {Mon, 16 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/phycomm/LeiLGWWC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ValcamonicoBZDCL24, author = {Dario Valcamonico and Piero Baraldi and Enrico Zio and Luca Decarli and Anna Crivellari and Laura Larosa}, title = {Combining natural language processing and bayesian networks for the probabilistic estimation of the severity of process safety events in hydrocarbon production assets}, journal = {Reliab. Eng. Syst. Saf.}, volume = {241}, pages = {109638}, year = {2024}, url = {https://doi.org/10.1016/j.ress.2023.109638}, doi = {10.1016/J.RESS.2023.109638}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ress/ValcamonicoBZDCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/AlcaydeGM24, author = {Alfredo Alcayde and Jorge Ventura Gil and Francisco G. Montoya}, title = {Hypercomplex Techniques in Signal and Image Processing Using Network Graph Theory: Identifying core research directions [Hypercomplex Signal and Image Processing]}, journal = {{IEEE} Signal Process. Mag.}, volume = {41}, number = {2}, pages = {14--28}, year = {2024}, url = {https://doi.org/10.1109/MSP.2024.3365463}, doi = {10.1109/MSP.2024.3365463}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/AlcaydeGM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/Snopinski24, author = {Przemyslaw Snopinski}, title = {Effects of KoBo-Processing and Subsequent Annealing Treatment on Grain Boundary Network and Texture Development in Laser Powder Bed Fusion {(LPBF)} AlSi10Mg Alloy}, journal = {Symmetry}, volume = {16}, number = {1}, pages = {122}, year = {2024}, url = {https://doi.org/10.3390/sym16010122}, doi = {10.3390/SYM16010122}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/Snopinski24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/LiWZLDWZZ24, author = {Yueting Li and Jinkai Wang and Daoqian Zhu and Jinhao Li and Ao Du and Xueyan Wang and Yue Zhang and Weisheng Zhao}, title = {{APIM:} An Antiferromagnetic MRAM-Based Processing-In-Memory System for Efficient Bit-Level Operations of Quantized Convolutional Neural Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {43}, number = {8}, pages = {2405--2410}, year = {2024}, url = {https://doi.org/10.1109/TCAD.2024.3372453}, doi = {10.1109/TCAD.2024.3372453}, timestamp = {Tue, 10 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/LiWZLDWZZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/YouLSZQ24, author = {Heng You and Weijun Li and Delong Shang and Yumei Zhou and Shushan Qiao}, title = {A 1-8b Reconfigurable Digital {SRAM} Compute-in-Memory Macro for Processing Neural Networks}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {71}, number = {4}, pages = {1602--1614}, year = {2024}, url = {https://doi.org/10.1109/TCSI.2024.3355944}, doi = {10.1109/TCSI.2024.3355944}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/YouLSZQ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/YuJMCKK24, author = {Chengshuo Yu and Haoge Jiang and Junjie Mu and Kevin Tshun Chuan Chai and Tony Tae{-}Hyoung Kim and Bongjin Kim}, title = {A Dual 7T SRAM-Based Zero-Skipping Compute- In-Memory Macro With 1-6b Binary Searching ADCs for Processing Quantized Neural Networks}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {71}, number = {8}, pages = {3672--3682}, year = {2024}, url = {https://doi.org/10.1109/TCSI.2024.3411608}, doi = {10.1109/TCSI.2024.3411608}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/YuJMCKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/LiWWLZ24, author = {Shuai Li and Xiangyu Wen and Yan Wen and Lisheng Li and Shidong Zhang}, title = {Container-Based Cloud-Edge-Device Collaborative Multi-Service Data Processing in Distribution Network}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {70}, number = {1}, pages = {2323--2332}, year = {2024}, url = {https://doi.org/10.1109/TCE.2023.3344514}, doi = {10.1109/TCE.2023.3344514}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/LiWWLZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/ZhouCCZWLK24, author = {Zhengyan Zhou and Hanze Chen and Lingfei Chen and Dong Zhang and Chunming Wu and Xuan Liu and Muhammad Khurram Khan}, title = {NetDP: In-Network Differential Privacy for Large-Scale Data Processing}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {8}, number = {3}, pages = {1076--1089}, year = {2024}, url = {https://doi.org/10.1109/TGCN.2024.3432781}, doi = {10.1109/TGCN.2024.3432781}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgcn/ZhouCCZWLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/BaiWCWL24, author = {Mei Bai and Qibo Wang and Shihan Chang and Xite Wang and Guanyu Li}, title = {Location-based skyline query processing technology in road networks}, journal = {J. Supercomput.}, volume = {80}, number = {3}, pages = {3183--3211}, year = {2024}, url = {https://doi.org/10.1007/s11227-023-05563-y}, doi = {10.1007/S11227-023-05563-Y}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/BaiWCWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/JavadpourWRL24, title = {Retraction Note: Detecting straggler MapReduce tasks in big data processing infrastructure by neural network}, journal = {J. Supercomput.}, volume = {80}, number = {11}, pages = {16708}, year = {2024}, url = {https://doi.org/10.1007/s11227-024-06126-5}, doi = {10.1007/S11227-024-06126-5}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/JavadpourWRL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/QuYYK24, author = {Long Qu and Lingjie Yu and Peng Yu and Maurice J. Khabbaz}, title = {Latency-Sensitive Parallel Multi-Path Service Flow Routing With Segmented {VNF} Processing in NFV-Enabled Networks}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {21}, number = {2}, pages = {2211--2223}, year = {2024}, url = {https://doi.org/10.1109/TNSM.2023.3328644}, doi = {10.1109/TNSM.2023.3328644}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/QuYYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosn/XuQLXXZRX24, author = {Zichuan Xu and Haiyang Qiao and Weifa Liang and Zhou Xu and Qiufen Xia and Pan Zhou and Omer F. Rana and Wenzheng Xu}, title = {Flow-Time Minimization for Timely Data Stream Processing in UAV-Aided Mobile Edge Computing}, journal = {{ACM} Trans. Sens. Networks}, volume = {20}, number = {3}, pages = {58:1--58:28}, year = {2024}, url = {https://doi.org/10.1145/3643813}, doi = {10.1145/3643813}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tosn/XuQLXXZRX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vcomm/MarinoFA24, author = {Angela Gonzalez Mari{\~{n}}o and Francesc Fons and Juan{-}Manuel Moreno Ar{\'{o}}stegui}, title = {Elastic Gateway SoC design: {A} HW-centric architecture for inline In-Vehicle Network processing}, journal = {Veh. Commun.}, volume = {45}, pages = {100721}, year = {2024}, url = {https://doi.org/10.1016/j.vehcom.2023.100721}, doi = {10.1016/J.VEHCOM.2023.100721}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vcomm/MarinoFA24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmturc/MengCCZYDJL24, author = {Hao Meng and Yeling Cai and Jinghan Chen and Danhuai Zhao and Haomiao Yu and Haipeng Dai and Jiaju Jiang and Abin Lv}, title = {The Application of a Novel Domestic Stream Processing Engine Based on Dataflow Network in the {CDN} Domain}, booktitle = {{ACM} Turing Award Celebration Conference 2024, {ACM-TURC} 2024, Changsha, China, July 5-7, 2024}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3674399.3674419}, doi = {10.1145/3674399.3674419}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acmturc/MengCCZYDJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coolchips/KimLUJHKY24, author = {Sangjin Kim and Zhiyong Li and Soyeon Um and Wooyoung Jo and Sangwoo Ha and Sangyeob Kim and Hoi{-}Jun Yoo}, title = {NoPIM: Functional Network-on-Chip Architecture for Scalable High-Density Processing-in-Memory-based Accelerator}, booktitle = {{IEEE} Symposium in Low-Power and High-Speed Chips, {COOL} {CHIPS} 2024, Tokyo, Japan, April 17-19, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/COOLCHIPS61292.2024.10531178}, doi = {10.1109/COOLCHIPS61292.2024.10531178}, timestamp = {Wed, 05 Jun 2024 20:57:58 +0200}, biburl = {https://dblp.org/rec/conf/coolchips/KimLUJHKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cpits/TkachenkoTTKY24, author = {Olha Tkachenko and Kostiantyn Tkachenko and Oleksandr Tkachenko and Roman Kyrychok and Vladyslav Yaskevych}, editor = {Volodymyr Sokolov and Vasyl Ustimenko and Tamara Radivilova and Mariya Nazarkevych}, title = {Neural Networks in the Processing of Natural Language Texts in Information Learning Systems}, booktitle = {Proceedings of the Workshop Cybersecurity Providing in Information and Telecommunication Systems {(CPITS} 2024), Kyiv, Ukraine, February 28, 2024 (online)}, series = {{CEUR} Workshop Proceedings}, volume = {3654}, pages = {73--87}, publisher = {CEUR-WS.org}, year = {2024}, url = {https://ceur-ws.org/Vol-3654/paper7.pdf}, timestamp = {Thu, 11 Apr 2024 17:00:52 +0200}, biburl = {https://dblp.org/rec/conf/cpits/TkachenkoTTKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/HwangJK24, author = {Inseong Hwang and Jihoon Jang and Hyun Kim}, title = {An Architecture-Level Framework for Enabling Processing-Using-Memory Simulations in Deep Neural Networks}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457163}, doi = {10.1109/ICEIC61013.2024.10457163}, timestamp = {Tue, 02 Apr 2024 21:06:16 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/HwangJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JinKC24, author = {Seung{-}Mo Jin and Shin{-}Uk Kang and Min{-}Seong Choo}, title = {Analysis of {ADC} Quantization Effect in Processing-In-Memory Macro in Various Low-Precision Deep Neural Networks}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457226}, doi = {10.1109/ICEIC61013.2024.10457226}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/JinKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/GerlinghoffCGW024, author = {Daniel Gerlinghoff and Benjamin Chen Ming Choong and Rick Siow Mong Goh and Weng{-}Fai Wong and Tao Luo}, editor = {Zhiru Zhang and Andrew Putnam}, title = {Table-Lookup {MAC:} Scalable Processing of Quantised Neural Networks in {FPGA} Soft Logic}, booktitle = {Proceedings of the 2024 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2024, Monterey, CA, USA, March 3-5, 2024}, pages = {235--245}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626202.3637576}, doi = {10.1145/3626202.3637576}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/GerlinghoffCGW024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/LuoKKPMDS24, author = {Lijing Luo and Sergey V. Kovalchuk and Valeria V. Krzhizhanovskaya and Maciej Paszynski and Cl{\'{e}}lia de Mulatier and Jack J. Dongarra and Peter M. A. Sloot}, editor = {Leonardo Franco and Cl{\'{e}}lia de Mulatier and Maciej Paszynski and Valeria V. Krzhizhanovskaya and Jack J. Dongarra and Peter M. A. Sloot}, title = {Trends in Computational Science: Natural Language Processing and Network Analysis of 23 Years of {ICCS} Publications}, booktitle = {Computational Science - {ICCS} 2024 - 24th International Conference, Malaga, Spain, July 2-4, 2024, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14833}, pages = {19--33}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-63751-3\_2}, doi = {10.1007/978-3-031-63751-3\_2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccS/LuoKKPMDS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iip/TanH024, author = {Lidan Tan and Changwu Huang and Xin Yao}, editor = {Zhongzhi Shi and Jim T{\o}rresen and Shengxiang Yang}, title = {A Concept-Based Local Interpretable Model-Agnostic Explanation Approach for Deep Neural Networks in Image Classification}, booktitle = {Intelligent Information Processing {XII} - 13th {IFIP} {TC} 12 International Conference, {IIP} 2024, Shenzhen, China, May 3-6, 2024, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {704}, pages = {119--133}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-57919-6\_9}, doi = {10.1007/978-3-031-57919-6\_9}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iip/TanH024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iip/XueKW24, author = {Shijie Xue and He Kong and Qi Wang}, editor = {Zhongzhi Shi and Jim T{\o}rresen and Shengxiang Yang}, title = {Dual Contrastive Learning for Anomaly Detection in Attributed Networks}, booktitle = {Intelligent Information Processing {XII} - 13th {IFIP} {TC} 12 International Conference, {IIP} 2024, Shenzhen, China, May 3-6, 2024, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {703}, pages = {3--18}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-57808-3\_1}, doi = {10.1007/978-3-031-57808-3\_1}, timestamp = {Thu, 11 Apr 2024 13:54:43 +0200}, biburl = {https://dblp.org/rec/conf/iip/XueKW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iip/ZhangHLCL24, author = {Mingmeng Zhang and Yuanjing Hao and Pengao Lu and Liang Chang and Long Li}, editor = {Zhongzhi Shi and Jim T{\o}rresen and Shengxiang Yang}, title = {Flexible k-anonymity Scheme Suitable for Different Scenarios in Social Networks}, booktitle = {Intelligent Information Processing {XII} - 13th {IFIP} {TC} 12 International Conference, {IIP} 2024, Shenzhen, China, May 3-6, 2024, Proceedings, Part {I}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {703}, pages = {355--366}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-57808-3\_26}, doi = {10.1007/978-3-031-57808-3\_26}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iip/ZhangHLCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/LiuLWLZLLL24, author = {Weisen Liu and Zeqi Lai and Qian Wu and Hewu Li and Qi Zhang and Zonglun Li and Yuanjie Li and Jun Liu}, title = {In-Orbit Processing or Not? Sunlight-Aware Task Scheduling for Energy-Efficient Space Edge Computing Networks}, booktitle = {{IEEE} {INFOCOM} 2024 - {IEEE} Conference on Computer Communications, Vancouver, BC, Canada, May 20-23, 2024}, pages = {881--890}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/INFOCOM52122.2024.10621268}, doi = {10.1109/INFOCOM52122.2024.10621268}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/LiuLWLZLLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/SalehGSBK24, author = {Saad Saleh and Anouk S. Goossens and Sunny Shu and Tamalika Banerjee and Boris Koldehofe}, title = {Analog In-Network Computing through Memristor-based Match-Compute Processing}, booktitle = {{IEEE} {INFOCOM} 2024 - {IEEE} Conference on Computer Communications, Vancouver, BC, Canada, May 20-23, 2024}, pages = {2518--2527}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/INFOCOM52122.2024.10621228}, doi = {10.1109/INFOCOM52122.2024.10621228}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/SalehGSBK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LiuMSZCWRJYWH24, author = {Ying Liu and Yufei Ma and Ninghui Shang and Tianhao Zhao and Peiyu Chen and Meng Wu and Jiayoon Ru and Tianyu Jia and Le Ye and Zhixuan Wang and Ru Huang}, title = {30.2 {A} 22nm 0.26nW/Synapse Spike-Driven Spiking Neural Network Processing Unit Using Time-Step-First Dataflow and Sparsity-Adaptive In-Memory Computing}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {484--486}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454472}, doi = {10.1109/ISSCC49657.2024.10454472}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LiuMSZCWRJYWH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/Faghih0IB24, author = {Faeze Faghih and Tobias Ziegler and Zsolt Istv{\'{a}}n and Carsten Binnig}, editor = {Pablo Barcel{\'{o}} and Nayat S{\'{a}}nchez{-}Pi and Alexandra Meliou and S. Sudarshan}, title = {SmartNICs in the Cloud: The Why, What and How of In-network Processing for Data-Intensive Applications}, booktitle = {Companion of the 2024 International Conference on Management of Data, {SIGMOD/PODS} 2024, Santiago AA, Chile, June 9-15, 2024}, pages = {556--560}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626246.3654690}, doi = {10.1145/3626246.3654690}, timestamp = {Wed, 24 Jul 2024 21:43:30 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/Faghih0IB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2024, title = {23rd {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2024, Hong Kong, May 13-16, 2024}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IPSN61024.2024}, doi = {10.1109/IPSN61024.2024}, isbn = {979-8-3503-6201-5}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-16731, author = {Christina Giannoula and Peiming Yang and Ivan Fernandez Vega and Jiacheng Yang and Yu Xin Li and Juan G{\'{o}}mez{-}Luna and Mohammad Sadrosadati and Onur Mutlu and Gennady Pekhimenko}, title = {Accelerating Graph Neural Networks on Real Processing-In-Memory Systems}, journal = {CoRR}, volume = {abs/2402.16731}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.16731}, doi = {10.48550/ARXIV.2402.16731}, eprinttype = {arXiv}, eprint = {2402.16731}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-16731.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06716, author = {Moritz Schneider and Lukas Halekotte and Tina Comes and Daniel Lichte and Frank Fiedrich}, title = {Emergency Response Inference Mapping (ERIMap): {A} Bayesian Network-based Method for Dynamic Observation Processing in Spatially Distributed Emergencies}, journal = {CoRR}, volume = {abs/2403.06716}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06716}, doi = {10.48550/ARXIV.2403.06716}, eprinttype = {arXiv}, eprint = {2403.06716}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06716.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-11414, author = {Daniel Gerlinghoff and Benjamin Chen Ming Choong and Rick Siow Mong Goh and Weng{-}Fai Wong and Tao Luo}, title = {Table-Lookup {MAC:} Scalable Processing of Quantised Neural Networks in {FPGA} Soft Logic}, journal = {CoRR}, volume = {abs/2403.11414}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.11414}, doi = {10.48550/ARXIV.2403.11414}, eprinttype = {arXiv}, eprint = {2403.11414}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-11414.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14795, author = {Shashank Kushwaha and Jaewan Park and Seid Koric and Junyan He and Iwona Jasiuk and Diab W. Abueidda}, title = {Advanced Deep Operator Networks to Predict Multiphysics Solution Fields in Materials Processing and Additive Manufacturing}, journal = {CoRR}, volume = {abs/2403.14795}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14795}, doi = {10.48550/ARXIV.2403.14795}, eprinttype = {arXiv}, eprint = {2403.14795}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14795.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-16378, author = {Timo Schneider and Pengcheng Xu and Torsten Hoefler}, title = {FPsPIN: An FPGA-based Open-Hardware Research Platform for Processing in the Network}, journal = {CoRR}, volume = {abs/2405.16378}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.16378}, doi = {10.48550/ARXIV.2405.16378}, eprinttype = {arXiv}, eprint = {2405.16378}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-16378.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-00239, author = {Nurul Rafi and Pablo Rivas}, title = {A Review of Pulse-Coupled Neural Network Applications in Computer Vision and Image Processing}, journal = {CoRR}, volume = {abs/2406.00239}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.00239}, doi = {10.48550/ARXIV.2406.00239}, eprinttype = {arXiv}, eprint = {2406.00239}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-00239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-06578, author = {Dare Azeez Oyeyemi and Adebola K. Ojo}, title = {{SMS} Spam Detection and Classification to Combat Abuse in Telephone Networks Using Natural Language Processing}, journal = {CoRR}, volume = {abs/2406.06578}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.06578}, doi = {10.48550/ARXIV.2406.06578}, eprinttype = {arXiv}, eprint = {2406.06578}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-06578.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-00604, author = {Xuan Wang and Minxuan Zhou and Tajana Rosing}, title = {Fast-OverlaPIM: {A} Fast Overlap-driven Mapping Framework for Processing In-Memory Neural Network Acceleration}, journal = {CoRR}, volume = {abs/2407.00604}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.00604}, doi = {10.48550/ARXIV.2407.00604}, eprinttype = {arXiv}, eprint = {2407.00604}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-00604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-07337, author = {Weisen Liu and Zeqi Lai and Qian Wu and Hewu Li and Qi Zhang and Zonglun Li and Yuanjie Li and Jun Liu}, title = {In-Orbit Processing or Not? Sunlight-Aware Task Scheduling for Energy-Efficient Space Edge Computing Networks}, journal = {CoRR}, volume = {abs/2407.07337}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.07337}, doi = {10.48550/ARXIV.2407.07337}, eprinttype = {arXiv}, eprint = {2407.07337}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-07337.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-07575, author = {Yu Xie and Qiong Wu and Pingyi Fan and Nan Cheng and Wen Chen and Jiangzhou Wang and Khaled B. Letaief}, title = {Resource Allocation for Twin Maintenance and Computing Task Processing in Digital Twin Vehicular Edge Computing Network}, journal = {CoRR}, volume = {abs/2407.07575}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.07575}, doi = {10.48550/ARXIV.2407.07575}, eprinttype = {arXiv}, eprint = {2407.07575}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-07575.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-08205, author = {Febin Sunny and Amin Shafiee and Abhishek Balasubramaniam and Mahdi Nikdast and Sudeep Pasricha}, title = {{OPIMA:} Optical Processing-In-Memory for Convolutional Neural Network Acceleration}, journal = {CoRR}, volume = {abs/2407.08205}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.08205}, doi = {10.48550/ARXIV.2407.08205}, eprinttype = {arXiv}, eprint = {2407.08205}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-08205.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-15284, author = {Mounir Ghogho}, title = {Revisiting Neighborhood Aggregation in Graph Neural Networks for Node Classification using Statistical Signal Processing}, journal = {CoRR}, volume = {abs/2407.15284}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.15284}, doi = {10.48550/ARXIV.2407.15284}, eprinttype = {arXiv}, eprint = {2407.15284}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-15284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-19072, author = {Hojin Jang and Pawan Sinha and Xavier Boix}, title = {Configural processing as an optimized strategy for robust object recognition in neural networks}, journal = {CoRR}, volume = {abs/2407.19072}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.19072}, doi = {10.48550/ARXIV.2407.19072}, eprinttype = {arXiv}, eprint = {2407.19072}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-19072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-05242, author = {Sai Puppala and Ismail Hossain and Md Jahangir Alam and Sajedul Talukder}, title = {{FLASH:} Federated Learning-Based LLMs for Advanced Query Processing in Social Networks through {RAG}}, journal = {CoRR}, volume = {abs/2408.05242}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.05242}, doi = {10.48550/ARXIV.2408.05242}, eprinttype = {arXiv}, eprint = {2408.05242}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-05242.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Funke23a, author = {Christina M. Funke}, title = {Visual Processing and Latent Representations in Biological and Artificial Neural Networks}, school = {T{\"{u}}bingen University, Germany}, year = {2023}, url = {http://hdl.handle.net/10900/148790}, doi = {10.15496/PUBLIKATION-90130}, urn = {urn:nbn:de:bsz:21-dspace-1487907}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Funke23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Kim23, author = {Daehyun Kim}, title = {Processing in memory architecture for neural networks and on-chip learning acceleration}, school = {Georgia Institute of Technology, Atlanta, GA, {USA}}, year = {2023}, url = {https://hdl.handle.net/1853/72663}, timestamp = {Sun, 29 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Kim23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Afifi23, author = {Haitham Afifi}, title = {Wireless In-Network Processing for Multimedia Applications}, school = {University of Potsdam, Germany}, year = {2023}, url = {https://publishup.uni-potsdam.de/frontdoor/index/index/docId/60437}, urn = {urn:nbn:de:kobv:517-opus4-604371}, doi = {10.25932/PUBLISHUP-60437}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/dnb/Afifi23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/SchunemannE23, author = {Maik Sch{\"{u}}nemann}, title = {Spontaneous synchronization in recurrent neural networks: From mathematical analysis to flexible information processing in spiking networks}, school = {University of Bremen, Germany}, year = {2023}, url = {https://media.suub.uni-bremen.de/handle/elib/6843}, urn = {urn:nbn:de:gbv:46-elib68436}, timestamp = {Sat, 31 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/SchunemannE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Larue23, author = {Guillaume Larue}, title = {{AI} models for digital signal processing in future 6G-IoT networks. (Mod{\`{e}}les {IA} pour le traitement des signaux num{\'{e}}riques dans les futurs r{\'{e}}seaux 6G-IoT)}, school = {Polytechnic Institute of Paris, Palaiseau, France}, year = {2023}, url = {https://tel.archives-ouvertes.fr/tel-03990483}, timestamp = {Sun, 26 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Larue23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Mathieu23, author = {F{\'{e}}lix Mathieu}, title = {Traitement de la phase des signaux audio dans les r{\'{e}}seaux de neurones profonds. (Phase processing of audio signals in deep neural networks)}, school = {Polytechnic Institute of Paris, Palaiseau, France}, year = {2023}, url = {https://tel.archives-ouvertes.fr/tel-04521490}, timestamp = {Wed, 05 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Mathieu23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Piat23, author = {Guilhem Piat}, title = {Incorporating expert knowledge in deep neural networks for domain adaptation in natural language processing. (Int{\'{e}}gration de connaissances expertes dans des mod{\`{e}}les neuronaux profonds pour l'adaptation au domaine dans le traitement automatique de la langue)}, school = {University of Paris-Saclay, France}, year = {2023}, url = {https://tel.archives-ouvertes.fr/tel-04473790}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Piat23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SaccoAME23, author = {Alessio Sacco and Antonino Angi and Guido Marchetto and Flavio Esposito}, title = {{P4FL:} An Architecture for Federating Learning With In-Network Processing}, journal = {{IEEE} Access}, volume = {11}, pages = {103650--103658}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3318109}, doi = {10.1109/ACCESS.2023.3318109}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SaccoAME23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangWT23, author = {Ruizhi Wang and Cheng{-}Hsuan Wu and Makoto Takamiya}, title = {Integrated Imager and 3.22 {\(\mu\)}s/Kernel-Latency All-Digital In-Imager Global-Parallel Binary Convolutional Neural Network Accelerator for Image Processing}, journal = {{IEEE} Access}, volume = {11}, pages = {74364--74378}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3296429}, doi = {10.1109/ACCESS.2023.3296429}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangWT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhuZYYL23, author = {Naibo Zhu and Guangyu Zhao and Yang Yang and Han Yang and Zhi Liu}, title = {AEC{\_}GAN: Unbalanced Data Processing Decision-Making in Network Attacks Based on {ACGAN} and Machine Learning}, journal = {{IEEE} Access}, volume = {11}, pages = {52452--52465}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3280421}, doi = {10.1109/ACCESS.2023.3280421}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhuZYYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adt/IdreesJ23, author = {Ali Kadhum Idrees and Lina Waleed Jawad}, title = {Energy-efficient Data Processing Protocol in edge-based IoT networks}, journal = {Ann. des T{\'{e}}l{\'{e}}communications}, volume = {78}, number = {5-6}, pages = {347--362}, year = {2023}, url = {https://doi.org/10.1007/s12243-023-00957-8}, doi = {10.1007/S12243-023-00957-8}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adt/IdreesJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/GospodinovaT23, author = {Ekaterina Gospodinova and Ivan Torlakov}, title = {Information Processing with Stability Point Modeling in Cohen-Grossberg Neural Networks}, journal = {Axioms}, volume = {12}, number = {7}, pages = {612}, year = {2023}, url = {https://doi.org/10.3390/axioms12070612}, doi = {10.3390/AXIOMS12070612}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/axioms/GospodinovaT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/caaitrit/LiuLCDZL23, author = {Mei Liu and Wendi Luo and Zangtai Cai and Xiujuan Du and Jiliang Zhang and Shuai Li}, title = {Numerical-discrete-scheme-incorporated recurrent neural network for tasks in natural language processing}, journal = {{CAAI} Trans. Intell. Technol.}, volume = {8}, number = {4}, pages = {1415--1424}, year = {2023}, url = {https://doi.org/10.1049/cit2.12172}, doi = {10.1049/CIT2.12172}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/caaitrit/LiuLCDZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/ThibaultDPCS23, author = {{\'{E}}milie Thibault and Francis Lebreux D{\'{e}}silets and Bruno Poulin and Moncef Chioua and Paul Stuart}, title = {Comparison of signal processing methods considering their optimal parameters using synthetic signals in a heat exchanger network simulation}, journal = {Comput. Chem. Eng.}, volume = {178}, pages = {108380}, year = {2023}, url = {https://doi.org/10.1016/j.compchemeng.2023.108380}, doi = {10.1016/J.COMPCHEMENG.2023.108380}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/ThibaultDPCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ZhaoYLCYWJWZZ23, author = {Yinglin Zhao and Jianlei Yang and Bing Li and Xingzhou Cheng and Xucheng Ye and Xueyan Wang and Xiaotao Jia and Zhaohao Wang and Youguang Zhang and Weisheng Zhao}, title = {NAND-SPIN-based processing-in-MRAM architecture for convolutional neural network acceleration}, journal = {Sci. China Inf. Sci.}, volume = {66}, number = {4}, year = {2023}, url = {https://doi.org/10.1007/s11432-021-3472-9}, doi = {10.1007/S11432-021-3472-9}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ZhaoYLCYWJWZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmbbeiv/OliveiraBMJCSM23, author = {Danilo Oliveira and Joniel Bastos Barreto and I. M. Mesquita and I. C. Paula Jr and F. N. Chaves and M. B. S. Sampieri and J. P. Madeiro}, title = {Analysis of the influence of pre-processing techniques with convolutional neural networks for automatic detection of cysts in wisdom teeth}, journal = {Comput. methods Biomech. Biomed. Eng. Imaging Vis.}, volume = {11}, number = {3}, pages = {299--310}, year = {2023}, url = {https://doi.org/10.1080/21681163.2022.2035258}, doi = {10.1080/21681163.2022.2035258}, timestamp = {Tue, 20 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmbbeiv/OliveiraBMJCSM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/JeonLL23, author = {So{-}Eun Jeon and Sun{-}Jin Lee and Il{-}Gu Lee}, title = {Hybrid in-network computing and distributed learning for large-scale data processing}, journal = {Comput. Networks}, volume = {226}, pages = {109686}, year = {2023}, url = {https://doi.org/10.1016/j.comnet.2023.109686}, doi = {10.1016/J.COMNET.2023.109686}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/JeonLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/Lu23, author = {Zhonghai Lu}, title = {PiN: Processing in Network-on-Chip}, journal = {{IEEE} Des. Test}, volume = {40}, number = {6}, pages = {30--38}, year = {2023}, url = {https://doi.org/10.1109/MDAT.2023.3307943}, doi = {10.1109/MDAT.2023.3307943}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dt/Lu23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eait/Wulff23, author = {Peter Wulff}, title = {Network analysis of terms in the natural sciences insights from Wikipedia through natural language processing and network analysis}, journal = {Educ. Inf. Technol.}, volume = {28}, number = {11}, pages = {14325--14346}, year = {2023}, url = {https://doi.org/10.1007/s10639-022-11531-5}, doi = {10.1007/S10639-022-11531-5}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eait/Wulff23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasmp/HanKLZ23, author = {Zhe Han and Yuxuan Ke and Xiaodong Li and Chengshi Zheng}, title = {Parallel processing of distributed beamforming and multichannel linear prediction for speech denoising and deverberation in wireless acoustic sensor networks}, journal = {{EURASIP} J. Audio Speech Music. Process.}, volume = {2023}, number = {1}, pages = {25}, year = {2023}, url = {https://doi.org/10.1186/s13636-023-00287-6}, doi = {10.1186/S13636-023-00287-6}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejasmp/HanKLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejasmp/KellermannMO23, author = {Walter Kellermann and Rainer Martin and Nobutaka Ono}, title = {Signal processing and machine learning for speech and audio in acoustic sensor networks}, journal = {{EURASIP} J. Audio Speech Music. Process.}, volume = {2023}, number = {1}, pages = {54}, year = {2023}, url = {https://doi.org/10.1186/s13636-023-00322-6}, doi = {10.1186/S13636-023-00322-6}, timestamp = {Wed, 10 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejasmp/KellermannMO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/FengDC23, author = {Minyu Feng and Liang{-}Jian Deng and Feng Chen}, title = {Signal and Information Processing in Networks}, journal = {Entropy}, volume = {25}, number = {12}, pages = {1643}, year = {2023}, url = {https://doi.org/10.3390/e25121643}, doi = {10.3390/E25121643}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/FengDC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcomp/JarversN23, author = {Christian Jarvers and Heiko Neumann}, title = {Shape-selective processing in deep networks: integrating the evidence on perceptual integration}, journal = {Frontiers Comput. Sci.}, volume = {5}, year = {2023}, url = {https://doi.org/10.3389/fcomp.2023.1113609}, doi = {10.3389/FCOMP.2023.1113609}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fcomp/JarversN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnis/NarayanaR23, author = {Ranga Narayana and G. Venkateswara Rao}, title = {A Grey Wolf Intelligence based Recognition of Human-Action in Low Resolution Videos with Minimal Processing Time}, journal = {Int. J. Commun. Networks Inf. Secur.}, volume = {14}, number = {1s}, pages = {91--99}, year = {2023}, url = {https://doi.org/10.17762/ijcnis.v14i1s.5597}, doi = {10.17762/IJCNIS.V14I1S.5597}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnis/NarayanaR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/SarodeSWB23, author = {Rashmi P. Sarode and Divij G. Singh and Yutaka Watanobe and Subhash Bhalla}, title = {High-volume transaction processing in Bitcoin Lightning Network on blockchains}, journal = {Int. J. Comput. Sci. Eng.}, volume = {26}, number = {4}, pages = {445--458}, year = {2023}, url = {https://doi.org/10.1504/IJCSE.2023.132151}, doi = {10.1504/IJCSE.2023.132151}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/SarodeSWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/WangZQZCYZ23, author = {Qi Wang and Jianchao Zeng and Pinle Qin and Pengcheng Zhao and Rui Chai and Zhaomin Yang and Jianshan Zhang}, title = {Semi-White-Box Strategy: Enhancing Data Efficiency and Interpretability of Convolutional Neural Networks in Image Processing}, journal = {Int. J. Intell. Syst.}, volume = {2023}, pages = {1--16}, year = {2023}, url = {https://doi.org/10.1155/2023/9227348}, doi = {10.1155/2023/9227348}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/WangZQZCYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/BriskornRH23, author = {Dirk Briskorn and Lena Rotfu{\ss} and Marcel Helmdach}, title = {Modelling and optimising the capacity and production network planning in plastics processing factories}, journal = {Int. J. Prod. Res.}, volume = {61}, number = {7}, pages = {2105--2128}, year = {2023}, url = {https://doi.org/10.1080/00207543.2022.2059413}, doi = {10.1080/00207543.2022.2059413}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/BriskornRH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/YeYCGL23, author = {Mengting Ye and Kaili Yu and Zhenxue Chen and Yixin Guo and Longcheng Liu}, title = {OIPNet: Multimodal Network with Orthogonal Information Processing for Semantic Segmentation in Indoor Scenes}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {37}, number = {16}, pages = {2354027:1--2354027:15}, year = {2023}, url = {https://doi.org/10.1142/S0218001423540277}, doi = {10.1142/S0218001423540277}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/YeYCGL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/DiazSELCV23, author = {Guillermo D{\'{\i}}az and Iker Sobr{\'{o}}n and I{\~{n}}aki Eizmendi and Iratxe Landa and Johana Coyote and Manuel V{\'{e}}lez}, title = {Channel phase processing in wireless networks for human activity recognition}, journal = {Internet Things}, volume = {24}, pages = {100960}, year = {2023}, url = {https://doi.org/10.1016/j.iot.2023.100960}, doi = {10.1016/J.IOT.2023.100960}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iot/DiazSELCV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ZhangGLZ23, author = {Yiyi Zhang and Peng Guo and Xuefeng Liu and Kui Zhang}, title = {In-Network Processing or Feature Compressive Sensing? Case Study of Structural Health Monitoring With Wireless Sensor Networks}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {8, April 15}, pages = {7051--7061}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3228587}, doi = {10.1109/JIOT.2022.3228587}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/ZhangGLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnsm/EckertCB23, author = {Toerless Eckert and Alexander Clemm and Stewart Bryant}, title = {High Precision Latency Forwarding for Wide Area Networks Through Intelligent In-Packet Header Processing (gLBF)}, journal = {J. Netw. Syst. Manag.}, volume = {31}, number = {2}, pages = {34}, year = {2023}, url = {https://doi.org/10.1007/s10922-022-09718-9}, doi = {10.1007/S10922-022-09718-9}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnsm/EckertCB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/MannSHSTYKZDLC23, author = {Leah G. Mann and Mathieu Servant and Kaitlyn R. Hay and Alexander K. Song and Paula Trujillo and Bailu Yan and Hakmook Kang and David H. Zald and Manus J. Donahue and Gordon D. Logan and Daniel O. Claassen}, title = {The Role of a Dopamine-Dependent Limbic-Motor Network in Sensory Motor Processing in Parkinson Disease}, journal = {J. Cogn. Neurosci.}, volume = {35}, number = {11}, pages = {1806--1822}, year = {2023}, url = {https://doi.org/10.1162/jocn\_a\_02048}, doi = {10.1162/JOCN\_A\_02048}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocn/MannSHSTYKZDLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/OnoderaIHYN23, author = {Yukito Onodera and Yoshiaki Inoue and Daisuke Hisano and Naoto Yoshimoto and Yu Nakayama}, title = {Real-time batch processing at a GPU-based edge with a passive optical network}, journal = {J. Opt. Commun. Netw.}, volume = {15}, number = {7}, pages = {404--414}, year = {2023}, url = {https://doi.org/10.1364/JOCN.476116}, doi = {10.1364/JOCN.476116}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/OnoderaIHYN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/TanakaS23, author = {Takafumi Tanaka and Masayuki Shimoda}, title = {Pre- and post-processing techniques for reinforcement-learning-based routing and spectrum assignment in elastic optical networks}, journal = {J. Opt. Commun. Netw.}, volume = {15}, number = {12}, pages = {1019}, year = {2023}, url = {https://doi.org/10.1364/jocn.503599}, doi = {10.1364/JOCN.503599}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocnet/TanakaS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/VeerasamyF23, author = {K. Veerasamy and E. J. Thomson Fredrik}, title = {Intelligence System towards Identify Weeds in Crops and Vegetables Plantation Using Image Processing and Deep Learning Techniques}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {14}, number = {4}, pages = {45--59}, year = {2023}, url = {https://doi.org/10.58346/JOWUA.2023.I4.004}, doi = {10.58346/JOWUA.2023.I4.004}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/VeerasamyF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HsuCCLLTCH23, author = {Tzu{-}Hsiang Hsu and Guan{-}Cheng Chen and Yi{-}Ren Chen and Ren{-}Shuo Liu and Chung{-}Chuan Lo and Kea{-}Tiong Tang and Meng{-}Fan Chang and Chih{-}Cheng Hsieh}, title = {A 0.8 {V} Intelligent Vision Sensor With Tiny Convolutional Neural Network and Programmable Weights Using Mixed-Mode Processing-in-Sensor Technique for Image Classification}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {11}, pages = {3266--3274}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3285734}, doi = {10.1109/JSSC.2023.3285734}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HsuCCLLTCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/XuNLED23, author = {Wei Xu and Derrick Wing Kwan Ng and Marco Levorato and Yonina C. Eldar and M{\'{e}}rouane Debbah}, title = {Guest Editorial Distributed Signal Processing for Edge Learning in {B5G} IoT Networks}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {17}, number = {1}, pages = {3--8}, year = {2023}, url = {https://doi.org/10.1109/JSTSP.2022.3227720}, doi = {10.1109/JSTSP.2022.3227720}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jstsp/XuNLED23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/YangHJZJ23, author = {Nan Yang and Chong Han and Josep Miquel Jornet and Peiying Zhu and Markku J. Juntti}, title = {Guest Editorial Advanced Signal Processing for Terahertz Communications in 6G and Beyond Networks}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {17}, number = {4}, pages = {709--712}, year = {2023}, url = {https://doi.org/10.1109/JSTSP.2023.3305128}, doi = {10.1109/JSTSP.2023.3305128}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/YangHJZJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ArguelloGonzalezASBRTM23, author = {Guillermo Arg{\"{u}}ello{-}Gonz{\'{a}}lez and Jos{\'{e}} Aquino{-}Esperanza and Daniel Salvador and Rosa Bret{\'{o}}n{-}Romero and Carlos Del R{\'{\i}}o{-}Bermudez and Jorge Tello and Sebastian Menke}, title = {Negation recognition in clinical natural language processing using a combination of the NegEx algorithm and a convolutional neural network}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {23}, number = {1}, pages = {216}, year = {2023}, url = {https://doi.org/10.1186/s12911-023-02301-5}, doi = {10.1186/S12911-023-02301-5}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ArguelloGonzalezASBRTM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlst/ChallapalliD23, author = {Jhansi Rani Challapalli and Nagaraju Devarakonda}, title = {Effectual pre-processing with quantization error elimination in pose detector with the aid of image-guided progressive graph convolution network {(IGP-GCN)} for multi-person pose estimation}, journal = {Mach. Learn. Sci. Technol.}, volume = {4}, number = {2}, pages = {25015}, year = {2023}, url = {https://doi.org/10.1088/2632-2153/acc9fc}, doi = {10.1088/2632-2153/ACC9FC}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlst/ChallapalliD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/RafidisonRTRR23, author = {Maminiaina Alphonse Rafidison and Andry Harivony Rakotomihamina and Rajaonarison Faniriharisoa Maxime Toky and Sabine Harisoa Jacques Rafanantenana and Hajasoa Malalatiana Ramafiarisona}, title = {Intervention of light convolutional neural network in document survey form processing}, journal = {Multim. Tools Appl.}, volume = {82}, number = {21}, pages = {32583--32605}, year = {2023}, url = {https://doi.org/10.1007/s11042-023-16076-4}, doi = {10.1007/S11042-023-16076-4}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/RafidisonRTRR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/InceSHJDAMGF23, author = {Sevil Ince and Trevor Steward and Ben J. Harrison and Alec J. Jamieson and Christopher G. Davey and James A. Agathos and Bradford A. Moffat and Rebecca K. Glarin and Kim L. Felmingham}, title = {Subcortical contributions to salience network functioning during negative emotional processing}, journal = {NeuroImage}, volume = {270}, pages = {119964}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.119964}, doi = {10.1016/J.NEUROIMAGE.2023.119964}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/InceSHJDAMGF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/YuanXWXZLCLTLHGLLW23, author = {Binke Yuan and Hui Xie and Zhihao Wang and Yangwen Xu and Hanqing Zhang and Jiaxuan Liu and Lifeng Chen and Chaoqun Li and Shiyao Tan and Zonghui Lin and Xin Hu and Tianyi Gu and Junfeng Lu and Dongqiang Liu and Jinsong Wu}, title = {The domain-separation language network dynamics in resting state support its flexible functional segregation and integration during language and speech processing}, journal = {NeuroImage}, volume = {274}, pages = {120132}, year = {2023}, url = {https://doi.org/10.1016/j.neuroimage.2023.120132}, doi = {10.1016/J.NEUROIMAGE.2023.120132}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/YuanXWXZLCLTLHGLLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/ZhangXFV23, author = {Xiao{-}Lei Zhang and Lei Xie and Eric Fosler{-}Lussier and Emmanuel Vincent}, title = {Guest editorial: Special issue on advances in deep learning based speech processing}, journal = {Neural Networks}, volume = {158}, pages = {328--330}, year = {2023}, url = {https://doi.org/10.1016/j.neunet.2022.11.033}, doi = {10.1016/J.NEUNET.2022.11.033}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/ZhangXFV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmmod/AkiliP023, author = {Samira Akili and Steven Purtzel and Matthias Weidlich}, title = {INEv: In-Network Evaluation for Event Stream Processing}, journal = {Proc. {ACM} Manag. Data}, volume = {1}, number = {1}, pages = {101:1--101:26}, year = {2023}, url = {https://doi.org/10.1145/3588955}, doi = {10.1145/3588955}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmmod/AkiliP023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/DibotTMPR23, author = {Nicolas M. Dibot and Sonia Tieo and Tamra C. Mendelson and William Puech and Julien P. Renoult}, title = {Sparsity in an artificial neural network predicts beauty: Towards a model of processing-based aesthetics}, journal = {PLoS Comput. Biol.}, volume = {19}, number = {12}, year = {2023}, url = {https://doi.org/10.1371/journal.pcbi.1011703}, doi = {10.1371/JOURNAL.PCBI.1011703}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ploscb/DibotTMPR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/ZhangLZXLXHYD23, author = {Qian Zhang and Jingyao Li and Hongyao Zhao and Quanqing Xu and Wei Lu and Jinliang Xiao and Fusheng Han and Chuanhui Yang and Xiaoyong Du}, title = {Efficient Distributed Transaction Processing in Heterogeneous Networks}, journal = {Proc. {VLDB} Endow.}, volume = {16}, number = {6}, pages = {1372--1385}, year = {2023}, url = {https://www.vldb.org/pvldb/vol16/p1372-lu.pdf}, doi = {10.14778/3583140.3583153}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/ZhangLZXLXHYD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeC23, author = {Sangyum Lee and Byoung Hooi Cho}, title = {Evaluating Pavement Lane Markings in Metropolitan Road Networks with a Vehicle-Mounted Retroreflectometer and AI-Based Image Processing Techniques}, journal = {Remote. Sens.}, volume = {15}, number = {7}, pages = {1812}, year = {2023}, url = {https://doi.org/10.3390/rs15071812}, doi = {10.3390/RS15071812}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GotthansGN23, author = {Jakub Gotthans and Tom{\'{a}}s Gotthans and David Novak}, title = {Improving {TDOA} Radar Performance in Jammed Areas through Neural Network-Based Signal Processing}, journal = {Sensors}, volume = {23}, number = {6}, pages = {2889}, year = {2023}, url = {https://doi.org/10.3390/s23062889}, doi = {10.3390/S23062889}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/GotthansGN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Kang23a, author = {Hyunchul Kang}, title = {In-Network Processing of Skyline Join Queries in Wireless Sensor Networks Using Synopses of Skyline Attribute Value Ranges}, journal = {Sensors}, volume = {23}, number = {6}, pages = {3022}, year = {2023}, url = {https://doi.org/10.3390/s23063022}, doi = {10.3390/S23063022}, timestamp = {Tue, 25 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Kang23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangWHLHYLCZL23, author = {Hongzhe Wang and Junjie Wang and Hao Hu and Guo Li and Shaogang Hu and Qi Yu and Zhen Liu and Tupei Chen and Shijie Zhou and Yang Liu}, title = {Ultra-High-Speed Accelerator Architecture for Convolutional Neural Network Based on Processing-in-Memory Using Resistive Random Access Memory}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2401}, year = {2023}, url = {https://doi.org/10.3390/s23052401}, doi = {10.3390/S23052401}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WangWHLHYLCZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LiuWZHYCL23, author = {Shuang Liu and Junjie Wang and Jingtao Zhou and Shaogang Hu and Qi Yu and Tupei Chen and Yang Liu}, title = {An Area- and Energy-Efficient Spiking Neural Network With Spike-Time-Dependent Plasticity Realized With {SRAM} Processing-in-Memory Macro and On-Chip Unsupervised Learning}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {1}, pages = {92--104}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3242413}, doi = {10.1109/TBCAS.2023.3242413}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LiuWZHYCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/WeiYHCAY23, author = {Ming{-}Liang Wei and Mikail Yayla and Shu{-}Yin Ho and Jian{-}Jia Chen and Hussam Amrouch and Chia{-}Lin Yang}, title = {Impact of Non-Volatile Memory Cells on Spiking Neural Network Annealing Machine With In-Situ Synapse Processing}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {11}, pages = {4380--4393}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2023.3305010}, doi = {10.1109/TCSI.2023.3305010}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/WeiYHCAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/JeongLLLSK23, author = {Bohyeok Jeong and Jaehwan Lee and Suhyeon Lee and Soyeon Lee and Youngdoo Son and Soo Youn Kim}, title = {A 240-FPS In-Column Binarized Neural Network Processing in {CMOS} Image Sensors}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {10}, pages = {3907--3911}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3295391}, doi = {10.1109/TCSII.2023.3295391}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/JeongLLLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/NiCLWYLX23, author = {Zhichen Ni and Honglong Chen and Zhe Li and Xiaomeng Wang and Na Yan and Weifeng Liu and Feng Xia}, title = {{MSCET:} {A} Multi-Scenario Offloading Schedule for Biomedical Data Processing and Analysis in Cloud-Edge-Terminal Collaborative Vehicular Networks}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {20}, number = {4}, pages = {2376--2386}, year = {2023}, url = {https://doi.org/10.1109/TCBB.2021.3131177}, doi = {10.1109/TCBB.2021.3131177}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/NiCLWYLX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcc/ZhangHO23, author = {Yuncan Zhang and Fujun He and Eiji Oki}, title = {Service Mapping and Scheduling With Uncertain Processing Time in Network Function Virtualization}, journal = {{IEEE} Trans. Cloud Comput.}, volume = {11}, number = {2}, pages = {1315--1333}, year = {2023}, url = {https://doi.org/10.1109/TCC.2021.3132008}, doi = {10.1109/TCC.2021.3132008}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcc/ZhangHO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/AnandSASCS23, author = {M. Anand and Kishan Bhushan Sahay and Mohammed Altaf Ahmed and Daniyar Sultan and Radha Raman Chandan and Bharat Singh}, title = {Deep learning and natural language processing in computation for offensive language detection in online social networks by feature selection and ensemble classification techniques}, journal = {Theor. Comput. Sci.}, volume = {943}, pages = {203--218}, year = {2023}, url = {https://doi.org/10.1016/j.tcs.2022.06.020}, doi = {10.1016/J.TCS.2022.06.020}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/AnandSASCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ZhuZFWSSYCC23, author = {Hangyu Zhu and Wei Zhou and Cong Fu and Yonglin Wu and Ning Shen and Feng Shu and Huan Yu and Wei Chen and Chen Chen}, title = {MaskSleepNet: {A} Cross-Modality Adaptation Neural Network for Heterogeneous Signals Processing in Sleep Staging}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {27}, number = {5}, pages = {2353--2364}, year = {2023}, url = {https://doi.org/10.1109/JBHI.2023.3253728}, doi = {10.1109/JBHI.2023.3253728}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ZhuZFWSSYCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tiv/ChattopadhyayT23, author = {Rajarshi Chattopadhyay and Chen{-}Khong Tham}, title = {Joint Sensing and Processing Resource Allocation in Vehicular Ad-Hoc Networks}, journal = {{IEEE} Trans. Intell. Veh.}, volume = {8}, number = {1}, pages = {616--627}, year = {2023}, url = {https://doi.org/10.1109/TIV.2021.3124208}, doi = {10.1109/TIV.2021.3124208}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tiv/ChattopadhyayT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/QuAZLL23, author = {Fuyi Qu and Ahmad W. Al{-}Dabbagh and Zhengen Zhao and Hao Liu and Yuzhe Li}, title = {Remote State Estimation in Networked Systems: Data Pre-Processing or Not?}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {10}, number = {6}, pages = {3895--3907}, year = {2023}, url = {https://doi.org/10.1109/TNSE.2023.3275971}, doi = {10.1109/TNSE.2023.3275971}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnse/QuAZLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/Hai23, author = {Dao Thanh Hai}, title = {On Routing, Wavelength, Network Coding Assignment, and Protection Configuration Problem in Optical-Processing-Enabled Networks}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {20}, number = {3}, pages = {2504--2514}, year = {2023}, url = {https://doi.org/10.1109/TNSM.2023.3283880}, doi = {10.1109/TNSM.2023.3283880}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/Hai23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/HeO23, author = {Fujun He and Eiji Oki}, title = {Service Deployment With Priority Queueing for Traffic Processing and Transmission in Network Function Virtualization}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {20}, number = {4}, pages = {4861--4874}, year = {2023}, url = {https://doi.org/10.1109/TNSM.2023.3277735}, doi = {10.1109/TNSM.2023.3277735}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnsm/HeO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/RameshNMRA23, author = {S. Ramesh and S. Nirmalraj and S. Murugan and Manikandan Ramachandran and Fadi Al{-}Turjman}, title = {Optimization of Energy and Security in Mobile Sensor Network Using Classification Based Signal Processing in Heterogeneous Network}, journal = {J. Signal Process. Syst.}, volume = {95}, number = {2-3}, pages = {153--160}, year = {2023}, url = {https://doi.org/10.1007/s11265-021-01690-y}, doi = {10.1007/S11265-021-01690-Y}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/RameshNMRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/JeyarajAH23, author = {Deepa Jeyaraj and Ahamed Ali Samsu Aliar and Selvamani Hemamalini}, title = {Intelligent energy efficient vehicle automation system with sensible edge processing protocol in Internet of Vehicles using hybrid optimization strategy}, journal = {Wirel. Networks}, volume = {29}, number = {4}, pages = {1685--1701}, year = {2023}, url = {https://doi.org/10.1007/s11276-022-03204-5}, doi = {10.1007/S11276-022-03204-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/JeyarajAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEants/SMM23, author = {Yayathi Pavan Kumar S and Sudeepta Mishra and V. K. Chaithanya Manam}, title = {A Comparative Study of Unsupervised Learning Techniques and Natural Language Processing in Network Traffic Classification}, booktitle = {{IEEE} International Conference on Advanced Networks and Telecommunications Systems, {ANTS} 2023, Jaipur, India, December 17-20, 2023}, pages = {138--143}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ANTS59832.2023.10469018}, doi = {10.1109/ANTS59832.2023.10469018}, timestamp = {Mon, 08 Apr 2024 20:48:41 +0200}, biburl = {https://dblp.org/rec/conf/IEEEants/SMM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acit4/PolikarovskykhBTYD23, author = {Oleksiy Polikarovskykh and Juliy Boiko and Vitalii Tkachuk and Hanna Yehoshyna and Yurii Daus}, title = {Neural Network Method of Directing Finder Signals Processing in Perimeter Protection Systems}, booktitle = {13th International Conference on Advanced Computer Information Technologies, {ACIT} 2023, Wroc{\l}aw, Poland, September 21-23, 2023}, pages = {488--491}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ACIT58437.2023.10275525}, doi = {10.1109/ACIT58437.2023.10275525}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acit4/PolikarovskykhBTYD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmturc/Shi23, author = {Tuo Shi}, title = {Optimizing Concurrent Application Processing in IoT Edge Networks}, booktitle = {Proceedings of the {ACM} Turing Award Celebration Conference - China 2023, {ACM} {TURC} 2023, Wuhan, China, July 28-30, 2023}, pages = {73--74}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3603165.3607403}, doi = {10.1145/3603165.3607403}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acmturc/Shi23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/algocloud/GarlisiRTCC23, author = {Domenico Garlisi and Gabriele Restuccia and Ilenia Tinnirello and Francesca Cuomo and Ioannis Chatzigiannakis}, editor = {Ioannis Chatzigiannakis and Ioannis Karydis}, title = {Real-Time Leakage Zone Detection in Water Distribution Networks: {A} Machine Learning-Based Stream Processing Algorithm}, booktitle = {Algorithmic Aspects of Cloud Computing - 8th International Symposium, {ALGOCLOUD} 2023, Amsterdam, The Netherlands, September 5, 2023, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {14053}, pages = {86--99}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-49361-4\_5}, doi = {10.1007/978-3-031-49361-4\_5}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/algocloud/GarlisiRTCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/VonitsanosKM23, author = {Gerasimos Vonitsanos and Andreas Kanavos and Phivos Mylonas}, editor = {Jingrui He and Themis Palpanas and Xiaohua Hu and Alfredo Cuzzocrea and Dejing Dou and Dominik Slezak and Wei Wang and Aleksandra Gruca and Jerry Chun{-}Wei Lin and Rakesh Agrawal}, title = {Decoding Gender on Social Networks: An In-depth Analysis of Language in Online Discussions Using Natural Language Processing and Machine Learning}, booktitle = {{IEEE} International Conference on Big Data, BigData 2023, Sorrento, Italy, December 15-18, 2023}, pages = {4618--4625}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigData59044.2023.10386655}, doi = {10.1109/BIGDATA59044.2023.10386655}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/bigdataconf/VonitsanosKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/Hao23, author = {Hailin Hao}, editor = {Micah B. Goldwater and Florencia K. Anggoro and Brett K. Hayes and Desmond C. Ong}, title = {Leveraging Neural Networks for Feature Selection in Sentence Processing Models}, booktitle = {Proceedings of the 45th Annual Meeting of the Cognitive Science Society, CogSci 2023, Sydney, NSW, Australia, July 26-29, 2023}, publisher = {cognitivesciencesociety.org}, year = {2023}, url = {https://escholarship.org/uc/item/0mg046gz}, timestamp = {Thu, 02 May 2024 16:36:09 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/Hao23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhuZDTSCYW23, author = {Yu Zhu and Zhenhua Zhu and Guohao Dai and Fengbin Tu and Hanbo Sun and Kwang{-}Ting Cheng and Huazhong Yang and Yu Wang}, title = {{PIM-HLS:} An Automatic Hardware Generation Tool for Heterogeneous Processing-In-Memory-based Neural Network Accelerators}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247755}, doi = {10.1109/DAC56929.2023.10247755}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhuZDTSCYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SunXZDYW23, author = {Hanbo Sun and Tongxin Xie and Zhenhua Zhu and Guohao Dai and Huazhong Yang and Yu Wang}, title = {Minimizing Communication Conflicts in Network-On-Chip Based Processing-In-Memory Architecture}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137203}, doi = {10.23919/DATE56975.2023.10137203}, timestamp = {Wed, 07 Jun 2023 22:08:03 +0200}, biburl = {https://dblp.org/rec/conf/date/SunXZDYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ZhouWR23, author = {Minxuan Zhou and Xuan Wang and Tajana Rosing}, title = {OverlaPIM: Overlap Optimization for Processing In-Memory Neural Network Acceleration}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2023, Antwerp, Belgium, April 17-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/DATE56975.2023.10137223}, doi = {10.23919/DATE56975.2023.10137223}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ZhouWR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/debs/Koldehofe23, author = {Boris Koldehofe}, editor = {Valerio Schiavoni and Marcelo Pasin and Bettina Kemme and Etienne Rivi{\`{e}}re}, title = {Accelerating the performance of distributed stream processing systems with in-network computing}, booktitle = {Proceedings of the 17th {ACM} International Conference on Distributed and Event-based Systems, {DEBS} 2023, Neuchatel, Switzerland, June 27-30, 2023}, pages = {3}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583678.3603443}, doi = {10.1145/3583678.3603443}, timestamp = {Thu, 25 Jul 2024 12:13:35 +0200}, biburl = {https://dblp.org/rec/conf/debs/Koldehofe23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/JangKL23, author = {Jihoon Jang and Hyun Kim and Hyokeun Lee}, title = {Characterizing Memory Access Patterns of Various Convolutional Neural Networks for Utilizing Processing-in-Memory}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049894}, doi = {10.1109/ICEIC57457.2023.10049894}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/JangKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpsr/ElRifLF23, author = {Elie El{-}Rif and Aris Leivadeas and Matthias Falkner}, title = {Intent Expression Through Natural Language Processing in an Enterprise Network}, booktitle = {24th {IEEE} International Conference on High Performance Switching and Routing, {HPSR} 2023, Albuquerque, NM, USA, June 5-7, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPSR57248.2023.10148046}, doi = {10.1109/HPSR57248.2023.10148046}, timestamp = {Tue, 27 Jun 2023 10:38:30 +0200}, biburl = {https://dblp.org/rec/conf/hpsr/ElRifLF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbra/WangLKJ23, author = {Yifan Wang and Thomas Lenarz and Andrej Kral and Samuel John}, title = {Automatic Landmark Localization in 3D Medical {CT} Images: Few-Shot Learning through Optimized Data Pre-Processing and Network Design}, booktitle = {Proceedings of the 2023 10th International Conference on Bioinformatics Research and Applications, {ICBRA} 2023, Barcelona, Spain, September 22-24, 2023}, pages = {1--7}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3632047.3632048}, doi = {10.1145/3632047.3632048}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbra/WangLKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ValenteLE23, author = {Francesco Valente and Francesco Giacinto Lavacca and Vincenzo Eramo}, title = {Proposal and Investigation of a Processing and Bandwidth Resource Allocation Strategy in {LEO} Satellite Networks for Earth Observation Applications}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2023, Rome, Italy, May 28 - June 1, 2023}, pages = {6268--6274}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICC45041.2023.10279050}, doi = {10.1109/ICC45041.2023.10279050}, timestamp = {Thu, 02 Nov 2023 17:09:41 +0100}, biburl = {https://dblp.org/rec/conf/icc/ValenteLE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsce/TanC23, author = {Yit Peng Tan and Kim Seng Chia}, title = {Effects of Pre-Processing and Principal Components for Artificial Neural Network in Non-Destructive Internal Quality Prediction of Mango across Different Harvest Periods}, booktitle = {13th {IEEE} International Conference on Control System, Computing and Engineering, {ICCSCE} 2023, Penang, Malaysia, August 25-26, 2023}, pages = {144--148}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCSCE58721.2023.10237167}, doi = {10.1109/ICCSCE58721.2023.10237167}, timestamp = {Fri, 15 Sep 2023 07:05:02 +0200}, biburl = {https://dblp.org/rec/conf/iccsce/TanC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciss2/MirM23, author = {Foudil Mir and Farid Meziane}, title = {Unequal Clustering Protocol in IoT Networks Based on Multiple Criteria Processing}, booktitle = {Proceedings of the 2023 6th International Conference on Information Science and Systems, {ICISS} 2023, Edinburgh, United Kingdom, August 11-13, 2023}, pages = {132--136}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3625156.3625176}, doi = {10.1145/3625156.3625176}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iciss2/MirM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/WangZ23, author = {Zhao Wang and Ke Zhao}, title = {Research on the Memory Processing Rules of Children in Tang Poetry Reading Using Internal Feature Extraction and Deep Neural Network Method}, booktitle = {6th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2023, Sapporo, Japan, August 11-13, 2023}, pages = {599--603}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICKII58656.2023.10332646}, doi = {10.1109/ICKII58656.2023.10332646}, timestamp = {Thu, 04 Jan 2024 08:13:42 +0100}, biburl = {https://dblp.org/rec/conf/ickii/WangZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/Pham23, author = {Van{-}Khoa Pham}, title = {in-Memory Processing to Accelerate Convolutional Neural Networks}, booktitle = {International Conference on System Science and Engineering, {ICSSE} 2023, Ho Chi Minh, Vietnam, July 27-28, 2023}, pages = {28--32}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSSE58758.2023.10227155}, doi = {10.1109/ICSSE58758.2023.10227155}, timestamp = {Fri, 08 Sep 2023 15:28:11 +0200}, biburl = {https://dblp.org/rec/conf/icsse/Pham23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ict-dm/TrizioSCSPB23, author = {Federica De Trizio and Giancarlo Sciddurlo and Ilaria Cianci and Domenico Striccoli and Giuseppe Piro and Gennaro Boggia}, title = {Surviving Disaster Events Via Dynamic in-Network Processing Assisted by Network Digital Twins}, booktitle = {International Conference on Information and Communication Technologies for Disaster Management, {ICT-DM} 2023, Cosenza, Italy, September 13-15, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICT-DM58371.2023.10286937}, doi = {10.1109/ICT-DM58371.2023.10286937}, timestamp = {Wed, 08 Nov 2023 17:21:49 +0100}, biburl = {https://dblp.org/rec/conf/ict-dm/TrizioSCSPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idc/KistersVE23, author = {Philipp Kisters and Leonie van der Veen and Janick Edinger}, editor = {Michael K{\"{o}}hler{-}Bu{\ss}meier and Wolfgang Renz and Jan Sudeikat}, title = {Privacy-Preserving Edge Processing in Decentralized Citizen-Centric Sensor Networks}, booktitle = {Intelligent Distributed Computing {XVI} - 16th International Symposium on Intelligent Distributed Computing, {IDC} 2023, Hamburg, Germany, September 13-15, 2023}, series = {Studies in}, volume = {1138}, pages = {149--163}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-60023-4\_16}, doi = {10.1007/978-3-031-60023-4\_16}, timestamp = {Mon, 08 Jul 2024 09:47:30 +0200}, biburl = {https://dblp.org/rec/conf/idc/KistersVE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/NaganawaSI23, author = {Shota Naganawa and Kenta Seki and Makoto Iwasaki}, title = {Detection of Web Wrinkle Using Image Processing and Convolutional Neural Network in Web Transportation Systems}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312204}, doi = {10.1109/IECON51785.2023.10312204}, timestamp = {Sat, 25 Nov 2023 16:52:31 +0100}, biburl = {https://dblp.org/rec/conf/iecon/NaganawaSI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/OtsukaNH23, author = {Yuta Otsuka and Ryo Natsuaki and Akira Hirose}, title = {Proposal of Biquaternion Neural Networks for Coherent Processing of Polarization-and-Phase Information in Polsar and Polinsar}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {1914--1917}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10281436}, doi = {10.1109/IGARSS52108.2023.10281436}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/OtsukaNH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YangDHNLL023, author = {Gaobin Yang and Jun Du and Maokui He and Shutong Niu and Baoxiang Li and Jiakui Li and Chin{-}Hui Lee}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {{AD-TUNING:} An Adaptive {CHILD-TUNING} Approach to Efficient Hyperparameter Optimization of Child Networks for Speech Processing Tasks in the {SUPERB} Benchmark}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {421--425}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1167}, doi = {10.21437/INTERSPEECH.2023-1167}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YangDHNLL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LeiMLR23, author = {Jiaxin Lei and Manish Munikar and Hui Lu and Jia Rao}, title = {Accelerating Packet Processing in Container Overlay Networks via Packet-level Parallelism}, booktitle = {{IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2023, St. Petersburg, FL, USA, May 15-19, 2023}, pages = {79--89}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IPDPS54959.2023.00018}, doi = {10.1109/IPDPS54959.2023.00018}, timestamp = {Tue, 25 Jul 2023 16:27:14 +0200}, biburl = {https://dblp.org/rec/conf/ipps/LeiMLR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwssip/PopovaSK23, author = {Maryna Popova and Eduard Siemens and Kirill Karpov}, title = {The concept of text processing in an ontological approach to spatio-temporal social network analysis}, booktitle = {30th International Conference on Systems, Signals and Image Processing, {IWSSIP} 2023, Ohrid, North Macedonia, June 27-29, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IWSSIP58668.2023.10180274}, doi = {10.1109/IWSSIP58668.2023.10180274}, timestamp = {Thu, 27 Jul 2023 08:17:07 +0200}, biburl = {https://dblp.org/rec/conf/iwssip/PopovaSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/AhamedXBOUDFR23, author = {Shadab Ahamed and Yixi Xu and Ingrid Bloise and Joo Hyun O and Carlos F. Uribe and Rahul Dodhia and Juan Lavista Ferres and Arman Rahmim}, editor = {Olivier Colliot and Ivana Isgum}, title = {A slice classification neural network for automated classification of axial {PET/CT} slices from a multi-centric lymphoma dataset}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2652947}, doi = {10.1117/12.2652947}, timestamp = {Mon, 18 Mar 2024 16:27:18 +0100}, biburl = {https://dblp.org/rec/conf/miip/AhamedXBOUDFR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/GrafSMKH23, author = {Laura F. Graf and Hanna Siebert and Sven Mischkewitz and Ron Keuth and Mattias P. Heinrich}, editor = {Olivier Colliot and Ivana Isgum}, title = {Highly accurate deep registration networks for large deformation estimation in compression ultrasound}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2653870}, doi = {10.1117/12.2653870}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/GrafSMKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/HasanyPM23, author = {Syed Nouman Hasany and Caroline Petitjean and Fabrice M{\'{e}}riaudeau}, editor = {Olivier Colliot and Ivana Isgum}, title = {A study of attention information from transformer layers in hybrid medical image segmentation networks}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2652215}, doi = {10.1117/12.2652215}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/HasanyPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/KopnickMLBW23, author = {Johannes K{\"{o}}pnick and Jan Marek May and Bernd Lundt and Matthias Br{\"{u}}ck and Christian W{\"{u}}lker}, editor = {Olivier Colliot and Ivana Isgum}, title = {Estimation of the ankle-joint space visibility in x-ray images using convolutional neural networks}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2651757}, doi = {10.1117/12.2651757}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/KopnickMLBW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/NienhausMBSHFEH23, author = {Jonas Nienhaus and Philipp Matten and Anja Britten and Thomas Schlegl and Eva H{\"{o}}ck and Alexander Freytag and Matt Everett and Nancy Hecker{-}Denschlag and Wolfgang Drexler and Rainer A. Leitgeb and Tilman Schmoll}, editor = {Olivier Colliot and Ivana Isgum}, title = {Self-supervised denoising using optimized blind-spot networks for real-time application in 4D-OCT}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2653479}, doi = {10.1117/12.2653479}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/NienhausMBSHFEH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/PemmarajuSL23, author = {Rahul Pemmaraju and Daniel Y. Song and Junghoon Lee}, editor = {Olivier Colliot and Ivana Isgum}, title = {Cascaded neural network segmentation pipeline for automated delineation of prostate and organs at risk in male pelvic {CT}}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2653387}, doi = {10.1117/12.2653387}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/PemmarajuSL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ShanbhagPMKPGWB23, author = {Aakash D. Shanbhag and Konrad Pieszko and Robert J. H. Miller and Aditya Killekar and Waechter Parker and Heidi Gransar and Michelle Williams and Daniel S. Berman and Damini Dey and Piotr J. Slomka}, editor = {Olivier Colliot and Ivana Isgum}, title = {Comparative analysis between convolutional long short-term memory networks and vision transformers for coronary calcium scoring in non-contrast {CT}}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2655397}, doi = {10.1117/12.2655397}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ShanbhagPMKPGWB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ThielkeKHASM23, author = {Felix Thielke and Farina Kock and Annika H{\"{a}}nsch and Nasreddin Abolmaali and Andrea Schenk and Hans Meine}, editor = {Olivier Colliot and Ivana Isgum}, title = {Combining arterial and venous {CT} scans in a multi-encoder network for improved hepatic vessel segmentation}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2654157}, doi = {10.1117/12.2654157}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/ThielkeKHASM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/TkachenkoHAPDC23, author = {Olena Tkachenko and Sean Harding and Cleon Anderson and Jake B. Perazzone and Matthew Dwyer and Kevin S. Chan}, title = {Characterizing the Performance of Distributed Edge Processing Resource Allocation in Dynamic Networked Environments}, booktitle = {{IEEE} Military Communications Conference, {MILCOM} 2023, Boston, MA, USA, October 30 - Nov. 3, 2023}, pages = {888--893}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MILCOM58377.2023.10356276}, doi = {10.1109/MILCOM58377.2023.10356276}, timestamp = {Thu, 25 Jan 2024 09:55:40 +0100}, biburl = {https://dblp.org/rec/conf/milcom/TkachenkoHAPDC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mipr/Hellwagner23, author = {Hermann Hellwagner}, title = {Keynote Speaker: Advances in Edge-Based and In-Network Media Processing for Adaptive Video Streaming}, booktitle = {6th {IEEE} International Conference on Multimedia Information Processing and Retrieval, {MIPR} 2023, Singapore, August 30 - Sept. 1, 2023}, pages = {xviii}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MIPR59079.2023.00012}, doi = {10.1109/MIPR59079.2023.00012}, timestamp = {Sun, 01 Oct 2023 10:10:54 +0200}, biburl = {https://dblp.org/rec/conf/mipr/Hellwagner23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miwai/RaniR23, author = {A. Sandhya Rani and K. Swarupa Rani}, editor = {Morusupalli Raghava and Teja Santosh Dandibhotla and Vani Vathsala Atluri and David Windridge and Pawan Lingras and Venkateswara Rao Komati}, title = {Parallel and Distributed Query Processing in Attributed Networks}, booktitle = {Multi-disciplinary Trends in Artificial Intelligence - 16th International Conference, {MIWAI} 2023, Hyderabad, India, July 21-22, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14078}, pages = {124--134}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-36402-0\_11}, doi = {10.1007/978-3-031-36402-0\_11}, timestamp = {Wed, 06 Mar 2024 15:26:23 +0100}, biburl = {https://dblp.org/rec/conf/miwai/RaniR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/SonnadaraZWS23, author = {Charana Sonnadara and Mudi Zhang and Min Wu and Sahil Shah}, title = {Low-Power Mixed-Signal System for Processing Electric Network Frequency in IoT Devices}, booktitle = {66th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2023, Tempe, AZ, USA, August 6-9, 2023}, pages = {162--166}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MWSCAS57524.2023.10405891}, doi = {10.1109/MWSCAS57524.2023.10405891}, timestamp = {Sat, 24 Feb 2024 20:42:53 +0100}, biburl = {https://dblp.org/rec/conf/mwscas/SonnadaraZWS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/SarchTFW23, author = {Gabriel Sarch and Michael J. Tarr and Katerina Fragkiadaki and Leila Wehbe}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Brain Dissection: fMRI-trained Networks Reveal Spatial Selectivity in the Processing of Natural Images}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/90e06fe49254204248cb12562528b952-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/SarchTFW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norcas/MoheyKRA23, author = {Ahmed M. Mohey and Marko Kosunen and Jussi Ryyn{\"{a}}nen and Martin Andraud}, title = {Toward All-Digital Time-Domain Neural Network Accelerators for In-Sensor Processing Applications}, booktitle = {{IEEE} Nordic Circuits and Systems Conference, NorCAS 2023, Aalborg, Denmark, October 31 - Nov. 1, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/NorCAS58970.2023.10305470}, doi = {10.1109/NORCAS58970.2023.10305470}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/norcas/MoheyKRA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/CuginiNSP023, author = {Filippo Cugini and Carlos Natalino and Davide Scano and Francesco Paolucci and Paolo Monti}, title = {P4-based Telemetry Processing for Fast Soft Failure Recovery in Packet-Optical Networks}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117408}, doi = {10.23919/OFC49934.2023.10117408}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/CuginiNSP023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WangYET23, author = {Cen Wang and Noboru Yoshikane and Daniel J. Elson and Takehiro Tsuritani}, title = {Automation of Fast Configuration Error Diagnosis in Optical Transport Networks - Natural Language Processing is All You Need}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2023, San Diego, CA, USA, March 5-9, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/OFC49934.2023.10117375}, doi = {10.23919/OFC49934.2023.10117375}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/WangYET23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sipaim/NascimentoCF23, author = {M. S. Nascimento and Marly G. F. Costa and Cicero Ferreira Fernandes Costa Filho}, title = {Detection of Malaria Parasites in Thick Blood Smear Images using Shallow Neural Networks and Digital Image Processing Techniques}, booktitle = {19th International Symposium on Medical Information Processing and Analysis, {SIPAIM} 2023, Mexico City, Mexico, November 15-17, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SIPAIM56729.2023.10373464}, doi = {10.1109/SIPAIM56729.2023.10373464}, timestamp = {Tue, 16 Jan 2024 21:01:24 +0100}, biburl = {https://dblp.org/rec/conf/sipaim/NascimentoCF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/soict/HaiB23, author = {Vu Tuan Hai and Pham The Bao}, title = {Entangled topologies for quanvolutional neural networks in quantum image processing}, booktitle = {Proceedings of the 12th International Symposium on Information and Communication Technology, {SOICT} 2023, Ho Chi Minh, Vietnam, December 7-8, 2023}, pages = {357--362}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3628797.3628946}, doi = {10.1145/3628797.3628946}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/soict/HaiB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spa/SwietlickaHH23, author = {Aleksandra Swietlicka and Dagmara Haczyk and Marcel Haczyk}, title = {Graph Neural Networks for Natural Language Processing in Human-Robot Interaction}, booktitle = {Signal Processing: Algorithms, Architectures, Arrangements, and Applications, {SPA} 2023, Poznan, Poland, September 20-22, 2023}, pages = {89--94}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/SPA59660.2023.10274451}, doi = {10.23919/SPA59660.2023.10274451}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spa/SwietlickaHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cores/2023, editor = {Robert Burduk and Michal Choras and Rafal Kozik and Pawel Ksieniewicz and Tomasz Marciniak and Pawel Trajdos}, title = {Progress on Pattern Classification, Image Processing and Communications - Proceedings of the {CORES} and IP{\&}C Conferences 2023, Wroc{\l}aw, Poland}, series = {Lecture Notes in Networks and Systems}, volume = {766}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-41630-9}, doi = {10.1007/978-3-031-41630-9}, isbn = {978-3-031-41629-3}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cores/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2023, title = {The 22nd International Conference on Information Processing in Sensor Networks, {IPSN} 2023, San Antonio, TX, USA, May 9-12, 2023}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583120}, doi = {10.1145/3583120}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nafips/2022, editor = {Scott Dick and Vladik Kreinovich and Pawan Lingras}, title = {Applications of Fuzzy Techniques - Proceedings of the 2022 Annual Conference of the North American Fuzzy Information Processing Society, {NAFIPS} 2022, Halifax, NS, Canada, 31 May - 3 June 2022}, series = {Lecture Notes in Networks and Systems}, volume = {500}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-16038-7}, doi = {10.1007/978-3-031-16038-7}, isbn = {978-3-031-16037-0}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nafips/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nafips/2023, editor = {Kelly Cohen and Nicholas Ernest and Barnab{\'{a}}s Bede and Vladik Kreinovich}, title = {Fuzzy Information Processing 2023 - Proceedings of the 2023 Annual Conference of the North American Fuzzy Information Processing Society, {NAFIPS} 2023, and at the related Workshop on Constraint Programming and Decision Making CoProD 2023, Cincinnati, OH, USA, May 31 - June 2, 2023}, series = {Lecture Notes in Networks and Systems}, volume = {751}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-46778-3}, doi = {10.1007/978-3-031-46778-3}, isbn = {978-3-031-46777-6}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nafips/2023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-07372, author = {Diego R. Mafioletti and Frank Slyne and Robin Giller and Michael O'Hanlon and Brendan Ryan and Marco Ruffini}, title = {A Novel low-latency {DBA} for Virtualised {PON} implemented through {P4} In-Network Processing}, journal = {CoRR}, volume = {abs/2301.07372}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.07372}, doi = {10.48550/ARXIV.2301.07372}, eprinttype = {arXiv}, eprint = {2301.07372}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-07372.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-04122, author = {Orian Leitersdorf and Ronny Ronen and Shahar Kvatinsky}, title = {ConvPIM: Evaluating Digital Processing-in-Memory through Convolutional Neural Network Acceleration}, journal = {CoRR}, volume = {abs/2305.04122}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.04122}, doi = {10.48550/ARXIV.2305.04122}, eprinttype = {arXiv}, eprint = {2305.04122}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-04122.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-06492, author = {Hadjer Benmeziane and Halima Bouzidi and Hamza Ouarnoughi and Ozcan Ozturk and Sma{\"{\i}}l Niar}, title = {Treasure What You Have: Exploiting Similarity in Deep Neural Networks for Efficient Video Processing}, journal = {CoRR}, volume = {abs/2305.06492}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.06492}, doi = {10.48550/ARXIV.2305.06492}, eprinttype = {arXiv}, eprint = {2305.06492}, timestamp = {Tue, 16 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-06492.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13854, author = {Jana Vatter and Ruben Mayer and Hans{-}Arno Jacobsen}, title = {The Evolution of Distributed Systems for Graph Neural Networks and their Origin in Graph Processing and Deep Learning: {A} Survey}, journal = {CoRR}, volume = {abs/2305.13854}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13854}, doi = {10.48550/ARXIV.2305.13854}, eprinttype = {arXiv}, eprint = {2305.13854}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13854.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-08336, author = {Zahra Rezvani and Soroor Shekarizeh and Mohammad Sabokrou}, title = {Global-Local Processing in Convolutional Neural Networks}, journal = {CoRR}, volume = {abs/2306.08336}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.08336}, doi = {10.48550/ARXIV.2306.08336}, eprinttype = {arXiv}, eprint = {2306.08336}, timestamp = {Sun, 18 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-08336.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12449, author = {Murat Isik and Hiruna Vishwamith and Kayode Inadagbo and Ismail Can Dikmen}, title = {HPCNeuroNet: Advancing Neuromorphic Audio Signal Processing with Transformer-Enhanced Spiking Neural Networks}, journal = {CoRR}, volume = {abs/2311.12449}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12449}, doi = {10.48550/ARXIV.2311.12449}, eprinttype = {arXiv}, eprint = {2311.12449}, timestamp = {Wed, 21 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12841, author = {Clemens Schlegel and Dirk Alexander Molitor and Christian Kubik and Daniel Michael Martin and Peter Groche}, title = {Tool Wear Segmentation in Blanking Processes with Fully Convolutional Networks based Digital Image Processing}, journal = {CoRR}, volume = {abs/2311.12841}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12841}, doi = {10.48550/ARXIV.2311.12841}, eprinttype = {arXiv}, eprint = {2311.12841}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12841.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/DoshiHDV23, title = {Deep belief network-based image processing for local directional segmentation in brain tumor detection}, journal = {J. Electronic Imaging}, volume = {32}, number = {6}, year = {2023}, note = {Withdrawn.}, url = {https://doi.org/10.1117/1.jei.32.6.062502}, doi = {10.1117/1.JEI.32.6.062502}, timestamp = {Fri, 22 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jei/DoshiHDV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Schubert22, author = {Fabian Schubert}, title = {Homeostasis in neural networks: implications for information processing and learning}, school = {Frankfurt University, Germany}, year = {2022}, url = {http://publikationen.ub.uni-frankfurt.de/frontdoor/index/index/docId/68925}, urn = {urn:nbn:de:hebis:30:3-689255}, doi = {10.21248/GUPS.68925}, timestamp = {Wed, 20 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Schubert22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Soliman22, author = {Taha Soliman}, title = {Ultra-low power approximate processing-in-memory acceleration for deep neural networks = Approximative Prozessierung viellagiger neuronaler Netze in Speichermatrizen f{\"{u}}r Systeme mit ultra-geringer Leistungsaufnahme}, school = {Kaiserslautern University of Technology, Germany}, year = {2022}, url = {https://d-nb.info/130117355X}, isbn = {978-3-95974-197-2}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Soliman22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/es/Lawrie22, author = {Sof{\'{\i}}a Lawrie}, title = {Information representation and processing in neuronal networks: from biological to artificial systems and from first to second-order statistics}, school = {Pompeu Fabra University, Spain}, year = {2022}, url = {http://hdl.handle.net/10803/673989}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/es/Lawrie22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/gr/Trigka2022, author = {Maria Trigka}, title = {Advanced signal processing techniques for next generation mobile networks in the mmWave spectrum ({\(\Pi\)}{\(\rho\)}{\(o\)}{\(\chi\)}{\(\omega\)}{\(\rho\)}{\(\eta\)}{\(\mu\)}{\(\acute{\epsilon}\)}{\(\nu\)}{\(\epsilon\)}{\(\varsigma\)} {\(\tau\)}{\(\epsilon\)}{\(\chi\)}{\(\nu\)}{\(\iota\)}{\(\kappa\)}{\(\acute{\epsilon}\)}{\(\varsigma\)} {\(\epsilon\)}{\(\pi\)}{\(\epsilon\)}{\(\xi\)}{\(\epsilon\)}{\(\rho\)}{\(\gamma\)}{\(\alpha\)}{\(\sigma\)}{\(\acute{\iota}\)}{\(\alpha\)}{\(\varsigma\)} {\(\sigma\)}{\(\acute{\eta}\)}{\(\mu\)}{\(\alpha\)}{\(\tau\)}{\(o\)}{\(\varsigma\)} {\(\gamma\)}{\(\iota\)}{\(\alpha\)} {\(\kappa\)}{\(\iota\)}{\(\nu\)}{\(\eta\)}{\(\tau\)}{\'{$\alpha$}} {\(\delta\)}{\(\acute{\iota}\)}{\(\kappa\)}{\(\tau\)}{\(\upsilon\)}{\(\alpha\)} {\(\epsilon\)}{\(\pi\)}{\'{o}}{\(\mu\)}{\(\epsilon\)}{\(\nu\)}{\(\eta\)}{\(\varsigma\)} {\(\gamma\)}{\(\epsilon\)}{\(\nu\)}{\(\iota\)}{\'{$\alpha$}}{\(\varsigma\)} {\(\sigma\)}{\(\tau\)}{\(o\)} {\(\chi\)}{\(\iota\)}{\(\lambda\)}{\(\iota\)}{\(o\)}{\(\sigma\)}{\(\tau\)}{\(o\)}{\(\mu\)}{\(\epsilon\)}{\(\tau\)}{\(\rho\)}{\(\iota\)}{\(\kappa\)}{\'{o}} {\(\varphi\)}{\'{$\alpha$}}{\(\sigma\)}{\(\mu\)}{\(\alpha\)} {\(\sigma\)}{\(\upsilon\)}{\(\chi\)}{\(\nu\)}{\(o\)}{\(\tau\)}{\(\acute{\eta}\)}{\(\tau\)}{\(\omega\)}{\(\nu\)})}, school = {University of Patras, Greece}, year = {2022}, url = {http://hdl.handle.net/10442/hedi/52718}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/gr/Trigka2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:series/wn/LiLC22, author = {Fuwei Li and Lifeng Lai and Shuguang Cui}, title = {Machine Learning Algorithms - Adversarial Robustness in Signal Processing}, series = {Wireless Networks}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16375-3}, doi = {10.1007/978-3-031-16375-3}, isbn = {978-3-031-16374-6}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/wn/LiLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MarinoFA22, author = {Angela Gonzalez Mari{\~{n}}o and Francesc Fons and Juan{-}Manuel Moreno Ar{\'{o}}stegui}, title = {The Future Roadmap of In-Vehicle Network Processing: {A} HW-Centric (R-)evolution}, journal = {{IEEE} Access}, volume = {10}, pages = {69223--69249}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3186708}, doi = {10.1109/ACCESS.2022.3186708}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MarinoFA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SakaviciusSA22, author = {Saulius Sakavicius and Arturas Serackis and Vytautas Abromavicius}, title = {Multiple Sound Source Localization in Three Dimensions Using Convolutional Neural Networks and Clustering Based Post-Processing}, journal = {{IEEE} Access}, volume = {10}, pages = {125707--125722}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3225968}, doi = {10.1109/ACCESS.2022.3225968}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SakaviciusSA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/YangWLC22, author = {Xiaoxuan Yang and Changming Wu and Mo Li and Yiran Chen}, title = {Tolerating Noise Effects in Processing-in-Memory Systems for Neural Networks: {A} Hardware-Software Codesign Perspective}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {8}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200029}, doi = {10.1002/AISY.202200029}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/YangWLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/algorithms/Cho22, author = {Hyung{-}Ju Cho}, title = {The Efficient Processing of Moving k-Farthest Neighbor Queries in Road Networks}, journal = {Algorithms}, volume = {15}, number = {7}, pages = {223}, year = {2022}, url = {https://doi.org/10.3390/a15070223}, doi = {10.3390/A15070223}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/algorithms/Cho22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/AgapitoMC22, author = {Giuseppe Agapito and Marianna Milano and Mario Cannataro}, title = {A statistical network pre-processing method to improve relevance and significance of gene lists in microarray gene expression studies}, journal = {{BMC} Bioinform.}, volume = {23-S}, number = {6}, pages = {393}, year = {2022}, url = {https://doi.org/10.1186/s12859-022-04936-z}, doi = {10.1186/S12859-022-04936-Z}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/AgapitoMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/SladkyHKGKTKPGL22, author = {Ronald Sladky and Andreas Hahn and Inga{-}Lisa Karl and Nicole Geissberger and Georg S. Kranz and Martin Tik and Christoph Kraus and Daniela M. Pfabigan and Andreas Gartus and Rupert Lanzenberger and Claus Lamm and Christian Windischberger}, title = {Dynamic Causal Modeling of the Prefrontal/Amygdala Network During Processing of Emotional Faces}, journal = {Brain Connect.}, volume = {12}, number = {7}, pages = {670--682}, year = {2022}, url = {https://doi.org/10.1089/brain.2021.0073}, doi = {10.1089/BRAIN.2021.0073}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/brain/SladkyHKGKTKPGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/FreitasFCSK22, author = {Eduardo Freitas and Assis T. de Oliveira Filho and Pedro Rafael X. do Carmo and Djamel Sadok and Judith Kelner}, title = {A survey on accelerating technologies for fast network packet processing in Linux environments}, journal = {Comput. Commun.}, volume = {196}, pages = {148--166}, year = {2022}, url = {https://doi.org/10.1016/j.comcom.2022.10.003}, doi = {10.1016/J.COMCOM.2022.10.003}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/FreitasFCSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcan/MousaviCAKR22, author = {Seyed Navid Mousavi and Fengping Chen and Mahdi Abbasi and Mohammad Reza Khosravi and Milad Rafiee}, title = {Efficient pipelined flow classification for intelligent data processing in IoT}, journal = {Digit. Commun. Networks}, volume = {8}, number = {4}, pages = {561--575}, year = {2022}, url = {https://doi.org/10.1016/j.dcan.2022.04.010}, doi = {10.1016/J.DCAN.2022.04.010}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dcan/MousaviCAKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/CaoLGG22, author = {Tiancheng Cao and Chen Liu and Yuan Gao and Wang Ling Goh}, title = {Parasitic-Aware Modeling and Neural Network Training Scheme for Energy-Efficient Processing-in-Memory With Resistive Crossbar Array}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {12}, number = {2}, pages = {436--444}, year = {2022}, url = {https://doi.org/10.1109/JETCAS.2022.3172170}, doi = {10.1109/JETCAS.2022.3172170}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/CaoLGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/ClausS22, author = {Stefan Claus and Massimo Stella}, title = {Natural Language Processing and Cognitive Networks Identify {UK} Insurers' Trends in Investor Day Transcripts}, journal = {Future Internet}, volume = {14}, number = {10}, pages = {291}, year = {2022}, url = {https://doi.org/10.3390/fi14100291}, doi = {10.3390/FI14100291}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fi/ClausS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/FujiwaraU22, author = {Yosuke Fujiwara and Junichi Ushiba}, title = {Deep Residual Convolutional Neural Networks for Brain-Computer Interface to Visualize Neural Processing of Hand Movements in the Human Brain}, journal = {Frontiers Comput. Neurosci.}, volume = {16}, pages = {882290}, year = {2022}, url = {https://doi.org/10.3389/fncom.2022.882290}, doi = {10.3389/FNCOM.2022.882290}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/FujiwaraU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iahe/YeZ22, author = {Junmin Ye and Jin Zhou}, title = {Exploring the relationship between learning sentiments and cognitive processing in online collaborative learning: {A} network analytic approach}, journal = {Internet High. Educ.}, volume = {55}, pages = {100875}, year = {2022}, url = {https://doi.org/10.1016/j.iheduc.2022.100875}, doi = {10.1016/J.IHEDUC.2022.100875}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iahe/YeZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeenl/ChatterjeeSO22, author = {Bijoy Chand Chatterjee and Basavaprabhu S and Eiji Oki}, title = {{BPA:} Approximation Batch-Processing Algorithm for Static Lightpath Requests in Elastic Optical Networks}, journal = {{IEEE} Netw. Lett.}, volume = {4}, number = {4}, pages = {189--193}, year = {2022}, url = {https://doi.org/10.1109/LNET.2022.3211150}, doi = {10.1109/LNET.2022.3211150}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeenl/ChatterjeeSO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnis/FirdausK22, author = {Muhammad Yus Firdaus and Mustofa Kamil}, title = {Climate Change Analysis Based on Satellite Multispectral Image Processing in Feature Selection Using Reinforcement Learning}, journal = {Int. J. Commun. Networks Inf. Secur.}, volume = {14}, number = {2}, pages = {261--272}, year = {2022}, url = {https://doi.org/10.17762/ijcnis.v14i2.5520}, doi = {10.17762/IJCNIS.V14I2.5520}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnis/FirdausK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/DUliziaGF22, author = {Arianna D'Ulizia and Patrizia Grifoni and Fernando Ferri}, title = {Query Processing of Geosocial Data in Location-Based Social Networks}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {11}, number = {1}, pages = {19}, year = {2022}, url = {https://doi.org/10.3390/ijgi11010019}, doi = {10.3390/IJGI11010019}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/DUliziaGF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijig/VargheseS22, author = {Prathibha Varghese and G. Arockia Selva Saroja}, title = {Deep Learning-Based Hexrep Neural Network for Convergence Free with Operator's Efficacy in Hexagonal Image Processing}, journal = {Int. J. Image Graph.}, volume = {22}, number = {4}, pages = {2350032:1--2350032:23}, year = {2022}, url = {https://doi.org/10.1142/S0219467823500328}, doi = {10.1142/S0219467823500328}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijig/VargheseS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijswis/SrivastavaRJP22, author = {Akhilesh M. Srivastava and Priyanka Rotte and Arushi Jain and Surya Prakash}, title = {Handling Data Scarcity Through Data Augmentation in Training of Deep Neural Networks for 3D Data Processing}, journal = {Int. J. Semantic Web Inf. Syst.}, volume = {18}, number = {1}, pages = {1--16}, year = {2022}, url = {https://doi.org/10.4018/ijswis.297038}, doi = {10.4018/IJSWIS.297038}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijswis/SrivastavaRJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/EscolaSGSFO22, author = {Jo{\~{a}}o Paulo Lemos Escola and Uender Barbosa de Souza and Rodrigo Capobianco Guido and Ivan Nunes da Silva and Jovander da Silva Freitas and Lucas de Ara{\'{u}}jo Oliveira}, title = {A mesh network case study for digital audio signal processing in Smart Farm}, journal = {Internet Things}, volume = {17}, pages = {100488}, year = {2022}, url = {https://doi.org/10.1016/j.iot.2021.100488}, doi = {10.1016/J.IOT.2021.100488}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/EscolaSGSFO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ZhongYXQC22, author = {Yuhao Zhong and Guocheng Yang and Hua Xu and Xue Qin and Dajiang Chen}, title = {Learning-Based Health Prediction Method for Airborne {DME} Receiver with Signal Processing Techniques in 6G Networks}, journal = {J. Circuits Syst. Comput.}, volume = {31}, number = {12}, pages = {2250207:1--2250207:21}, year = {2022}, url = {https://doi.org/10.1142/S0218126622502073}, doi = {10.1142/S0218126622502073}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsc/ZhongYXQC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/KrithikaP22, author = {L. B. Krithika and G. G. Lakshmi Priya}, title = {{MAFONN-EP:} {A} Minimal Angular Feature Oriented Neural Network based Emotion Prediction system in image processing}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {34}, number = {1}, pages = {1320--1329}, year = {2022}, url = {https://doi.org/10.1016/j.jksuci.2018.09.011}, doi = {10.1016/J.JKSUCI.2018.09.011}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jksucis/KrithikaP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/SediyonoWP22, author = {Eko Sediyono and Ferry Wahyu Wibowo and Hindriyanto Dwi Purnomo}, title = {Leader election of dynamic wireless intelligent control machine in sensor network distributed processing}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {34}, number = {10 Part {B}}, pages = {9146--9162}, year = {2022}, url = {https://doi.org/10.1016/j.jksuci.2022.08.037}, doi = {10.1016/J.JKSUCI.2022.08.037}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jksucis/SediyonoWP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/LokeSSMKQCS22, author = {Jessica Loke and Noor Seijdel and Lukas Snoek and Matthew van der Meer and Ron van de Klundert and Eva Quispel and Natalie L. M. Cappaert and H. Steven Scholte}, title = {A Critical Test of Deep Convolutional Neural Networks' Ability to Capture Recurrent Processing in the Brain Using Visual Masking}, journal = {J. Cogn. Neurosci.}, volume = {34}, number = {12}, pages = {2390--2405}, year = {2022}, url = {https://doi.org/10.1162/jocn\_a\_01914}, doi = {10.1162/JOCN\_A\_01914}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/LokeSSMKQCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/join/ZhaoSM22, author = {Yuanjing Zhao and R. Dinesh Jackson Samuel and Adhiyaman Manickam}, title = {Research on the Application of Computer Image Processing Technology in Painting Creation}, journal = {J. Interconnect. Networks}, volume = {22}, number = {Supp-05}, pages = {2147020:1--2147020:21}, year = {2022}, url = {https://doi.org/10.1142/S0219265921470204}, doi = {10.1142/S0219265921470204}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/join/ZhaoSM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/ShadadiA22, author = {Ebtesam Shadadi and Latifah Alamer}, title = {Hierarchical Parallel Processing for Data Clustering in {GPU} Using Deep Nearest Neighbor Searching}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {13}, number = {4}, pages = {155--168}, year = {2022}, url = {https://doi.org/10.58346/jowua.2022.i4.010}, doi = {10.58346/JOWUA.2022.I4.010}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/ShadadiA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YuYCKK22, author = {Chengshuo Yu and Taegeun Yoo and Kevin Tshun Chuan Chai and Tony Tae{-}Hyoung Kim and Bongjin Kim}, title = {A 65-nm 8T {SRAM} Compute-in-Memory Macro With Column ADCs for Processing Neural Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {11}, pages = {3466--3476}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3162602}, doi = {10.1109/JSSC.2022.3162602}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/YuYCKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/Gallego-MolinaO22, author = {Nicol{\'{a}}s Gallego{-}Molina and Andr{\'{e}}s Ortiz and Francisco Jes{\'{u}}s Mart{\'{\i}}nez{-}Murcia and Marco A. Formoso and Almudena Gim{\'{e}}nez}, title = {Complex network modeling of {EEG} band coupling in dyslexia: An exploratory analysis of auditory processing and diagnosis}, journal = {Knowl. Based Syst.}, volume = {240}, pages = {108098}, year = {2022}, url = {https://doi.org/10.1016/j.knosys.2021.108098}, doi = {10.1016/J.KNOSYS.2021.108098}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/Gallego-MolinaO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/RussoLI22, author = {Carlo Russo and Sidong Liu and Antonio Di Ieva}, title = {Spherical coordinates transformation pre-processing in Deep Convolution Neural Networks for brain tumor segmentation in {MRI}}, journal = {Medical Biol. Eng. Comput.}, volume = {60}, number = {1}, pages = {121--134}, year = {2022}, url = {https://doi.org/10.1007/s11517-021-02464-1}, doi = {10.1007/S11517-021-02464-1}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/RussoLI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/OliveiraGGBM22, author = {Geraldo F. Oliveira and Juan G{\'{o}}mez{-}Luna and Saugata Ghose and Amirali Boroumand and Onur Mutlu}, title = {Accelerating Neural Network Inference With Processing-in-DRAM: From the Edge to the Cloud}, journal = {{IEEE} Micro}, volume = {42}, number = {6}, pages = {25--38}, year = {2022}, url = {https://doi.org/10.1109/MM.2022.3202350}, doi = {10.1109/MM.2022.3202350}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/OliveiraGGBM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/LinTLMW22, author = {Yun Lin and Ya Tu and Jingchao Li and Shiwen Mao and Honggang Wang}, title = {Editorial: Intelligent Multimodal Information Processing in Mobile Multimedia {(MOBIMEDIA} 2020)}, journal = {Mob. Networks Appl.}, volume = {27}, number = {6}, pages = {2348--2351}, year = {2022}, url = {https://doi.org/10.1007/s11036-022-02080-9}, doi = {10.1007/S11036-022-02080-9}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/LinTLMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/LuoWF22, author = {Zhe Luo and Yunhe Wang and Weina Fu}, title = {Intelligence Information Processing Applications in Meta World}, journal = {Mob. Networks Appl.}, volume = {27}, number = {6}, pages = {2483--2486}, year = {2022}, url = {https://doi.org/10.1007/s11036-022-02067-6}, doi = {10.1007/S11036-022-02067-6}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/LuoWF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/RenS22, author = {Dan Ren and Gautam Srivastava}, title = {A Novel Natural Language Processing Model in Mobile Communication Networks}, journal = {Mob. Networks Appl.}, volume = {27}, number = {6}, pages = {2575--2584}, year = {2022}, url = {https://doi.org/10.1007/s11036-022-02072-9}, doi = {10.1007/S11036-022-02072-9}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/RenS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/SS22, author = {Anbukkarasi S and S. Varadhaganapathy}, title = {Neural network-based error handler in natural language processing}, journal = {Neural Comput. Appl.}, volume = {34}, number = {23}, pages = {20629--20638}, year = {2022}, url = {https://doi.org/10.1007/s00521-022-07489-7}, doi = {10.1007/S00521-022-07489-7}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/SS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/ZengYZL22, author = {Yifu Zeng and ZhiBang Yang and Wei Zhang and Chuang Li}, title = {Application of processing technology based on skyline query in computer network}, journal = {Neural Comput. Appl.}, volume = {34}, number = {4}, pages = {2637--2647}, year = {2022}, url = {https://doi.org/10.1007/s00521-021-05931-w}, doi = {10.1007/S00521-021-05931-W}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/ZengYZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WangVGKLH22, author = {Sean Wang and Jennika Veinot and Amita Goyal and Ali Khatibi and Sara W. Lazar and Javeria Ali Hashmi}, title = {Distinct networks of periaqueductal gray columns in pain and threat processing}, journal = {NeuroImage}, volume = {250}, pages = {118936}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.118936}, doi = {10.1016/J.NEUROIMAGE.2022.118936}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/WangVGKLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojcs/ZengF22, author = {Ming Zeng and Viktoria Fodor}, title = {Parallel Processing at the Edge in Dense Wireless Networks}, journal = {{IEEE} Open J. Commun. Soc.}, volume = {3}, pages = {1--14}, year = {2022}, url = {https://doi.org/10.1109/OJCOMS.2022.3143426}, doi = {10.1109/OJCOMS.2022.3143426}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ojcs/ZengF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/LiLZWCHS22, author = {Junru Li and Youyou Lu and Yiming Zhang and Qing Wang and Zhuo Cheng and Keji Huang and Jiwu Shu}, title = {SwitchTx: Scalable In-Network Coordination for Distributed Transaction Processing}, journal = {Proc. {VLDB} Endow.}, volume = {15}, number = {11}, pages = {2881--2894}, year = {2022}, url = {https://www.vldb.org/pvldb/vol15/p2881-li.pdf}, doi = {10.14778/3551793.3551838}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/LiLZWCHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WuLWZZZL22, author = {Qiong Wu and Shi Liu and Bin Wang and Linshan Zhong and Lijiang Zhao and Shengpeng Zhang and Hongqing Li}, title = {Data Processing of Gravity Base Network in Plateau Area: The Case of Qinghai Province, China}, journal = {Remote. Sens.}, volume = {14}, number = {5}, pages = {1142}, year = {2022}, url = {https://doi.org/10.3390/rs14051142}, doi = {10.3390/RS14051142}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WuLWZZZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongQHLZHLQ22, author = {Ping Song and Youtian Qie and Chuangbo Hao and Yifan Li and Yue Zhao and Yi Hao and Hongbo Liu and Yishen Qi}, title = {Resource-Saving Customizable Pipeline Network Architecture for Multi-Signal Processing in Edge Devices}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5720}, year = {2022}, url = {https://doi.org/10.3390/s22155720}, doi = {10.3390/S22155720}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongQHLZHLQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/softx/AbdollahiFGH22, author = {Masoud Abdollahi and Babak Farjad and Anil Gupta and Quazi K. Hassan}, title = {CMIP6-D{\&}A: An R-based software with {GUI} for processing climate data available in network common data format}, journal = {SoftwareX}, volume = {18}, pages = {101044}, year = {2022}, url = {https://doi.org/10.1016/j.softx.2022.101044}, doi = {10.1016/J.SOFTX.2022.101044}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/softx/AbdollahiFGH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChenLSCMMW22, author = {Jiaxian Chen and Yiquan Lin and Kaoyi Sun and Jiexin Chen and Chenlin Ma and Rui Mao and Yi Wang}, title = {{GCIM:} Toward Efficient Processing of Graph Convolutional Networks in 3D-Stacked Memory}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {11}, pages = {3579--3590}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3198320}, doi = {10.1109/TCAD.2022.3198320}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChenLSCMMW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/HuangZYWLLJX22, author = {Yu Huang and Long Zheng and Pengcheng Yao and Qinggang Wang and Haifeng Liu and Xiaofei Liao and Hai Jin and Jingling Xue}, title = {ReaDy: {A} ReRAM-Based Processing-in-Memory Accelerator for Dynamic Graph Convolutional Networks}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {11}, pages = {3567--3578}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3199152}, doi = {10.1109/TCAD.2022.3199152}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/HuangZYWLLJX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ZhengLGWCCSH22, author = {Qilin Zheng and Xingchen Li and Yijin Guan and Zongwei Wang and Yimao Cai and Yiran Chen and Guangyu Sun and Ru Huang}, title = {PIMulator-NN: An Event-Driven, Cross-Level Simulation Framework for Processing-In-Memory-Based Neural Network Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {12}, pages = {5464--5475}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3160947}, doi = {10.1109/TCAD.2022.3160947}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ZhengLGWCCSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/MuKK22, author = {Junjie Mu and Hyunjoon Kim and Bongjin Kim}, title = {SRAM-Based In-Memory Computing Macro Featuring Voltage-Mode Accumulator and Row-by-Row {ADC} for Processing Neural Networks}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {6}, pages = {2412--2422}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2022.3152653}, doi = {10.1109/TCSI.2022.3152653}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/MuKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/CococcioniRRS22, author = {Marco Cococcioni and Federico Rossi and Emanuele Ruffaldi and Sergio Saponara}, title = {A Lightweight Posit Processing Unit for {RISC-V} Processors in Deep Neural Network Applications}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {10}, number = {4}, pages = {1898--1908}, year = {2022}, url = {https://doi.org/10.1109/TETC.2021.3120538}, doi = {10.1109/TETC.2021.3120538}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetc/CococcioniRRS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ZhangSYWTL22, author = {Li Zhang and Donghan Shi and Tianbo Yang and Kui Wang and Yi Tang and Wai Kuan Loh}, title = {Partial Power Processing for Power Decoupling Network in Three-Phase Three-Leg Four-Wire Three-Level T-Type Inverter With Reduced Split DC-Bus Capacitance}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {4}, pages = {3643--3655}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3073358}, doi = {10.1109/TIE.2021.3073358}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ZhangSYWTL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KhrijiBCHK22, author = {Sabrine Khriji and Yahia Benbelgacem and Rym Ch{\'{e}}our and Dhouha El Houssaini and Olfa Kanoun}, title = {Design and implementation of a cloud-based event-driven architecture for real-time data processing in wireless sensor networks}, journal = {J. Supercomput.}, volume = {78}, number = {3}, pages = {3374--3401}, year = {2022}, url = {https://doi.org/10.1007/s11227-021-03955-6}, doi = {10.1007/S11227-021-03955-6}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KhrijiBCHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhangLHZ22, author = {Mengxuan Zhang and Lei Li and Wen Hua and Xiaofang Zhou}, title = {Stream Processing of Shortest Path Query in Dynamic Road Networks}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {34}, number = {5}, pages = {2458--2471}, year = {2022}, url = {https://doi.org/10.1109/TKDE.2020.3010005}, doi = {10.1109/TKDE.2020.3010005}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/ZhangLHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/KorikawaO22, author = {Tomohiro Korikawa and Eiji Oki}, title = {Memory Network Architecture for Packet Processing in Functions Virtualization}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {19}, number = {3}, pages = {3304--3322}, year = {2022}, url = {https://doi.org/10.1109/TNSM.2022.3159091}, doi = {10.1109/TNSM.2022.3159091}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnsm/KorikawaO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/KimLJKK22, author = {Kyeong{-}Rok Kim and Jung{-}Hoon Lee and Soyi Jung and Joongheon Kim and Jae{-}Hyun Kim}, title = {Stabilized Detection Accuracy Maximization Using Adaptive {SAR} Image Processing in {LEO} Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {71}, number = {5}, pages = {5661--5665}, year = {2022}, url = {https://doi.org/10.1109/TVT.2022.3154604}, doi = {10.1109/TVT.2022.3154604}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/KimLJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/NgoFW22, author = {Hieu Ngo and Hua Fang and Honggang Wang}, title = {Beamforming and Scalable Image Processing in Vehicle-to-Vehicle Networks}, journal = {J. Signal Process. Syst.}, volume = {94}, number = {5}, pages = {445--454}, year = {2022}, url = {https://doi.org/10.1007/s11265-021-01696-6}, doi = {10.1007/S11265-021-01696-6}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/NgoFW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/Le-ThanhH22, author = {Toi Le{-}Thanh and Khuong Ho{-}Van}, title = {Adaptive processing in overlay networks for performance improvement}, journal = {Wirel. Networks}, volume = {28}, number = {8}, pages = {3639--3652}, year = {2022}, url = {https://doi.org/10.1007/s11276-022-03089-4}, doi = {10.1007/S11276-022-03089-4}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/winet/Le-ThanhH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/PeriolaAO22, author = {A. A. Periola and Akintunde A. Alonge and Kingsley A. Ogudo}, title = {Edge computing for big data processing in underwater applications}, journal = {Wirel. Networks}, volume = {28}, number = {5}, pages = {2255--2271}, year = {2022}, url = {https://doi.org/10.1007/s11276-022-02971-5}, doi = {10.1007/S11276-022-02971-5}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/PeriolaAO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/AntonyL22, author = {Hyils Sharon Magdalene Antony and Thulasimani Lakshmanan}, title = {A Novel Second-Order Perturbation Technique for Improving the Signal Processing in {MIMO-NOMA} Based Cognitive Radio Networks}, journal = {Wirel. Pers. Commun.}, volume = {124}, number = {1}, pages = {293--314}, year = {2022}, url = {https://doi.org/10.1007/s11277-021-09343-w}, doi = {10.1007/S11277-021-09343-W}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/AntonyL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/BlairVP22, author = {Lorson Blair and Carlos A. Varela and Stacy Patterson}, editor = {Claudio Agostino Ardagna and Nimanthi L. Atukorala and Rajkumar Buyya and Carl K. Chang and Rong N. Chang and Ernesto Damiani and Gargi Banerjee Dasgupta and Fabrizio Gagliardi and Christoph Hagleitner and Dejan S. Milojicic and Tuan M. Hoang Trong and Robert Ward and Fatos Xhafa and Jia Zhang}, title = {A Continuum Approach for Collaborative Task Processing in {UAV} {MEC} Networks}, booktitle = {{IEEE} 15th International Conference on Cloud Computing, {CLOUD} 2022, Barcelona, Spain, July 10-16, 2022}, pages = {247--256}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CLOUD55607.2022.00046}, doi = {10.1109/CLOUD55607.2022.00046}, timestamp = {Fri, 23 Jun 2023 11:36:46 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/BlairVP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/artiis/MarquezAMQ22, author = {Bogart Yail M{\'{a}}rquez and Arnulfo Alanis and Jos{\'{e}} Sergio Magdaleno{-}Palencia and Angeles Quezada}, editor = {Teresa Guarda and Filipe Portela and Maria Fernanda Augusto}, title = {Artificial Neural Networks Applied to Natural Language Processing in Academic Texts}, booktitle = {Advanced Research in Technologies, Information, Innovation and Sustainability - Second International Conference, {ARTIIS} 2022, Santiago de Compostela, Spain, September 12-15, 2022, Revised Selected Papers, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1675}, pages = {535--545}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20319-0\_40}, doi = {10.1007/978-3-031-20319-0\_40}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/artiis/MarquezAMQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/FeiHHZZ22, author = {Xiang Fei and Jianhui Han and Jianqiang Huang and Weimin Zheng and Youhui Zhang}, title = {Accelerating Neural Network Training with Processing-in-Memory {GPU}}, booktitle = {22nd {IEEE} International Symposium on Cluster, Cloud and Internet Computing, CCGrid 2022, Taormina, Italy, May 16-19, 2022}, pages = {414--421}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCGrid54584.2022.00051}, doi = {10.1109/CCGRID54584.2022.00051}, timestamp = {Mon, 25 Jul 2022 16:20:02 +0200}, biburl = {https://dblp.org/rec/conf/ccgrid/FeiHHZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/WuST0F22, author = {Huanzhuo Wu and Yunbin Shen and M{\'{a}}t{\'{e}} T{\"{o}}m{\"{o}}sk{\"{o}}zi and Giang T. Nguyen and Frank H. P. Fitzek}, title = {Demonstration of In-Network Audio Processing for Low-Latency Anomaly Detection in Smart Factories}, booktitle = {19th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2022, Las Vegas, NV, USA, January 8-11, 2022}, pages = {933--934}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCNC49033.2022.9700506}, doi = {10.1109/CCNC49033.2022.9700506}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/WuST0F22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciot/MekbungwanPK22, author = {Preechai Mekbungwan and Giovanni Pau and Kanchana Kanchanasut}, title = {In-network Computation for IoT Data Processing with ActiveNDN in Wireless Sensor Networks}, booktitle = {5th Conference on Cloud and Internet of Things, CIoT 2022, Marrakech, Morocco, March 28-30, 2022}, pages = {197--204}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CIoT53061.2022.9766613}, doi = {10.1109/CIOT53061.2022.9766613}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ciot/MekbungwanPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codesisss/LiZXZWZ22, author = {Yueting Li and Bingluo Zhao and Xinyi Xu and Yundong Zhang and Jun Wang and Weisheng Zhao}, title = {Work-in-Progress: Toward Energy-efficient Near {STT-MRAM} Processing Architecture for Neural Networks}, booktitle = {International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2022, Shanghai, China, October 7-14, 2022}, pages = {13--14}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CODES-ISSS55005.2022.00013}, doi = {10.1109/CODES-ISSS55005.2022.00013}, timestamp = {Thu, 24 Nov 2022 14:56:16 +0100}, biburl = {https://dblp.org/rec/conf/codesisss/LiZXZWZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsnets/DasC22, author = {Anirban Das and Suchetana Chakraborty}, title = {Experience: Developing a Testbed for Ambient Sensing and in-Network Data Processing}, booktitle = {14th International Conference on COMmunication Systems {\&} NETworkS, {COMSNETS} 2022, Bangalore, India, January 4-8, 2022}, pages = {691--699}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/COMSNETS53615.2022.9668593}, doi = {10.1109/COMSNETS53615.2022.9668593}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comsnets/DasC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscn/MohammadisarabKNMAJ22, author = {Amir Mohammadisarab and Ata Khalili and Ali Nouruzi and Nader Mokari and Bijan Abbasi Arand and Eduard A. Jorswieck}, title = {Joint Resource Allocation, Task Processing, and Trajectory Design for UAV-assisted Industrial IoT Users in 6G Networks}, booktitle = {{IEEE} Conference on Standards for Communications and Networking, {CSCN} 2022, Thessaloniki, Greece, November 28-30, 2022}, pages = {71--77}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSCN57023.2022.10050976}, doi = {10.1109/CSCN57023.2022.10050976}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscn/MohammadisarabKNMAJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csit/ChaikivskyiSZBR22, author = {Taras Chaikivskyi and Bogdan B. Sus and Sergiy P. Zagorodnyuk and Oleksandr S. Bauzha and Viktor Reutskyy}, title = {Artificial Neural Networks Implementation in Ethylbenzene Oxidation Data Processing}, booktitle = {17th {IEEE} International Conference on Computer Sciences and Information Technologies, {CSIT} 2022, Lviv, Ukraine, November 10-12, 2022}, pages = {17--21}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CSIT56902.2022.10000547}, doi = {10.1109/CSIT56902.2022.10000547}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csit/ChaikivskyiSZBR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChenGHL22, author = {Po{-}Yuan Chen and Fang{-}Yi Gu and Yu{-}Hong Huang and Ing{-}Chao Lin}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {{WRAP:} Weight RemApping and Processing in RRAM-based Neural Network Accelerators Considering Thermal Effect}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1245--1250}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774678}, doi = {10.23919/DATE54114.2022.9774678}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/ChenGHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/WangYMLLK22, author = {Siyue Wang and Geng Yuan and Xiaolong Ma and Yanyu Li and Xue Lin and Bhavya Kailkhura}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Fault-Tolerant Deep Neural Networks for Processing-In-Memory based Autonomous Edge Systems}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {424--429}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774523}, doi = {10.23919/DATE54114.2022.9774523}, timestamp = {Wed, 25 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/WangYMLLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcc/LiuQHCZ22, author = {Zheng Liu and Honggang Qi and Yu Han and Guoqin Cui and Yundong Zhang}, editor = {Ali Bilgin and Michael W. Marcellin and Joan Serra{-}Sagrist{\`{a}} and James A. Storer}, title = {A Low-complexity Neural Network for Compressed Video Post-processing in {HEVC}}, booktitle = {Data Compression Conference, {DCC} 2022, Snowbird, UT, USA, March 22-25, 2022}, pages = {466}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/DCC52660.2022.00077}, doi = {10.1109/DCC52660.2022.00077}, timestamp = {Thu, 14 Jul 2022 09:12:29 +0200}, biburl = {https://dblp.org/rec/conf/dcc/LiuQHCZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fabulous/GlobaKPBP22, author = {Larysa S. Globa and Vasyl Kurdecha and Demyd Popenko and Maksym Bezvuhliak and Yevgeniy Porolo}, editor = {Dragan Perakovic and Lucia Knapc{\'{\i}}kov{\'{a}}}, title = {Data Collection and Processing Method in the Networks of Industrial {IOT}}, booktitle = {Future Access Enablers for Ubiquitous and Intelligent Infrastructures - 6th {EAI} International Conference, {FABULOUS} 2022, Virtual Event, May 4, 2022, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {445}, pages = {153--167}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-15101-9\_11}, doi = {10.1007/978-3-031-15101-9\_11}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fabulous/GlobaKPBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/giis/KalinagacGA22, author = {Onur Kalinagac and G{\"{u}}rkan G{\"{u}}r and Fatih Alag{\"{o}}z}, title = {Priority-Driven Task Processing in UAV-Assisted Software-Defined Edge Networks}, booktitle = {Global Information Infrastructure and Networking Symposium, {GIIS} 2022, Argostoli, Greece, September 26-28, 2022}, pages = {78--84}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GIIS56506.2022.9936953}, doi = {10.1109/GIIS56506.2022.9936953}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/giis/KalinagacGA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonGLJ22, author = {Miryeong Kwon and Donghyun Gouk and Sangwon Lee and Myoungsoo Jung}, title = {Large-scale Graph Neural Network Services through Computational {SSD} and In-Storage Processing Architectures}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895623}, doi = {10.1109/HCS55958.2022.9895623}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonGLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HuangZYWLJX22, author = {Yu Huang and Long Zheng and Pengcheng Yao and Qinggang Wang and Xiaofei Liao and Hai Jin and Jingling Xue}, title = {Accelerating Graph Convolutional Networks Using Crossbar-based Processing-In-Memory Architectures}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {1029--1042}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00079}, doi = {10.1109/HPCA53966.2022.00079}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/HuangZYWLJX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3i/ShrivastavaCS22, author = {Anurag Shrivastava and Midhun Chakkaravathy and Mohd Asif Shah}, title = {A Comprehensive Analysis of Machine Learning Techniques in Biomedical Image Processing Using Convolutional Neural Network}, booktitle = {5th International Conference on Contemporary Computing and Informatics, {IC3I} 2022, Uttar Pradesh, India, December 14-16, 2022}, pages = {1363--1369}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IC3I56241.2022.10072911}, doi = {10.1109/IC3I56241.2022.10072911}, timestamp = {Sat, 25 Mar 2023 16:32:21 +0100}, biburl = {https://dblp.org/rec/conf/ic3i/ShrivastavaCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbc/TekeogluCSASK22, author = {Ali Tekeoglu and Chen{-}Fu Chiang and Saumendra Sengupta and Norman Noor Ahmed and Michael Stein and Dilip Kusukuntla}, editor = {Shiping Chen and Rudrapatna K. Shyamasundar and Liang{-}Jie Zhang}, title = {Optimized Transaction Processing in Lightweight Distributed Ledger Networks for Internet of Things}, booktitle = {Blockchain - {ICBC} 2022 - 5th International Conference, Held as part of the Services Conference Federation, {SCF} 2022, Honolulu, HI, USA, December 10-14, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13733}, pages = {117--128}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-23495-8\_8}, doi = {10.1007/978-3-031-23495-8\_8}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icbc/TekeogluCSASK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/0002MLYMM22, author = {Yi Gong and Fanke Meng and Qingyu Li and Keping Yu and Shahid Mumtaz and Sami Muhaidat}, title = {Gaussian mixture model-based Expectation-Maximization signal processing algorithm in power-efficiency networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {1028--1033}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9838814}, doi = {10.1109/ICC45855.2022.9838814}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/0002MLYMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/FogliGS22, author = {Mattia Fogli and Carlo Giannelli and Cesare Stefanelli}, title = {Edge-Powered In-Network Processing for Content-Based Message Management in Software-Defined Industrial Networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {1438--1443}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9838863}, doi = {10.1109/ICC45855.2022.9838863}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/FogliGS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LuoFXLY22, author = {Shouxi Luo and Pingzhi Fan and Huanlai Xing and Long Luo and Hongfang Yu}, title = {Eliminating Communication Bottlenecks in Cross-Device Federated Learning with In-Network Processing at the Edge}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2022, Seoul, Korea, May 16-20, 2022}, pages = {4601--4606}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICC45855.2022.9838381}, doi = {10.1109/ICC45855.2022.9838381}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icc/LuoFXLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccai/WangLZWRLZY22, author = {Gen Wang and Qing{-}Shan Liu and Hai{-}Yang Zhou and Na Wan and Lin Ren and Ying{-}Xue Li and Jie Zhou and Guang Yang}, title = {Design and Research of Parallel Image Information Processing Platform Based on {VPX:} In This paper, The network topology of the {VPX} bus standard is studied, and the author proposes and implements a more efficient way}, booktitle = {{ICCAI} '22: 8th International Conference on Computing and Artificial Intelligence, Tianjin, China, March 18 - 21, 2022}, pages = {719--725}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3532213.3532322}, doi = {10.1145/3532213.3532322}, timestamp = {Fri, 15 Jul 2022 09:38:04 +0200}, biburl = {https://dblp.org/rec/conf/iccai/WangLZWRLZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsie/ZhaoM22, author = {Yun Zhao and Weiwei Ma}, title = {Research and Application of Intelligent Integrated Equipment for Cable Processing in Distribution Network Engineering}, booktitle = {Proceedings of the 7th International Conference on Cyber Security and Information Engineering, {ICCSIE} 2022, Brisbane, QLD, Australia, September 23-25, 2022}, pages = {126--133}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3558819.3558841}, doi = {10.1145/3558819.3558841}, timestamp = {Wed, 01 Mar 2023 15:36:53 +0100}, biburl = {https://dblp.org/rec/conf/iccsie/ZhaoM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/AlamYT22, author = {Md. Shahanur Alam and Chris Yakopcic and Tarek M. Taha}, title = {Memristor Based Federated Learning for Network Security on the Edge using Processing in Memory {(PIM)} Computing}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2022, Padua, Italy, July 18-23, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IJCNN55064.2022.9891986}, doi = {10.1109/IJCNN55064.2022.9891986}, timestamp = {Mon, 10 Oct 2022 17:40:09 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/AlamYT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isami/Satoh22, author = {Ichiro Satoh}, editor = {Vicente Juli{\'{a}}n and Jo{\~{a}}o Carneiro and Ricardo S. Alonso and Pablo Chamoso and Paulo Novais}, title = {An Integration of Packet Routing and Data Processing in Sensor Networks}, booktitle = {Ambient Intelligence - Software and Applications - 13th International Symposium on Ambient Intelligence, ISAmI 2022, L'Aquila, Italy, 13-15 July, 2022}, series = {Lecture Notes in Networks and Systems}, volume = {603}, pages = {145--154}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-22356-3\_14}, doi = {10.1007/978-3-031-22356-3\_14}, timestamp = {Tue, 05 Sep 2023 09:14:36 +0200}, biburl = {https://dblp.org/rec/conf/isami/Satoh22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LeeCR22, author = {Yunjae Lee and Jinha Chung and Minsoo Rhu}, editor = {Valentina Salapura and Mohamed Zahran and Fred Chong and Lingjia Tang}, title = {SmartSAGE: training large-scale graph neural networks using in-storage processing architectures}, booktitle = {{ISCA} '22: The 49th Annual International Symposium on Computer Architecture, New York, New York, USA, June 18 - 22, 2022}, pages = {932--945}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3470496.3527391}, doi = {10.1145/3470496.3527391}, timestamp = {Wed, 01 Jun 2022 14:28:13 +0200}, biburl = {https://dblp.org/rec/conf/isca/LeeCR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HsuCCLLCTH22, author = {Tzu{-}Hsiang Hsu and Guan{-}Cheng Chen and Yi{-}Ren Chen and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Meng{-}Fan Chang and Kea{-}Tiong Tang and Chih{-}Cheng Hsieh}, title = {A 0.8V Intelligent Vision Sensor with Tiny Convolutional Neural Network and Programmable Weights Using Mixed-Mode Processing-in-Sensor Technique for Image Classification}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731675}, doi = {10.1109/ISSCC42614.2022.9731675}, timestamp = {Mon, 21 Mar 2022 13:32:47 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HsuCCLLCTH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/AtouiMCL22, author = {Ibrahim Atoui and Abdallah Makhoul and Rapha{\"{e}}l Couturier and David Laiymani}, title = {In-network data processing approach for heterogeneous wireless sensor networks}, booktitle = {2022 International Wireless Communications and Mobile Computing, {IWCMC} 2022, Dubrovnik, Croatia, May 30 - June 3, 2022}, pages = {536--541}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IWCMC55113.2022.9824990}, doi = {10.1109/IWCMC55113.2022.9824990}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/AtouiMCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/SatoIK22, author = {Eito Sato and Koji Inoue and Satoshi Kawakami}, title = {Design and Analysis of a Nano-photonic Processing Unit for Low-Latency Recurrent Neural Network Applications}, booktitle = {15th {IEEE} International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2022, Penang, Malaysia, December 19-22, 2022}, pages = {321--329}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MCSoC57363.2022.00058}, doi = {10.1109/MCSOC57363.2022.00058}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mcsoc/SatoIK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/TahmassebiMMM0M22, author = {Amirhessam Tahmassebi and Kevin Mueller and Uwe Meyer{-}Baese and Jorge Munilla and Andr{\'{e}}s Ortiz and Anke Meyer{-}Baese}, editor = {Barjor S. Gimi and Andrzej Kr{\'{o}}l}, title = {Graph signal processing to identify biomarkers in brain networks in dementia}, booktitle = {Medical Imaging 2022: Biomedical Applications in Molecular, Structural, and Functional Imaging, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12036}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2613255}, doi = {10.1117/12.2613255}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mibam/TahmassebiMMM0M22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/AhamedDBGMWURY22, author = {Shadab Ahamed and Natalia Dubljevic and Ingrid Bloise and Claire Gowdy and Patrick Martineau and Don Wilson and Carlos F. Uribe and Arman Rahmim and Fereshteh Yousefirizi}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {A cascaded deep network for automated tumor detection and segmentation in clinical {PET} imaging of diffuse large B-cell lymphoma}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2612684}, doi = {10.1117/12.2612684}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/AhamedDBGMWURY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/AraujoSP22, author = {Darlan M. Nakamura de Ara{\'{u}}jo and Denis H. P. Salvadeo and Davi D. de Paula}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {A benchmark of denoising digital breast tomosynthesis in projection domain: neural network-based and traditional methods}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2611833}, doi = {10.1117/12.2611833}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/AraujoSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LiuTTTU22, author = {Tiange Liu and Meng Tan and Yubing Tong and Drew A. Torigian and Jayaram K. Udupa}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {An anatomy-based iteratively searching convolutional neural network for organ localization in {CT} images}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2610963}, doi = {10.1117/12.2610963}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/LiuTTTU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/PieszkoSKLOKKMM22, author = {Konrad Pieszko and Aakash D. Shanbhag and Aditya Killekar and Mark Lemley and Yuka Otaki and Serge D. Van Kriekinge and Paul Kavanagh and Robert J. H. Miller and Edward J. Miller and Timothy M. Bateman and Damini Dey and Daniel S. Berman and Piotr J. Slomka}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {Calcium scoring in low-dose ungated chest {CT} scans using convolutional long-short term memory networks}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2613147}, doi = {10.1117/12.2613147}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/PieszkoSKLOKKMM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/XieUTMWLACT22, author = {Lipeng Xie and Jayaram K. Udupa and Yubing Tong and Joseph M. McDonough and Caiyun Wu and Carina Lott and Jason B. Anari and Patrick J. Cahill and Drew A. Torigian}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {Automatic lung segmentation in dynamic thoracic {MRI} using two-stage deep convolutional neural networks}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2612558}, doi = {10.1117/12.2612558}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/XieUTMWLACT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/YousefiriziDABG22, author = {Fereshteh Yousefirizi and Natalia Dubljevic and Shadab Ahamed and Ingrid Bloise and Claire Gowdy and Joo Hyun O and Youssef Farag and Rodrigue de Schaetzen and Patrick Martineau and Don Wilson and Carlos F. Uribe and Arman Rahmim}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {Convolutional neural network with a hybrid loss function for fully automated segmentation of lymphoma lesions in {FDG} {PET} images}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2612675}, doi = {10.1117/12.2612675}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/YousefiriziDABG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ZhaiWVNPI22, author = {Zhiwei Zhai and Yining Wang and Bob D. de Vos and Julia M. H. Noothout and Nils Planken and Ivana Isgum}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {Generative adversarial network for coronary artery plaque synthesis in coronary {CT} angiography}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2605905}, doi = {10.1117/12.2605905}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ZhaiWVNPI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ZhangBWDHNZVGYX22, author = {Huijuan Zhang and Wei Bo and Depeng Wang and Anthony DiSpirito III and Chuqin Huang and Nikhila Nyayapathi and Emily Zheng and Tri Vu and Yiyang Gong and Junjie Yao and Wenyao Xu and Jun Xia}, editor = {Olivier Colliot and Ivana Isgum and Bennett A. Landman and Murray H. Loew}, title = {Deep-e: a fully-dense neural network for improving the elevation resolution in linear-array-based photoacoustic tomography}, booktitle = {Medical Imaging 2022: Image Processing, San Diego, CA, USA, February 20-24, 2022 / Online, March 21-27, 2022}, series = {{SPIE} Proceedings}, volume = {12032}, publisher = {{SPIE}}, year = {2022}, url = {https://doi.org/10.1117/12.2610814}, doi = {10.1117/12.2610814}, timestamp = {Thu, 14 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ZhangBWDHNZVGYX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msn/GarlisiRTCC22, author = {Domenico Garlisi and Gabriele Restuccia and Ilenia Tinnirello and Francesca Cuomo and Ioannis Chatzigiannakis}, title = {Leakage Detection via Edge Processing in LoRaWAN-based Smart Water Distribution Networks}, booktitle = {18th International Conference on Mobility, Sensing and Networking, {MSN} 2022, Guangzhou, China, December 14-16, 2022}, pages = {223--230}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MSN57253.2022.00047}, doi = {10.1109/MSN57253.2022.00047}, timestamp = {Thu, 06 Apr 2023 14:56:54 +0200}, biburl = {https://dblp.org/rec/conf/msn/GarlisiRTCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netsoft/BollaBCDL22, author = {Raffaele Bolla and Roberto Bruschi and Alessandro Carrega and Franco Davoli and Chiara Lombardo}, editor = {Alexander Clemm and Guido Maier and Carmen Mas Machuca and K. K. Ramakrishnan and Fulvio Risso and Prosper Chemouil and Noura Limam}, title = {Trading off Power Consumption and Delay in the Execution of Network Functions by Dynamic Activation of Processing Units}, booktitle = {8th {IEEE} International Conference on Network Softwarization, NetSoft 2022, Milan, Italy, June 27 - July 1, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/NetSoft54395.2022.9844039}, doi = {10.1109/NETSOFT54395.2022.9844039}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/netsoft/BollaBCDL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HsuTCCLCSY22, author = {Li{-}Sheng Hsu and Deng{-}Cheng Tsai and Hei Man Chen and Yun{-}Han Chang and Yang Liu and Chi{-}Wai Chow and Shao{-}Hua Song and Chien{-}Hung Yeh}, title = {Using Received-Signal-Strength {(RSS)} Pre-Processing and Convolutional Neural Network {(CNN)} to Enhance Position Accuracy in Visible Light Positioning {(VLP)}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748179}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/HsuTCCLCSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sccc/AlvarezFM22, author = {Eber Joseph Ballon Alvarez and Junior Fabian and Paolo Maldonado{-}Hurtado}, title = {Natural Language Processing Techniques for Behavior Analysis in Social Networks of Hispanic American University Communities}, booktitle = {41st International Conference of the Chilean Computer Science Society, {SCCC} 2022, Santiago, Chile, November 21-25, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SCCC57464.2022.10000355}, doi = {10.1109/SCCC57464.2022.10000355}, timestamp = {Fri, 20 Jan 2023 20:28:35 +0100}, biburl = {https://dblp.org/rec/conf/sccc/AlvarezFM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/service/MinZL22, author = {Congcong Min and Dongcheng Zhao and Hua Lu}, title = {The Processing Method of the Message Based on the In-band Network Telemetry Technology}, booktitle = {International Conference on Service Science, {ICSS} 2022, Zhuhai, China, May 13-15, 2022}, pages = {21--24}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICSS55994.2022.00013}, doi = {10.1109/ICSS55994.2022.00013}, timestamp = {Thu, 20 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/service/MinZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/DasSIDG22, author = {Prangon Das and Purab Ranjan Sutradhar and Mark A. Indovina and Sai Manoj Pudukotai Dinakarrao and Amlan Ganguly}, editor = {Sakir Sezer and Thomas B{\"{u}}chner and J{\"{u}}rgen Becker and Andrew Marshall and Fahad Siddiqui and Tanja Harbaum and Kieran McLaughlin}, title = {Implementation and Evaluation of Deep Neural Networks in Commercially Available Processing in Memory Hardware}, booktitle = {35th {IEEE} International System-on-Chip Conference, {SOCC} 2022, Belfast, United Kingdom, September 5-8, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SOCC56010.2022.9908126}, doi = {10.1109/SOCC56010.2022.9908126}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/DasSIDG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/LiLZLZX22, author = {Jiajia Li and Chunhui Liu and Ying Zhao and Xiaojing Liu and Liang Zhao and Xiufeng Xia}, title = {Processing kNN Query with Pre-Computation in Time-Dependent Road Networks}, booktitle = {{IEEE} Smartworld, Ubiquitous Intelligence {\&} Computing, Scalable Computing {\&} Communications, Digital Twin, Privacy Computing, Metaverse, Autonomous {\&} Trusted Vehicles, SmartWorld/UIC/ScalCom/DigitalTwin/PriComp/Meta 2022, Haikou, China, December 15-18, 2022}, pages = {1671--1676}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SmartWorld-UIC-ATC-ScalCom-DigitalTwin-PriComp-Metaverse56740.2022.00258}, doi = {10.1109/SMARTWORLD-UIC-ATC-SCALCOM-DIGITALTWIN-PRICOMP-METAVERSE56740.2022.00258}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uic/LiLZLZX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/PrasadDSPM22, author = {Kailash Prasad and Jinay Dagli and Neel Shah and Mallikarjun Pidagannavar and Joycee Mekie}, editor = {Ambika Prasad Shah and Sudeb Dasgupta and Anand D. Darji and Jaynarayan T. Tudu}, title = {Impact of Operand Ordering in Approximate Multiplication in Neural Network and Image Processing Applications}, booktitle = {{VLSI} Design and Test - 26th International Symposium, {VDAT} 2022, Jammu, India, July 17-19, 2022, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1687}, pages = {562--572}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-21514-8\_46}, doi = {10.1007/978-3-031-21514-8\_46}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vdat/PrasadDSPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/cores/2021, editor = {Michal Choras and Ryszard S. Choras and Marek Kurzynski and Pawel Trajdos and Jerzy Pejas and Tomasz Hyla}, title = {Progress in Image Processing, Pattern Recognition and Communication Systems - Proceedings of the Conference (CORES, IP{\&}C, ACS), Virtual Event / Bydgoszcz, Poland, June 28-30, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {255}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-81523-3}, doi = {10.1007/978-3-030-81523-3}, isbn = {978-3-030-81522-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cores/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2022, title = {21st {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2022, Milano, Italy, May 4-6, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IPSN54338.2022}, doi = {10.1109/IPSN54338.2022}, isbn = {978-1-6654-9624-7}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/nafips/2021, editor = {Julia Rayz and Victor Raskin and Scott Dick and Vladik Kreinovich}, title = {Explainable {AI} and Other Applications of Fuzzy Techniques - Proceedings of the 2021 Annual Conference of the North American Fuzzy Information Processing Society, {NAFIPS} 2021, Virtual Event / West Lafayette, IN, USA, June 7-9, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {258}, publisher = {Springer}, year = {2022}, url = {https://link.springer.com/book/10.1007/978-3-030-82099-2}, doi = {10.1007/978-3-030-82099-2}, isbn = {978-3-030-82098-5}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nafips/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-07999, author = {Zhichen Ni and Honglong Chen and Zhe Li and Xiaomeng Wang and Na Yan and Weifeng Liu and Feng Xia}, title = {{MSCET:} {A} Multi-Scenario Offloading Schedule for Biomedical Data Processing and Analysis in Cloud-Edge-Terminal Collaborative Vehicular Networks}, journal = {CoRR}, volume = {abs/2203.07999}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.07999}, doi = {10.48550/ARXIV.2203.07999}, eprinttype = {arXiv}, eprint = {2203.07999}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-07999.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-08244, author = {Ha{-}Thanh Nguyen}, title = {Toward Improving Attentive Neural Networks in Legal Text Processing}, journal = {CoRR}, volume = {abs/2203.08244}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.08244}, doi = {10.48550/ARXIV.2203.08244}, eprinttype = {arXiv}, eprint = {2203.08244}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-08244.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-01156, author = {Davide Zorzenon and Jan Komenda and J{\"{o}}rg Raisch}, title = {Switched Max-Plus Linear-Dual Inequalities: Application in Scheduling of Multi-Product Processing Networks}, journal = {CoRR}, volume = {abs/2204.01156}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.01156}, doi = {10.48550/ARXIV.2204.01156}, eprinttype = {arXiv}, eprint = {2204.01156}, timestamp = {Wed, 06 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-01156.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-08127, author = {Yanchao Yuan and Cancheng Li and Lu Xu and Ke Zhang and Yang Hua and Jicong Zhang}, title = {Parallel Network with Channel Attention and Post-Processing for Carotid Arteries Vulnerable Plaque Segmentation in Ultrasound Images}, journal = {CoRR}, volume = {abs/2204.08127}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.08127}, doi = {10.48550/ARXIV.2204.08127}, eprinttype = {arXiv}, eprint = {2204.08127}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-08127.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-09989, author = {Yinglin Zhao and Jianlei Yang and Bing Li and Xingzhou Cheng and Xucheng Ye and Xueyan Wang and Xiaotao Jia and Zhaohao Wang and Youguang Zhang and Weisheng Zhao}, title = {NAND-SPIN-Based Processing-in-MRAM Architecture for Convolutional Neural Network Acceleration}, journal = {CoRR}, volume = {abs/2204.09989}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.09989}, doi = {10.48550/ARXIV.2204.09989}, eprinttype = {arXiv}, eprint = {2204.09989}, timestamp = {Mon, 25 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-09989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-12027, author = {Dao Thanh Hai}, title = {On Routing, Wavelength, Network Coding Assignment and Protection Configuration Problem in Optical-processing-enabled Networks}, journal = {CoRR}, volume = {abs/2204.12027}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.12027}, doi = {10.48550/ARXIV.2204.12027}, eprinttype = {arXiv}, eprint = {2204.12027}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-12027.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-04711, author = {Yunjae Lee and Jinha Chung and Minsoo Rhu}, title = {SmartSAGE: Training Large-scale Graph Neural Networks using In-Storage Processing Architectures}, journal = {CoRR}, volume = {abs/2205.04711}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.04711}, doi = {10.48550/ARXIV.2205.04711}, eprinttype = {arXiv}, eprint = {2205.04711}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-04711.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-02950, author = {Lorson Blair and Carlos A. Varela and Stacy Patterson}, title = {A Continuum Approach for Collaborative Task Processing in {UAV} {MEC} Networks}, journal = {CoRR}, volume = {abs/2206.02950}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.02950}, doi = {10.48550/ARXIV.2206.02950}, eprinttype = {arXiv}, eprint = {2206.02950}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-02950.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-12191, author = {Pedro J. Freire and Sasipim Srivallapanondh and Antonio Napoli and Jaroslaw E. Prilepsky and Sergei K. Turitsyn}, title = {Computational Complexity Evaluation of Neural Network Applications in Signal Processing}, journal = {CoRR}, volume = {abs/2206.12191}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.12191}, doi = {10.48550/ARXIV.2206.12191}, eprinttype = {arXiv}, eprint = {2206.12191}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-12191.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-10839, author = {Wouter Jansen and Dennis Laurijssen and Robin Kerstens and Walter Daems and Jan Steckel}, title = {In-Air Imaging Sonar Sensor Network with Real-Time Processing Using GPUs}, journal = {CoRR}, volume = {abs/2208.10839}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.10839}, doi = {10.48550/ARXIV.2208.10839}, eprinttype = {arXiv}, eprint = {2208.10839}, timestamp = {Mon, 29 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-10839.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08617, author = {Qing Jin and Zhiyu Chen and Jian Ren and Yanyu Li and Yanzhi Wang and Kaiyuan Yang}, title = {{PIM-QAT:} Neural Network Quantization for Processing-In-Memory {(PIM)} Systems}, journal = {CoRR}, volume = {abs/2209.08617}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08617}, doi = {10.48550/ARXIV.2209.08617}, eprinttype = {arXiv}, eprint = {2209.08617}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08617.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08938, author = {Geraldo F. Oliveira and Juan G{\'{o}}mez{-}Luna and Saugata Ghose and Amirali Boroumand and Onur Mutlu}, title = {Accelerating Neural Network Inference with Processing-in-DRAM: From the Edge to the Cloud}, journal = {CoRR}, volume = {abs/2209.08938}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08938}, doi = {10.48550/ARXIV.2209.08938}, eprinttype = {arXiv}, eprint = {2209.08938}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08938.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-09728, author = {Hankyul Baek and Won Joon Yun and Joongheon Kim}, title = {3D Scalable Quantum Convolutional Neural Networks for Point Cloud Data Processing in Classification Applications}, journal = {CoRR}, volume = {abs/2210.09728}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.09728}, doi = {10.48550/ARXIV.2210.09728}, eprinttype = {arXiv}, eprint = {2210.09728}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-09728.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-11496, author = {Dao Thanh Hai}, title = {Optical Networking in Future-land: From Optical-bypass-enabled to Optical-processing-enabled Paradigm}, journal = {CoRR}, volume = {abs/2210.11496}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.11496}, doi = {10.48550/ARXIV.2210.11496}, eprinttype = {arXiv}, eprint = {2210.11496}, timestamp = {Tue, 25 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-11496.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-13534, author = {Brendan Cunha and Lydia Manikonda}, title = {Classification of Misinformation in New Articles using Natural Language Processing and a Recurrent Neural Network}, journal = {CoRR}, volume = {abs/2210.13534}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.13534}, doi = {10.48550/ARXIV.2210.13534}, eprinttype = {arXiv}, eprint = {2210.13534}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-13534.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09440, author = {Kazuki Irie and J{\"{u}}rgen Schmidhuber}, title = {Learning to Control Rapidly Changing Synaptic Connections: An Alternative Type of Memory in Sequence Processing Artificial Neural Networks}, journal = {CoRR}, volume = {abs/2211.09440}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09440}, doi = {10.48550/ARXIV.2211.09440}, eprinttype = {arXiv}, eprint = {2211.09440}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09440.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-16578, author = {Gengzhi Yang and Yingzhou Li}, title = {ButterflyNet2D: Bridging Classical Methods and Neural Network Methods in Image Processing}, journal = {CoRR}, volume = {abs/2211.16578}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.16578}, doi = {10.48550/ARXIV.2211.16578}, eprinttype = {arXiv}, eprint = {2211.16578}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-16578.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jr/WuQ22, title = {Application of Image Processing Variation Model Based on Network Control Robot Image Transmission and Processing System in Multimedia Enhancement Technology}, journal = {J. Robotics}, volume = {2022}, pages = {6991983:1--6991983:10}, year = {2022}, note = {Withdrawn.}, url = {https://doi.org/10.1155/2022/6991983}, doi = {10.1155/2022/6991983}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jr/WuQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/WuL22, title = {{FLOM:} Toward Efficient Task Processing in Big Data with Federated Learning}, journal = {Secur. Commun. Networks}, volume = {2022}, pages = {5277362:1--5277362:16}, year = {2022}, note = {Withdrawn.}, url = {https://doi.org/10.1155/2022/5277362}, doi = {10.1155/2022/5277362}, timestamp = {Sat, 29 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/WuL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/au/Zhang21h, author = {Mengxuan Zhang}, title = {Efficient shortest path query processing in dynamic road networks}, school = {University of Queensland, Australia}, year = {2021}, url = {https://doi.org/10.14264/e230b2e}, doi = {10.14264/E230B2E}, timestamp = {Sat, 28 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/au/Zhang21h.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Coutino21, author = {Mario Coutino}, title = {Advances in graph signal processing: Graph filtering and network identification}, school = {Delft University of Technology, Netherlands}, year = {2021}, url = {http://resolver.tudelft.nl/uuid:3654933b-8a8a-4a45-9a54-323e51641f5f}, doi = {10.4233/UUID:3654933B-8A8A-4A45-9A54-323E51641F5F}, timestamp = {Tue, 25 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Coutino21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Wolter21, author = {Moritz Wolter}, title = {Frequency Domain Methods in Recurrent Neural Networks for Sequential Data Processing}, school = {University of Bonn, Germany}, year = {2021}, url = {https://hdl.handle.net/20.500.11811/9245}, urn = {urn:nbn:de:hbz:5-63361}, timestamp = {Mon, 29 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/dnb/Wolter21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/gr/Stergiou21, author = {Christos Stergiou}, title = {Efficient and secure algorithms for big data handling, processing, and delivery in cloud computing for Internet of Things networks}, school = {University of Macedonia, Thessaloniki, Greece}, year = {2021}, url = {http://hdl.handle.net/10442/hedi/49447}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/gr/Stergiou21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Aguiari21, author = {Davide Aguiari}, title = {Exploring Computing Continuum in IoT Systems : sensing, communicating and processing at the Network Edge. (Explorer le computing continuum dans l'internet des objets : d{\'{e}}tection, communication et traitement dans le Network Edge)}, school = {Sorbonne University, France}, year = {2021}, url = {https://tel.archives-ouvertes.fr/tel-03382756}, timestamp = {Thu, 06 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Aguiari21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Isah21, author = {Aliyu Isah}, title = {Memristors in Nonlinear Network : Application to Information (Signal and Image) Processing. (Application des memristors au traitement du signal et des images)}, school = {University of Burgundy - Franche-Comt{\'{e}}, France}, year = {2021}, url = {https://tel.archives-ouvertes.fr/tel-03470169}, timestamp = {Wed, 26 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Isah21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKYK21, author = {Min{-}Jae Kim and Jeong{-}Geun Kim and Su{-}Kyung Yoon and Shin{-}Dug Kim}, title = {Functionality-Based Processing-in-Memory Accelerator for Deep Convolutional Neural Networks}, journal = {{IEEE} Access}, volume = {9}, pages = {145098--145108}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3122818}, doi = {10.1109/ACCESS.2021.3122818}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKYK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeH21, author = {Young Sik Lee and Tae Hee Han}, title = {Task Parallelism-Aware Deep Neural Network Scheduling on Multiple Hybrid Memory Cube-Based Processing-in-Memory}, journal = {{IEEE} Access}, volume = {9}, pages = {68561--68572}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3077294}, doi = {10.1109/ACCESS.2021.3077294}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/agit/RojekTGMHRB21, author = {Lukasz Rojek and Alina Trefz and Wieland Glende and Matthias M{\"{o}}ller and Klaus Hehl and Markus Richter and Monika Bischoff{-}Schaefer}, title = {Serverstruktur, Vernetzung und Prozessierung von Sensordaten im PLANTSENS-Projekt - das technische Fundament eines Monitoringsystems im Gartenbau / Server Structure, Networking and Processing of Sensor Data in the {PLANTSENS} Project - Technical Core of a Monitoring System in Horticulture}, journal = {{AGIT} Journal Angew. Geoinformatik}, volume = {7}, pages = {211--227}, year = {2021}, url = {https://doi.org/10.14627/537707023}, doi = {10.14627/537707023}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/agit/RojekTGMHRB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ans/BloemheuvelHA21, author = {Stefan Bloemheuvel and Jurgen van den Hoogen and Martin Atzmueller}, title = {A computational framework for modeling complex sensor network data using graph signal processing and graph neural networks in structural health monitoring}, journal = {Appl. Netw. Sci.}, volume = {6}, number = {1}, pages = {97}, year = {2021}, url = {https://doi.org/10.1007/s41109-021-00438-8}, doi = {10.1007/S41109-021-00438-8}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ans/BloemheuvelHA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/TasY21, author = {Merve Tas and B{\"{u}}lent Yilmaz}, title = {Super resolution convolutional neural network based pre-processing for automatic polyp detection in colonoscopy images}, journal = {Comput. Electr. Eng.}, volume = {90}, pages = {106959}, year = {2021}, url = {https://doi.org/10.1016/j.compeleceng.2020.106959}, doi = {10.1016/J.COMPELECENG.2020.106959}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/TasY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/HeYZZ21, author = {Zhongyang He and Kai Yang and Ning Zhuang and Ying Zeng}, title = {Processing of Affective Pictures: {A} Study Based on Functional Connectivity Network in the Cerebral Cortex}, journal = {Comput. Intell. Neurosci.}, volume = {2021}, pages = {5582666:1--5582666:11}, year = {2021}, url = {https://doi.org/10.1155/2021/5582666}, doi = {10.1155/2021/5582666}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cin/HeYZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cit/LafiaSAOA21, author = {Diafale Lafia and Mistura Laide Sanni and Rasheed Ayodeji Adetona and Akinyemi Bodunde Odunola and Ganiyu Adesola Aderounmu}, title = {Signal Processing-based Model for Primary User Emulation Attacks Detection in Cognitive Radio Networks}, journal = {J. Comput. Inf. Technol.}, volume = {29}, number = {2}, pages = {77--88}, year = {2021}, url = {http://cit.fer.hr/index.php/CIT/article/view/5297}, timestamp = {Fri, 11 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cit/LafiaSAOA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/JiaLLYMZBW21, author = {Dongbao Jia and Cunhua Li and Qun Liu and Qin Yu and Xiangsheng Meng and Zhaoman Zhong and Xinxin Ban and Nizhuan Wang}, title = {Application and Evolution for Neural Network and Signal Processing in Large-Scale Systems}, journal = {Complex.}, volume = {2021}, pages = {6618833:1--6618833:7}, year = {2021}, url = {https://doi.org/10.1155/2021/6618833}, doi = {10.1155/2021/6618833}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/JiaLLYMZBW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/ShafiMDJA21, author = {Imran Shafi and Zeeshan Malik and Sadia Din and Gwanggil Jeon and Jamil Ahmad}, title = {A computationally intelligent neural network-based nonlinear autoregressive exogenous balancing approach for real-time processing in industrial applications using big data}, journal = {Concurr. Comput. Pract. Exp.}, volume = {33}, number = {22}, year = {2021}, url = {https://doi.org/10.1002/cpe.6382}, doi = {10.1002/CPE.6382}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/ShafiMDJA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/connection/KuiFZDDZM21, author = {Xiaoyan Kui and Jiannan Feng and Xinran Zhou and Huakun Du and Xia Deng and Ping Zhong and Xingpo Ma}, title = {Securing top-k query processing in two-tiered sensor networks}, journal = {Connect. Sci.}, volume = {33}, number = {1}, pages = {62--80}, year = {2021}, url = {https://doi.org/10.1080/09540091.2020.1753173}, doi = {10.1080/09540091.2020.1753173}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/connection/KuiFZDDZM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsp/Aviyente21, author = {Selin Aviyente}, title = {A signal processing perspective to community detection in dynamic networks}, journal = {Digit. Signal Process.}, volume = {119}, pages = {103192}, year = {2021}, url = {https://doi.org/10.1016/j.dsp.2021.103192}, doi = {10.1016/J.DSP.2021.103192}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dsp/Aviyente21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esl/LeeCGC21, author = {Young Seo Lee and Eui{-}Young Chung and Young{-}Ho Gong and Sung Woo Chung}, title = {Quant-PIM: An Energy-Efficient Processing-in-Memory Accelerator for Layerwise Quantized Neural Networks}, journal = {{IEEE} Embed. Syst. Lett.}, volume = {13}, number = {4}, pages = {162--165}, year = {2021}, url = {https://doi.org/10.1109/LES.2021.3050253}, doi = {10.1109/LES.2021.3050253}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esl/LeeCGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/ShiHMV21, author = {Man Shi and Pouya Houshmand and Linyan Mei and Marian Verhelst}, title = {Hardware-Efficient Residual Neural Network Execution in Line-Buffer Depth-First Processing}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {11}, number = {4}, pages = {690--700}, year = {2021}, url = {https://doi.org/10.1109/JETCAS.2021.3120103}, doi = {10.1109/JETCAS.2021.3120103}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esticas/ShiHMV21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetb/HattaTUN21, author = {Saki Hatta and Nobuyuki Tanaka and Hiroyuki Uzawa and Koyo Nitta}, title = {Programmable Hardware Accelerator for Finite-State-Machine Processing in Flexible Access Network Systems}, journal = {{IEICE} Trans. Commun.}, volume = {104-B}, number = {3}, pages = {277--285}, year = {2021}, url = {http://search.ieice.org/bin/summary.php?id=e104-b\_3\_277}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetb/HattaTUN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetb/TanWLSW21, author = {Li Tan and Haoyu Wang and Xiaofeng Lian and Jiaqi Shi and Minji Wang}, title = {Improved {LEACH-M} Protocol for Processing Outlier Nodes in Aerial Sensor Networks}, journal = {{IEICE} Trans. Commun.}, volume = {104-B}, number = {5}, pages = {497--506}, year = {2021}, url = {http://search.ieice.org/bin/summary.php?id=e104-b\_5\_497}, timestamp = {Mon, 17 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetb/TanWLSW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-net/MishraP21, author = {Aman Kumar Mishra and Vijayakumar Ponnusamy}, title = {DNN-based distributed sequential uplink processing in cell-free massive {MIMO} based on radio stripes}, journal = {{IET} Networks}, volume = {10}, number = {3}, pages = {118--122}, year = {2021}, url = {https://doi.org/10.1049/ntw2.12020}, doi = {10.1049/NTW2.12020}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-net/MishraP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnis/KatukC21, author = {Norliza Katuk and Ikenna Rene Chiadighikaobi}, title = {An Enhanced Block Pre-processing of {PRESENT} Algorithm for Fingerprint Template Encryption in the Internet of Things Environment}, journal = {Int. J. Commun. Networks Inf. Secur.}, volume = {13}, number = {3}, year = {2021}, url = {https://doi.org/10.17762/ijcnis.v13i3.5101}, doi = {10.17762/IJCNIS.V13I3.5101}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnis/KatukC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnis/KatukC21a, author = {Norliza Katuk and Ikenna Rene Chiadighikaobi}, title = {An Enhanced Block Pre-processing of {PRESENT} Algorithm for Fingerprint Template Encryption in the Internet of Things Environment}, journal = {Int. J. Commun. Networks Inf. Secur.}, volume = {13}, number = {3}, year = {2021}, url = {https://doi.org/10.54039/ijcnis.v13i3.5101}, doi = {10.54039/IJCNIS.V13I3.5101}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcnis/KatukC21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/LaiHCK21, author = {Yuan{-}Cheng Lai and Zelalem Legese Hailemariam and Yen{-}Hung Chen and Yi{-}Ting Kuo}, title = {Buffering and prioritization in switches for fast processing table-miss packets in software-defined networks}, journal = {Int. J. Commun. Syst.}, volume = {34}, number = {8}, year = {2021}, url = {https://doi.org/10.1002/dac.4770}, doi = {10.1002/DAC.4770}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/LaiHCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/TorresMCM21, author = {Joaqu{\'{\i}}n J. Torres and Miguel A. Mu{\~{n}}oz and Jes{\'{u}}s M. Cort{\'{e}}s and Jorge F. Mej{\'{\i}}as}, title = {Special Issue on Emergent Effects in Stochastic Neural Networks with Application to Learning and Information Processing}, journal = {Neurocomputing}, volume = {461}, pages = {632--634}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.02.097}, doi = {10.1016/J.NEUCOM.2021.02.097}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/TorresMCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/Vives-BoixF21, author = {V{\'{\i}}ctor Vives{-}Boix and Daniel Ruiz Fern{\'{a}}ndez}, title = {Synaptic metaplasticity for image processing enhancement in convolutional neural networks}, journal = {Neurocomputing}, volume = {462}, pages = {534--543}, year = {2021}, url = {https://doi.org/10.1016/j.neucom.2021.08.021}, doi = {10.1016/J.NEUCOM.2021.08.021}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/Vives-BoixF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/CaoHLW21, author = {Ning Cao and Wei Huo and Taihe Lin and Gangshan Wu}, title = {Application of convolutional neural networks and image processing algorithms based on traffic video in vehicle taillight detection}, journal = {Int. J. Sens. Networks}, volume = {35}, number = {3}, pages = {181--192}, year = {2021}, url = {https://doi.org/10.1504/IJSNET.2021.113842}, doi = {10.1504/IJSNET.2021.113842}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsnet/CaoHLW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itl/WangJ21, author = {Bozhou Wang and Chunmei Jia}, title = {Natural language processing-based lexical meaning analysis: An application of in-network caching-oriented translation system}, journal = {Internet Technol. Lett.}, volume = {4}, number = {5}, year = {2021}, url = {https://doi.org/10.1002/itl2.290}, doi = {10.1002/ITL2.290}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itl/WangJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcns/MatsumotoMKOS21, author = {Narihisa Matsumoto and Yoh{-}ichi Mototake and Kenji Kawano and Masato Okada and Yasuko Sugase{-}Miyamoto}, title = {Comparison of neuronal responses in primate inferior-temporal cortex and feed-forward deep neural network model with regard to information processing of faces}, journal = {J. Comput. Neurosci.}, volume = {49}, number = {3}, pages = {251--257}, year = {2021}, url = {https://doi.org/10.1007/s10827-021-00778-5}, doi = {10.1007/S10827-021-00778-5}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcns/MatsumotoMKOS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/JayaramanC21, author = {Thiyagarajan Jayaraman and Gowri Shankar Chinnusamy}, title = {Analysis of Deep Rain Streaks Removal Convolutional Neural Network-Based Post-Processing Techniques in {HEVC} Encoder}, journal = {J. Circuits Syst. Comput.}, volume = {30}, number = {2}, pages = {2150020:1--2150020:21}, year = {2021}, url = {https://doi.org/10.1142/S0218126621500201}, doi = {10.1142/S0218126621500201}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/JayaramanC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocnet/LocatelliCMFNS21, author = {Fabiano Locatelli and Konstantinos Christodoulopoulos and Michela Svaluto Moreolo and Josep M. F{\`{a}}brega and Laia Nadal and Salvatore Spadaro}, title = {Spectral processing techniques for efficient monitoring in optical networks}, journal = {{JOCN}}, volume = {13}, number = {7}, pages = {158--168}, year = {2021}, url = {https://doi.org/10.1364/jocn.418800}, doi = {10.1364/JOCN.418800}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocnet/LocatelliCMFNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/MubeenBDS21, author = {Saad Mubeen and Lucia Lo Bello and Masoud Daneshtalab and Sergio Saponara}, title = {Guest Editorial: Special issue on parallel, distributed, and network-based processing in next-generation embedded systems}, journal = {J. Syst. Archit.}, volume = {117}, pages = {102159}, year = {2021}, url = {https://doi.org/10.1016/j.sysarc.2021.102159}, doi = {10.1016/J.SYSARC.2021.102159}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/MubeenBDS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimLLHK21, author = {Ji{-}Hoon Kim and Juhyoung Lee and Jinsu Lee and Jaehoon Heo and Joo{-}Young Kim}, title = {{Z-PIM:} {A} Sparsity-Aware Processing-in-Memory Architecture With Fully Variable Weight Bit-Precision for Energy-Efficient Deep Neural Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {4}, pages = {1093--1104}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3039206}, doi = {10.1109/JSSC.2020.3039206}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimLLHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimYKK21, author = {Hyunjoon Kim and Taegeun Yoo and Tony Tae{-}Hyoung Kim and Bongjin Kim}, title = {Colonnade: {A} Reconfigurable SRAM-Based Digital Bit-Serial Compute-In-Memory Macro for Processing Neural Networks}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {7}, pages = {2221--2233}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3061508}, doi = {10.1109/JSSC.2021.3061508}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimYKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LiLYYMJ21, author = {Jianquan Li and Xiaokang Liu and Wenpeng Yin and Min Yang and Liqun Ma and Yaohong Jin}, title = {Empirical evaluation of multi-task learning in deep neural networks for natural language processing}, journal = {Neural Comput. Appl.}, volume = {33}, number = {9}, pages = {4417--4428}, year = {2021}, url = {https://doi.org/10.1007/s00521-020-05268-w}, doi = {10.1007/S00521-020-05268-W}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/LiLYYMJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neco/VuillaumeLBJ21, author = {Roman Vuillaume and Jhunlyn Lorenzo and St{\'{e}}phane Binczak and Sabir Jacquir}, title = {A Computational Study on Synaptic Plasticity Regulation and Information Processing in Neuron-Astrocyte Networks}, journal = {Neural Comput.}, volume = {33}, number = {7}, pages = {1970--1992}, year = {2021}, url = {https://doi.org/10.1162/neco\_a\_01399}, doi = {10.1162/NECO\_A\_01399}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neco/VuillaumeLBJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/DiveicaKB21, author = {Veronica Diveica and Kami Koldewyn and Richard J. Binney}, title = {Establishing a role of the semantic control network in social cognitive processing: {A} meta-analysis of functional neuroimaging studies}, journal = {NeuroImage}, volume = {245}, pages = {118702}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118702}, doi = {10.1016/J.NEUROIMAGE.2021.118702}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/DiveicaKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LeichtBVMHSRM21, author = {Gregor Leicht and Jonas Bj{\"{o}}rklund and Sebastian Vauth and Marius Mu{\ss}mann and Moritz Haaf and Saskia Steinmann and Jonas Rauh and Christoph Mulert}, title = {Gamma-band synchronisation in a frontotemporal auditory information processing network}, journal = {NeuroImage}, volume = {239}, pages = {118307}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118307}, doi = {10.1016/J.NEUROIMAGE.2021.118307}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LeichtBVMHSRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LiuBAF21, author = {Mengting Liu and Robert A. Backer and Rachel C. Amey and Chad E. Forbes}, title = {How the brain negotiates divergent executive processing demands: Evidence of network reorganization in fleeting brain states}, journal = {NeuroImage}, volume = {245}, pages = {118653}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.118653}, doi = {10.1016/J.NEUROIMAGE.2021.118653}, timestamp = {Tue, 11 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/LiuBAF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ReisertWH21, author = {Marco Reisert and Cornelius Weiller and Jonas A. Hosp}, title = {Displaying the autonomic processing network in humans - a global tractography approach}, journal = {NeuroImage}, volume = {231}, pages = {117852}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.117852}, doi = {10.1016/J.NEUROIMAGE.2021.117852}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ReisertWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/SanchezLZH21, author = {Juan Felipe Quinones Sanchez and Xinyang Liu and Changsong Zhou and Andrea Hildebrandt}, title = {Nature and nurture shape structural connectivity in the face processing brain network}, journal = {NeuroImage}, volume = {229}, pages = {117736}, year = {2021}, url = {https://doi.org/10.1016/j.neuroimage.2021.117736}, doi = {10.1016/J.NEUROIMAGE.2021.117736}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/SanchezLZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nhm/LiuCWW21, author = {Yicheng Liu and Yipeng Chen and Jun Wu and Xiao Wang}, title = {Periodic consensus in network systems with general distributed processing delays}, journal = {Networks Heterog. Media}, volume = {16}, number = {1}, pages = {139--153}, year = {2021}, url = {https://doi.org/10.3934/nhm.2021002}, doi = {10.3934/NHM.2021002}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nhm/LiuCWW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/GuizzoWT21, author = {Eric Guizzo and Tillman Weyde and Giacomo Tarroni}, title = {Anti-transfer learning for task invariance in convolutional neural networks for speech processing}, journal = {Neural Networks}, volume = {142}, pages = {238--251}, year = {2021}, url = {https://doi.org/10.1016/j.neunet.2021.05.012}, doi = {10.1016/J.NEUNET.2021.05.012}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/GuizzoWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/AugeHMK21, author = {Daniel Auge and Julian Hille and Etienne Mueller and Alois C. Knoll}, title = {A Survey of Encoding Techniques for Signal Processing in Spiking Neural Networks}, journal = {Neural Process. Lett.}, volume = {53}, number = {6}, pages = {4693--4710}, year = {2021}, url = {https://doi.org/10.1007/s11063-021-10562-2}, doi = {10.1007/S11063-021-10562-2}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/npl/AugeHMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/MohamadAGJSM21, author = {Noor Mohammed Vali Mohamad and Prasun Ambastha and Sukriti Gautam and Rishabh Jain and Hariharan Subramaniyam and Lakshmanan Muthukaruppan}, title = {Dynamic Sectorization and parallel processing for device-to-device {(D2D)} resource allocation in 5G and {B5G} cellular network}, journal = {Peer-to-Peer Netw. Appl.}, volume = {14}, number = {1}, pages = {296--304}, year = {2021}, url = {https://doi.org/10.1007/s12083-020-00949-8}, doi = {10.1007/S12083-020-00949-8}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/MohamadAGJSM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZadobrischiD21, author = {Eduard Zadobrischi and Mihai Dimian}, title = {Inter-Urban Analysis of Pedestrian and Drivers through a Vehicular Network Based on Hybrid Communications Embedded in a Portable Car System and Advanced Image Processing Technologies}, journal = {Remote. Sens.}, volume = {13}, number = {7}, pages = {1234}, year = {2021}, url = {https://doi.org/10.3390/rs13071234}, doi = {10.3390/RS13071234}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ZadobrischiD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BachVN21, author = {Nhat Hoang Bach and Le Ha Vu and Van Duc Nguyen}, title = {Classification of Surface Vehicle Propeller Cavitation Noise Using Spectrogram Processing in Combination with Convolution Neural Network}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3353}, year = {2021}, url = {https://doi.org/10.3390/s21103353}, doi = {10.3390/S21103353}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BachVN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Cho21, author = {Hyung{-}Ju Cho}, title = {A Unified Approach to Spatial Proximity Query Processing in Dynamic Spatial Networks}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5258}, year = {2021}, url = {https://doi.org/10.3390/s21165258}, doi = {10.3390/S21165258}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Cho21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/StepienP21, author = {Krzysztof Stepien and Aneta Poniszewska{-}Maranda}, title = {Security Measures with Enhanced Behavior Processing and Footprint Algorithm against Sybil and Bogus Attacks in Vehicular Ad Hoc Network}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3538}, year = {2021}, url = {https://doi.org/10.3390/s21103538}, doi = {10.3390/S21103538}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/StepienP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sncs/YinAFCM21, author = {Yuehan Yin and Yahya Alqahtani and Jinjuan Heidi Feng and Joyram Chakraborty and Michael P. McGuire}, title = {Classification of Eye Tracking Data in Visual Information Processing Tasks Using Convolutional Neural Networks and Feature Engineering}, journal = {{SN} Comput. Sci.}, volume = {2}, number = {1}, pages = {59}, year = {2021}, url = {https://doi.org/10.1007/s42979-020-00444-0}, doi = {10.1007/S42979-020-00444-0}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sncs/YinAFCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/CococcioniRRSD21, author = {Marco Cococcioni and Federico Rossi and Emanuele Ruffaldi and Sergio Saponara and Beno{\^{\i}}t Dupont de Dinechin}, title = {Novel Arithmetics in Deep Neural Networks Signal Processing for Autonomous Driving: Challenges and Opportunities}, journal = {{IEEE} Signal Process. Mag.}, volume = {38}, number = {1}, pages = {97--110}, year = {2021}, url = {https://doi.org/10.1109/MSP.2020.2988436}, doi = {10.1109/MSP.2020.2988436}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/CococcioniRRSD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ZongXZTLZZR21, author = {Pengchen Zong and Tian Xia and Haoran Zhao and Jianming Tong and Zehua Li and Wenzhe Zhao and Nanning Zheng and Pengju Ren}, title = {{PIT:} Processing-In-Transmission With Fine-Grained Data Manipulation Networks}, journal = {{IEEE} Trans. Computers}, volume = {70}, number = {6}, pages = {877--891}, year = {2021}, url = {https://doi.org/10.1109/TC.2020.3048233}, doi = {10.1109/TC.2020.3048233}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ZongXZTLZZR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/Asadikouhanjani21, author = {Mohammadreza Asadikouhanjani and Seok{-}Bum Ko}, title = {Enhancing the Utilization of Processing Elements in Spatial Deep Neural Network Accelerators}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {40}, number = {9}, pages = {1947--1951}, year = {2021}, url = {https://doi.org/10.1109/TCAD.2020.3031240}, doi = {10.1109/TCAD.2020.3031240}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/Asadikouhanjani21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/KimJP21, author = {Suchang Kim and Jihyuck Jo and In{-}Cheol Park}, title = {Hybrid Convolution Architecture for Energy-Efficient Deep Neural Network Processing}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {5}, pages = {2017--2029}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3059882}, doi = {10.1109/TCSI.2021.3059882}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/KimJP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/YuYKKCK21, author = {Chengshuo Yu and Taegeun Yoo and Hyunjoon Kim and Tony Tae{-}Hyoung Kim and Kevin Chai Tshun Chuan and Bongjin Kim}, title = {A Logic-Compatible eDRAM Compute-In-Memory With Embedded ADCs for Processing Neural Networks}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {2}, pages = {667--679}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2020.3036209}, doi = {10.1109/TCSI.2020.3036209}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/YuYKKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/KhasanovRMGC21, author = {Robert Khasanov and Julian Robledo and Christian Menard and Andr{\'{e}}s Goens and Jer{\'{o}}nimo Castrill{\'{o}}n}, title = {Domain-specific Hybrid Mapping for Energy-efficient Baseband Processing in Wireless Networks}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {20}, number = {5s}, pages = {60:1--60:26}, year = {2021}, url = {https://doi.org/10.1145/3476991}, doi = {10.1145/3476991}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tecs/KhasanovRMGC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetci/RathiR21, author = {Nitin Rathi and Kaushik Roy}, title = {{STDP} Based Unsupervised Multimodal Learning With Cross-Modal Processing in Spiking Neural Networks}, journal = {{IEEE} Trans. Emerg. Top. Comput. Intell.}, volume = {5}, number = {1}, pages = {143--153}, year = {2021}, url = {https://doi.org/10.1109/TETCI.2018.2872014}, doi = {10.1109/TETCI.2018.2872014}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tetci/RathiR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tifs/WonHJBB21, author = {Yoo{-}Seung Won and Xiaolu Hou and Dirmanto Jap and Jakub Breier and Shivam Bhasin}, title = {Back to the Basics: Seamless Integration of Side-Channel Pre-Processing in Deep Neural Networks}, journal = {{IEEE} Trans. Inf. Forensics Secur.}, volume = {16}, pages = {3215--3227}, year = {2021}, url = {https://doi.org/10.1109/TIFS.2021.3076928}, doi = {10.1109/TIFS.2021.3076928}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tifs/WonHJBB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/GalassiLT21, author = {Andrea Galassi and Marco Lippi and Paolo Torroni}, title = {Attention in Natural Language Processing}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {32}, number = {10}, pages = {4291--4308}, year = {2021}, url = {https://doi.org/10.1109/TNNLS.2020.3019893}, doi = {10.1109/TNNLS.2020.3019893}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/GalassiLT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/QuanTCJ21, author = {Yuhui Quan and Huan Teng and Yixin Chen and Hui Ji}, title = {Watermarking Deep Neural Networks in Image Processing}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {32}, number = {5}, pages = {1852--1865}, year = {2021}, url = {https://doi.org/10.1109/TNNLS.2020.2991378}, doi = {10.1109/TNNLS.2020.2991378}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/QuanTCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/ChenW21, author = {Yang Chen and Jie Wu}, title = {Flow Scheduling of Service Chain Processing in a NFV-Based Network}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {8}, number = {1}, pages = {389--399}, year = {2021}, url = {https://doi.org/10.1109/TNSE.2020.3038783}, doi = {10.1109/TNSE.2020.3038783}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/ChenW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/TuYH21, author = {Nguyen Van Tu and Jae{-}Hyoung Yoo and James Won{-}Ki Hong}, title = {PPTMon: Real-Time and Fine-Grained Packet Processing Time Monitoring in Virtual Network Functions}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {18}, number = {4}, pages = {4324--4336}, year = {2021}, url = {https://doi.org/10.1109/TNSM.2021.3102915}, doi = {10.1109/TNSM.2021.3102915}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnsm/TuYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/ShiE21, author = {Zai Shi and Atilla Eryilmaz}, title = {A Flexible Distributed Stochastic Optimization Framework for Concurrent Tasks in Processing Networks}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {29}, number = {5}, pages = {2045--2058}, year = {2021}, url = {https://doi.org/10.1109/TNET.2021.3078054}, doi = {10.1109/TNET.2021.3078054}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/ShiE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/urban/KharaziB21, author = {Bahareh Alizadeh Kharazi and Amir H. Behzadan}, title = {Flood depth mapping in street photos with image processing and deep neural networks}, journal = {Comput. Environ. Urban Syst.}, volume = {88}, pages = {101628}, year = {2021}, url = {https://doi.org/10.1016/j.compenvurbsys.2021.101628}, doi = {10.1016/J.COMPENVURBSYS.2021.101628}, timestamp = {Thu, 01 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/urban/KharaziB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/RatheeKI21, author = {Geetanjali Rathee and Adel Khelifi and Razi Iqbal}, title = {Artificial Intelligence- {(AI-)} Enabled Internet of Things (IoT) for Secure Big Data Processing in Multihoming Networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2021}, pages = {5754322:1--5754322:9}, year = {2021}, url = {https://doi.org/10.1155/2021/5754322}, doi = {10.1155/2021/5754322}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/RatheeKI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/GaoDSS21, author = {Honghao Gao and Yucong Duan and Lixu Shao and Xiaobing Sun}, title = {Transformation-based processing of typed resources for multimedia sources in the IoT environment}, journal = {Wirel. Networks}, volume = {27}, number = {5}, pages = {3377--3393}, year = {2021}, url = {https://doi.org/10.1007/s11276-019-02200-6}, doi = {10.1007/S11276-019-02200-6}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/winet/GaoDSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/BhardwajK21, author = {Reeta Bhardwaj and Dinesh Kumar}, title = {Wavelet-Based Least Common Ancestor Algorithm for Aggregate Query Processing in Energy Aware Wireless Sensor Network}, journal = {Wirel. Pers. Commun.}, volume = {117}, number = {2}, pages = {1627--1643}, year = {2021}, url = {https://doi.org/10.1007/s11277-020-07938-3}, doi = {10.1007/S11277-020-07938-3}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/BhardwajK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ChopraK21, author = {Sanjeev Chopra and Ajay Kakkar}, title = {Capacity Analysis of Hybrid {MIMO} Using Sparse Signal Processing in mmW 5G Heterogeneous Wireless Networks}, journal = {Wirel. Pers. Commun.}, volume = {116}, number = {3}, pages = {2651--2673}, year = {2021}, url = {https://doi.org/10.1007/s11277-020-07815-z}, doi = {10.1007/S11277-020-07815-Z}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/ChopraK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEiwcia/MarutschkeWS12, author = {Daniel Moritz Marutschke and Jeremy White and Uwe Serd{\"{u}}lt}, title = {WordPress and Kanban Use in Software Engineering Education Evaluated by Natural Language Processing and Neural Network}, booktitle = {12th {IEEE} International Workshop on Computational Intelligence and Applications, {IWCIA} 2021, Hiroshima, Japan, November 6-7, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IWCIA52852.2021.9626034}, doi = {10.1109/IWCIA52852.2021.9626034}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEiwcia/MarutschkeWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/PachecoRWPCSMGG21, author = {Jennifer A. Pacheco and Luke V. Rasmussen and Ken Wiley and Thomas N. Person and David J. Cronkite and Sunghwan Sohn and Shawn N. Murphy and Justin H. Gundelach and Vivian S. Gainer and Victor M. Castro and Cong Liu and Todd Lingren and Frank D. Mentch and Agnes S. Sundaresan and Garrett Eickelberg and Valerie Willis and Al'ona Furmanchuk and Roshan Patel and David S. Carrell and Marc S. Williams and Elizabeth W. Karlson and Jodell E. Linder and Yuan Luo and Chunhua Weng and Wei{-}Qi Wei}, title = {Evaluation of the Portability of Natural Language Processing-based Computable Phenotypes in the eMERGE Network}, booktitle = {{AMIA} 2021, American Medical Informatics Association Annual Symposium, San Diego, CA, USA, October 30, 2021 - November 3, 2021}, publisher = {{AMIA}}, year = {2021}, url = {https://knowledge.amia.org/74229-amia-1.4622266/t004-1.4626008/t004-1.4626009/3577692-1.4626178/3577271-1.4626175}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/PachecoRWPCSMGG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/WirthHT0B21, author = {Johannes Wirth and Jaco A. Hofmann and Lasse Thostrup and Andreas Koch and Carsten Binnig}, editor = {Steven Derrien and Frank Hannig and Pedro C. Diniz and Daniel Chillet}, title = {Exploiting 3D Memory for Accelerated In-Network Processing of Hash Joins in Distributed Databases}, booktitle = {Applied Reconfigurable Computing. Architectures, Tools, and Applications - 17th International Symposium, {ARC} 2021, Virtual Event, June 29-30, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12700}, pages = {18--32}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-79025-7\_2}, doi = {10.1007/978-3-030-79025-7\_2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/arc/WirthHT0B21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/artech/Shabbar21, author = {Aya Shabbar}, editor = {Ad{\'{e}}rito Fernandes Marcos and Paulo Bernardino Bastos and Maria Manuela Lopes and Ant{\'{o}}nio Bandeira Ara{\'{u}}jo and Lucas Fabi{\'{a}}n Olivero}, title = {Convolution Neural Networks: Intersection of Deep Learning and Image Processing in Computational Art}, booktitle = {{ARTECH} 2021: 10th International Conference on Digital and Interactive Arts, Aveiro, Portugal, October 13 - 15, 2021}, pages = {4:1--4:5}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3483529.3483533}, doi = {10.1145/3483529.3483533}, timestamp = {Mon, 02 May 2022 11:39:25 +0200}, biburl = {https://dblp.org/rec/conf/artech/Shabbar21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataservice/EldakikyD21, author = {Hebatalla Eldakiky and David Hung{-}Chang Du}, title = {TransKV: {A} Networking Support for Transaction Processing in Distributed Key-value Stores}, booktitle = {Seventh {IEEE} International Conference on Big Data Computing Service and Applications, BigDataService 2021, Oxford, United Kingdom, August 23-26, 2021}, pages = {41--49}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigDataService52369.2021.00011}, doi = {10.1109/BIGDATASERVICE52369.2021.00011}, timestamp = {Tue, 26 Oct 2021 17:19:21 +0200}, biburl = {https://dblp.org/rec/conf/bigdataservice/EldakikyD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/blackseecom/GlobaG21, author = {Larysa S. Globa and Nataliia Gvozdetska}, title = {Experimental analysis of {PCPB-2:} Comprehensive Energy-Efficient Approach to Distributed Workload Processing in Communication Networks}, booktitle = {2021 {IEEE} International Black Sea Conference on Communications and Networking, BlackSeaCom 2021, Bucharest, Romania, May 24-28, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BlackSeaCom52164.2021.9527759}, doi = {10.1109/BLACKSEACOM52164.2021.9527759}, timestamp = {Fri, 12 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/blackseecom/GlobaG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/AlmahiHC21, author = {Abdalafatah A. Almahi and Xiaoge Huang and Qianbin Chen}, title = {Task Processing Energy Minimization Based on Hybrid Mode in D2D-Enabled Fog Network}, booktitle = {11th {IEEE} Annual Computing and Communication Workshop and Conference, {CCWC} 2021, Las Vegas, NV, USA, January 27-30, 2021}, pages = {1134--1138}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CCWC51732.2021.9376063}, doi = {10.1109/CCWC51732.2021.9376063}, timestamp = {Thu, 25 Mar 2021 08:31:10 +0100}, biburl = {https://dblp.org/rec/conf/ccwc/AlmahiHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/RussinFPRJS021, author = {Jacob L. Russin and Roland Fernandez and Hamid Palangi and Eric Rosen and Nebojsa Jojic and Paul Smolensky and Jianfeng Gao}, editor = {W. Tecumseh Fitch and Claus Lamm and Helmut Leder and Kristin Te{\ss}mar{-}Raible}, title = {Compositional processing emerges in neural networks solving math problems}, booktitle = {Proceedings of the 43rd Annual Meeting of the Cognitive Science Society, CogSci 2021, virtual, July 26-29, 2021}, publisher = {cognitivesciencesociety.org}, year = {2021}, url = {https://escholarship.org/uc/item/1t64n1wx}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/RussinFPRJS021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/DabiriMD21, author = {Bita Dabiri and Mehdi Modarressi and Masoud Daneshtalab}, editor = {Francesco Leporati and Salvatore Vitabile and Amund Skavhaug}, title = {Network-on-ReRAM for Scalable Processing-in-Memory Architecture Design}, booktitle = {24th Euromicro Conference on Digital System Design, {DSD} 2021, Virtual Event / Palermo, Sicily, Italy, September 1-3, 2021}, pages = {143--149}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DSD53832.2021.00031}, doi = {10.1109/DSD53832.2021.00031}, timestamp = {Mon, 07 Nov 2022 07:58:07 +0100}, biburl = {https://dblp.org/rec/conf/dsd/DabiriMD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecai2/ArsenePTAMC21, author = {Diana Arsene and Alexandru Predescu and Ciprian{-}Octavian Truica and Elena Simona Apostol and Mariana Mocanu and Costin Chiru}, title = {Profiling consumers in a water distribution network using K-Means clustering and multiple pre-processing methods}, booktitle = {13th International Conference on Electronics, Computers and Artificial Intelligence, {ECAI} 2021, Pitesti, Romania, July 1-3, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ECAI52376.2021.9515193}, doi = {10.1109/ECAI52376.2021.9515193}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ecai2/ArsenePTAMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/LeiMS0R21, author = {Jiaxin Lei and Manish Munikar and Kun Suo and Hui Lu and Jia Rao}, editor = {Antonio Barbalace and Pramod Bhatotia and Lorenzo Alvisi and Cristian Cadar}, title = {Parallelizing packet processing in container overlay networks}, booktitle = {EuroSys '21: Sixteenth European Conference on Computer Systems, Online Event, United Kingdom, April 26-28, 2021}, pages = {261--276}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3447786.3456241}, doi = {10.1145/3447786.3456241}, timestamp = {Mon, 26 Apr 2021 10:03:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/LeiMS0R21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/RanjbarMP21, author = {Vida Ranjbar and Marc Moonen and Sofie Pollin}, title = {Local Uplink Processing in Cell-Free Networks: {A} New Approach}, booktitle = {29th European Signal Processing Conference, {EUSIPCO} 2021, Dublin, Ireland, August 23-27, 2021}, pages = {1616--1620}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/EUSIPCO54536.2021.9615942}, doi = {10.23919/EUSIPCO54536.2021.9615942}, timestamp = {Thu, 09 Dec 2021 12:26:50 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/RanjbarMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/WirthHTBK21, author = {Johannes Wirth and Jaco A. Hofmann and Lasse Thostrup and Carsten Binnig and Andreas Koch}, title = {Scalable and Flexible High-Performance In-Network Processing of Hash Joins in Distributed Databases}, booktitle = {International Conference on Field-Programmable Technology, {(IC)FPT} 2021, Auckland, New Zealand, December 6-10, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICFPT52863.2021.9609804}, doi = {10.1109/ICFPT52863.2021.9609804}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/WirthHTBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcaiot/RosenbergerBS21, author = {Julia Rosenberger and Michael B{\"{u}}hren and Dieter Schramm}, title = {Perspective on efficiency enhancements in processing streaming data in industrial IoT networks}, booktitle = {{IEEE} Global Conference on Artificial Intelligence and Internet of Things, GCAIoT 2021, Dubai, United Arab Emirates, December 12-16, 2021}, pages = {120--121}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GCAIoT53516.2021.9693073}, doi = {10.1109/GCAIOT53516.2021.9693073}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gcaiot/RosenbergerBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/Wan21, author = {Jingyi Wan}, editor = {Xiaofeng Meng and Fusheng Wang and Chang{-}Tien Lu and Yan Huang and Shashi Shekhar and Xing Xie}, title = {Efficient Shortest Distance Query Processing in Road Networks for Spatially Skewed Workloads}, booktitle = {{SIGSPATIAL} '21: 29th International Conference on Advances in Geographic Information Systems, Virtual Event / Beijing, China, November 2-5, 2021}, pages = {676--677}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3474717.3486809}, doi = {10.1145/3474717.3486809}, timestamp = {Mon, 08 Nov 2021 09:32:31 +0100}, biburl = {https://dblp.org/rec/conf/gis/Wan21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WuHTXF21, author = {Huanzhuo Wu and Jia He and M{\'{a}}t{\'{e}} T{\"{o}}m{\"{o}}sk{\"{o}}zi and Zuo Xiang and Frank H. P. Fitzek}, title = {In-Network Processing for Low-Latency Industrial Anomaly Detection in Softwarized Networks}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2021, Madrid, Spain, December 7-11, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GLOBECOM46510.2021.9685489}, doi = {10.1109/GLOBECOM46510.2021.9685489}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WuHTXF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WuSXHF21, author = {Huanzhuo Wu and Yunbin Shen and Xun Xiao and Artur Hecker and Frank H. P. Fitzek}, title = {In-Network Processing Acoustic Data for Anomaly Detection in Smart Factory}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2021, Madrid, Spain, December 7-11, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/GLOBECOM46510.2021.9685791}, doi = {10.1109/GLOBECOM46510.2021.9685791}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WuSXHF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpec/HaghiGGSH21, author = {Pouya Haghi and Anqi Guo and Tong Geng and Anthony Skjellum and Martin C. Herbordt}, title = {Workload Imbalance in {HPC} Applications: Effect on Performance of In-Network Processing}, booktitle = {2021 {IEEE} High Performance Extreme Computing Conference, {HPEC} 2021, Waltham, MA, USA, September 20-24, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPEC49654.2021.9622847}, doi = {10.1109/HPEC49654.2021.9622847}, timestamp = {Mon, 06 Dec 2021 17:33:19 +0100}, biburl = {https://dblp.org/rec/conf/hpec/HaghiGGSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/OlivaL21, author = {Christian Oliva and Luis Fernando Lago{-}Fern{\'{a}}ndez}, editor = {Igor Farkas and Paolo Masulli and Sebastian Otte and Stefan Wermter}, title = {Separation of Memory and Processing in Dual Recurrent Neural Networks}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2021 - 30th International Conference on Artificial Neural Networks, Bratislava, Slovakia, September 14-17, 2021, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {12894}, pages = {360--371}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-86380-7\_29}, doi = {10.1007/978-3-030-86380-7\_29}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icann/OlivaL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeC21, author = {Byung Hyun Lee and Se Young Chun}, title = {Empirically Accelerating Scaled Gradient Projection Using Deep Neural Network for Inverse Problems in Image Processing}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2021, Toronto, ON, Canada, June 6-11, 2021}, pages = {1415--1419}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICASSP39728.2021.9415023}, doi = {10.1109/ICASSP39728.2021.9415023}, timestamp = {Thu, 08 Jul 2021 17:12:48 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChallapalleSCN21, author = {Nagadastagiri Challapalle and Karthik Swaminathan and Nandhini Chandramoorthy and Vijaykrishnan Narayanan}, title = {Crossbar based Processing in Memory Accelerator Architecture for Graph Convolutional Networks}, booktitle = {{IEEE/ACM} International Conference On Computer Aided Design, {ICCAD} 2021, Munich, Germany, November 1-4, 2021}, pages = {1--9}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD51958.2021.9643465}, doi = {10.1109/ICCAD51958.2021.9643465}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChallapalleSCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad2/KotbAK21, author = {Yehia Kotb and Mouhammad Alakkoumi and Hassan Kanj}, title = {Sensor Network Data Processing in Smart Cities}, booktitle = {International Conference on Control, Automation and Diagnosis, {ICCAD} 2021, Grenoble, France, November 3-5, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCAD52417.2021.9638767}, doi = {10.1109/ICCAD52417.2021.9638767}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad2/KotbAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichms/Gao21, author = {Ying Gao}, title = {Default mode network and attention network in unconscious processing}, booktitle = {2nd {IEEE} International Conference on Human-Machine Systems, {ICHMS} 2021, Magdeburg, Germany, September 8-10, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICHMS53169.2021.9582459}, doi = {10.1109/ICHMS53169.2021.9582459}, timestamp = {Wed, 03 Nov 2021 08:28:26 +0100}, biburl = {https://dblp.org/rec/conf/ichms/Gao21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icppw/LinLLWH21, author = {Che{-}Chia Lin and Chao{-}Lin Lee and Jenq{-}Kuen Lee and Howard Wang and Ming{-}Yu Hung}, editor = {Federico Silla and Osni Marques}, title = {Accelerate Binarized Neural Networks with Processing-in-Memory Enabled by {RISC-V} Custom Instructions}, booktitle = {{ICPP} Workshops 2021: 50th International Conference on Parallel Processing, Virtual Event / Lemont (near Chicago), IL, USA, August 9-12, 2021}, pages = {15:1--15:8}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3458744.3473351}, doi = {10.1145/3458744.3473351}, timestamp = {Tue, 28 Sep 2021 14:37:48 +0200}, biburl = {https://dblp.org/rec/conf/icppw/LinLLWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsipa/MohammadiMMKG21, author = {Vahid Mohammadi and Saeid Minaei and Alireza Mahdavian and Mohammad Hadi Khoshtaghaza and Pierre Gouton}, title = {Estimation of Leaf Area in Bell Pepper Plant using Image Processing techniques and Artificial Neural Networks}, booktitle = {{IEEE} International Conference on Signal and Image Processing Applications, {ICSIPA} 2021, Kuala Terengganu, Malaysia, September 13-15, 2021}, pages = {173--178}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICSIPA52582.2021.9576778}, doi = {10.1109/ICSIPA52582.2021.9576778}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsipa/MohammadiMMKG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsoc/ChenLL0Z21, author = {Niu Chen and An Liu and Guanfeng Liu and Jiajie Xu and Lei Zhao}, editor = {Hakim Hacid and Odej Kao and Massimo Mecella and Naouel Moha and Hye{-}young Paik}, title = {Representation Learning Based Query Decomposition for Batch Shortest Path Processing in Road Networks}, booktitle = {Service-Oriented Computing - 19th International Conference, {ICSOC} 2021, Virtual Event, November 22-25, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13121}, pages = {257--272}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-91431-8\_16}, doi = {10.1007/978-3-030-91431-8\_16}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsoc/ChenLL0Z21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idaacs/ArtemukBMK21, author = {Serhii Artemuk and Vitalii Brydinskyi and Ihor Mykytyn and Yuriy Khoma}, title = {Application of Deep Neural Networks for {EEG} Signal Processing in Brain-controlled Wheeled Robotic Platform}, booktitle = {2021 11th {IEEE} International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications (IDAACS), Cracow, Poland, September 22-25, 2021}, pages = {81--85}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IDAACS53288.2021.9660965}, doi = {10.1109/IDAACS53288.2021.9660965}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idaacs/ArtemukBMK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idt2/NassKSR21, author = {Oksana Nass and Gaukhar Kamalova and Rauan Shotkin and Jan Rabcan}, title = {Analysis of Methods for Planning Data Processing Tasks in Distributed Systems for the Remote Access to Information Resources : Topic: Communication and control systems and networks}, booktitle = {International Conference on Information and Digital Technologies, {IDT} 2021, Zilina, Slovakia, June 22-24, 2021}, pages = {273--276}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IDT52577.2021.9497583}, doi = {10.1109/IDT52577.2021.9497583}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idt2/NassKSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/PereraALE21, author = {Sachi Perera and Mohamed Allali and Erik Linstead and Hesham M. El{-}Askary}, title = {Landuse Landcover Change Detection in the Mediterranean Region Using a Siamese Neural Network and Image Processing}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {4368--4371}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9553928}, doi = {10.1109/IGARSS47720.2021.9553928}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/PereraALE21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YangCW0T21, author = {Zihao Yang and Zhaobin Cao and Xiaohua Wan and Fa Zhang and Guangming Tan}, title = {Spatio-Temporal Features Processing Network for Change Detection in Remote Sensing Images}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {3344--3347}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554882}, doi = {10.1109/IGARSS47720.2021.9554882}, timestamp = {Mon, 18 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YangCW0T21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/HuangZHCL21, author = {Hongyu Huang and Hongyuan Zhao and Chunqiang Hu and Chao Chen and Yantao Li}, title = {Find and Dig: {A} Privacy-Preserving Image Processing Mechanism in Deep Neural Networks for Mobile Computation}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2021, Shenzhen, China, July 18-22, 2021}, pages = {1--8}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IJCNN52387.2021.9534066}, doi = {10.1109/IJCNN52387.2021.9534066}, timestamp = {Fri, 26 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/HuangZHCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/GirolamoKCBSBBH21, author = {Salvatore Di Girolamo and Andreas Kurth and Alexandru Calotoiu and Thomas Benz and Timo Schneider and Jakub Ber{\'{a}}nek and Luca Benini and Torsten Hoefler}, title = {A {RISC-V} in-network accelerator for flexible high-performance low-power packet processing}, booktitle = {48th {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2021, Virtual Event / Valencia, Spain, June 14-18, 2021}, pages = {958--971}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCA52012.2021.00079}, doi = {10.1109/ISCA52012.2021.00079}, timestamp = {Mon, 19 Feb 2024 07:32:07 +0100}, biburl = {https://dblp.org/rec/conf/isca/GirolamoKCBSBBH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isit/ZhouZMW21, author = {Chuteng Zhou and Quntao Zhuang and Matthew Mattina and Paul N. Whatmough}, title = {Strong data processing inequality in neural networks with noisy neurons and its implications}, booktitle = {{IEEE} International Symposium on Information Theory, {ISIT} 2021, Melbourne, Australia, July 12-20, 2021}, pages = {1170--1175}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISIT45174.2021.9517787}, doi = {10.1109/ISIT45174.2021.9517787}, timestamp = {Fri, 03 Sep 2021 10:54:55 +0200}, biburl = {https://dblp.org/rec/conf/isit/ZhouZMW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/Wang21e, author = {Kai Wang}, title = {Application and Development of Neural Network Technology in Mechanical Automation Processing Parameters}, booktitle = {17th International Wireless Communications and Mobile Computing, {IWCMC} 2021, Harbin City, China, June 28 - July 2, 2021}, pages = {1874--1878}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IWCMC51323.2021.9498946}, doi = {10.1109/IWCMC51323.2021.9498946}, timestamp = {Fri, 13 Aug 2021 16:13:45 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/Wang21e.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latincom/Rodriguez21, author = {Domingo Antonio Rodr{\'{\i}}guez}, editor = {Ramiro Vel{\'{a}}zquez}, title = {Symplectic Tensor Signal Algebraic Framework for Delay-Doppler Processing in {OTFS} Networks}, booktitle = {{IEEE} Latin-American Conference on Communications, {LATINCOM} 2021, Santo Domingo, Dominican Republic, November 17-19, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/LATINCOM53176.2021.9647808}, doi = {10.1109/LATINCOM53176.2021.9647808}, timestamp = {Thu, 30 Dec 2021 10:38:26 +0100}, biburl = {https://dblp.org/rec/conf/latincom/Rodriguez21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/MarinoFZA21, author = {Angela Gonzalez Mari{\~{n}}o and Francesc Fons and Haigang Zhang and Juan{-}Manuel Moreno Ar{\'{o}}stegui}, editor = {Amlan Ganguly and Salvatore Monteleone and Cristinel Ababei and Diana G{\"{o}}hringer}, title = {Loopback strategy for in-vehicle network processing in automotive gateway network on chip}, booktitle = {NoCArc '21: Proceedings of the 14th International Workshop on Network on Chip Architectures, Virtual Event, Greece, October 18 - 22, 2021}, pages = {22--28}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3477231.3490429}, doi = {10.1145/3477231.3490429}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/MarinoFZA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ChenGXSGRMH21, author = {Antong Chen and Saideep Gona and Dahai Xue and Tosha Shah and Alexa Gleason and Barbara Robinson and Britta Mattson and Catherine D. G. Hines}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Automated localization and segmentation of vertebrae in the micro-CT images of rabbit fetuses using 3D Convolutional Neural Networks}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2581117}, doi = {10.1117/12.2581117}, timestamp = {Mon, 11 Mar 2024 15:57:50 +0100}, biburl = {https://dblp.org/rec/conf/miip/ChenGXSGRMH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/Diao0FXCPZ21, author = {Yichao Diao and Xinjian Chen and Ying Fan and Jiamin Xie and Qiuying Chen and Lingjiao Pan and Weifang Zhu}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Segmentation of {RBCC} disruption and myopic stretch line in retinal {OCT} images using an improved U-shape network}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2579559}, doi = {10.1117/12.2579559}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/Diao0FXCPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/HampeWCPI21, author = {Nils Hampe and Jelmer M. Wolterink and Carlos Collet and Nils Planken and Ivana Isgum}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Graph attention networks for segment labeling in coronary artery trees}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2581219}, doi = {10.1117/12.2581219}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/HampeWCPI21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/HuberMGHLYM21, author = {Nathan R. Huber and Andrew D. Missert and Hao Gong and Scott S. Hsieh and Shuai Leng and Lifeng Yu and Cynthia H. McCollough}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Random search as a neural network optimization strategy for Convolutional-Neural-Network (CNN)-based noise reduction in {CT}}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2582143}, doi = {10.1117/12.2582143}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/HuberMGHLYM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LuoZ0SFXCPZ21, author = {Gaohui Luo and Qianlong Zhu and Xinjian Chen and Fei Shi and Ying Fan and Jiamin Xie and Qiuying Chen and Lingjiao Pan and Weifang Zhu}, editor = {Ivana Isgum and Bennett A. Landman}, title = {SGCNet: a scale-aware and global context network for linear lesion segmentation in {MCSL} fundus images of high myopia}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2579889}, doi = {10.1117/12.2579889}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/LuoZ0SFXCPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SelmanajSOPSBW21, author = {Endi Selmanaj and Fons van der Sommen and Sanne E. Okel and Joost van der Putten and Maarten R. Struyvenberg and Jacques J. G. H. M. Bergman and Peter H. N. de With}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Fast tissue detection in volumetric laser endomicroscopy using convolutional neural networks: an object-detection approach}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2579573}, doi = {10.1117/12.2579573}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/SelmanajSOPSBW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SinghPWCLP21, author = {Mallika Singh and Eleanor Pahl and Shangxian Wang and Aaron Carass and Junghoon Lee and Jerry L. Prince}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Accurate estimation of total intracranial volume in {MRI} using a multi-tasked image-to-image translation network}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2582264}, doi = {10.1117/12.2582264}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/SinghPWCLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SinghalLAAPDD21, author = {Vanika Singhal and Rajesh Langoju and Sidharth Abrol and Utkarsh Agrawal and Bhushan D. Patil and Sandeep Dutta and Bipul Das}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Noise characteristics in low to high kVp domain translation with Deep Regression Network}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2581736}, doi = {10.1117/12.2581736}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/SinghalLAAPDD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WangZND21, author = {Jianing Wang and Yiyuan Zhao and Jack H. Noble and Benoit M. Dawant}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Metal artifact reduction, intra cochlear anatomy segmentation, and cochlear implant electrodes localization in {CT} images with a multi-task 3D network}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2580931}, doi = {10.1117/12.2580931}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/WangZND21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/Yang0SZCYFS21, author = {Changqing Yang and Xinjian Chen and Jinzhu Su and Weifang Zhu and Qiuying Chen and Jiayi Yu and Ying Fan and Fei Shi}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Segmentation of retinal detachment and retinoschisis in {OCT} images based on improved U-shaped network with cross-fusion global feature module}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2580665}, doi = {10.1117/12.2580665}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/Yang0SZCYFS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/YaoZWZHC021, author = {Chenpu Yao and Weifang Zhu and Meng Wang and Liangjiu Zhu and Haifan Huang and Haoyu Chen and Xinjian Chen}, editor = {Ivana Isgum and Bennett A. Landman}, title = {SANet: a self-adaptive network for hyperreflective foci segmentation in retinal {OCT} images}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2580699}, doi = {10.1117/12.2580699}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/YaoZWZHC021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/Ye0SXPZ21, author = {Yanqing Ye and Xinjian Chen and Fei Shi and Dehui Xiang and Lingjiao Pan and Weifang Zhu}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Context attention-and-fusion network for multiclass retinal fluid segmentation in {OCT} images}, booktitle = {Medical Imaging 2021: Image Processing, Online, February 15-19, 2021}, series = {{SPIE} Proceedings}, volume = {11596}, publisher = {{SPIE}}, year = {2021}, url = {https://doi.org/10.1117/12.2580527}, doi = {10.1117/12.2580527}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/Ye0SXPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/HsiehAHKP21, author = {Yung{-}Ting Hsieh and Khizar Anjum and Songjun Huang and Indraneel S. Kulkarni and Dario Pompili}, title = {Neural Network Design via Voltage-based Resistive Processing Unit and Diode Activation Function - {A} New Architecture}, booktitle = {64th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2021, Lansing, MI, USA, August 9-11, 2021}, pages = {59--62}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MWSCAS47672.2021.9531917}, doi = {10.1109/MWSCAS47672.2021.9531917}, timestamp = {Wed, 22 Sep 2021 16:10:31 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/HsiehAHKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nafips/MisraR21, author = {Kanishka Misra and Julia Taylor Rayz}, editor = {Julia Rayz and Victor Raskin and Scott Dick and Vladik Kreinovich}, title = {Finding Fuzziness in Neural Network Models of Language Processing}, booktitle = {Explainable {AI} and Other Applications of Fuzzy Techniques - Proceedings of the 2021 Annual Conference of the North American Fuzzy Information Processing Society, {NAFIPS} 2021, Virtual Event / West Lafayette, IN, USA, June 7-9, 2021}, series = {Lecture Notes in Networks and Systems}, volume = {258}, pages = {278--290}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-82099-2\_25}, doi = {10.1007/978-3-030-82099-2\_25}, timestamp = {Tue, 05 Oct 2021 19:08:56 +0200}, biburl = {https://dblp.org/rec/conf/nafips/MisraR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netsoft/KorikawaO21, author = {Tomohiro Korikawa and Eiji Oki}, editor = {Kohei Shiomoto and Young{-}Tak Kim and Christian Esteve Rothenberg and Barbara Martini and Eiji Oki and Baek{-}Young Choi and Noriaki Kamiyama and Stefano Secci}, title = {Memory Network Architecture for Packet Processing in Functions Virtualization}, booktitle = {7th {IEEE} International Conference on Network Softwarization, NetSoft 2021, Tokyo, Japan, June 28 - July 2, 2021}, pages = {88--96}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/NetSoft51509.2021.9492715}, doi = {10.1109/NETSOFT51509.2021.9492715}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netsoft/KorikawaO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/MontonenKMRHH21, author = {Jari Montonen and Jukka Koskinen and Jukka M{\"{a}}kel{\"{a}} and Sami Ruponen and Tapio Heikkil{\"{a}} and Markku Hentula}, editor = {Zheng Yan and Gareth Tyson and Dimitrios Koutsonikolas}, title = {Applying 5G and Edge Processing in Smart Manufacturing}, booktitle = {{IFIP} Networking Conference, {IFIP} Networking 2021, Espoo and Helsinki, Finland, June 21-24, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/IFIPNetworking52078.2021.9472851}, doi = {10.23919/IFIPNETWORKING52078.2021.9472851}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/networking/MontonenKMRHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/MafiolettiSGORR21, author = {Diego Rossi Mafioletti and Frank Slyne and Robin Giller and Michael O'Hanlon and Brendan Ryan and Marco Ruffini}, title = {A Novel low-latency {DBA} for Virtualised {PON} implemented through {P4} In-Network Processing}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489802}, timestamp = {Tue, 03 Aug 2021 11:38:19 +0200}, biburl = {https://dblp.org/rec/conf/ofc/MafiolettiSGORR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ondm/UrenaGSGNGG21, author = {Mario Ure{\~{n}}a and Rub{\'{e}}n Guillem and Sabahat Shaheen and Sergi Garc{\'{\i}}a and Elham Nazemosadat and Itandehui Gris{-}S{\'{a}}nchez and Ivana Gasulla}, title = {Specialty fibers exploiting spatial multiplexing for signal processing in radio access networks}, booktitle = {International Conference on Optical Network Design and Modeling, {ONDM} 2021, Gothenburg, Sweden, June 28 - July 1, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/ONDM51796.2021.9492430}, doi = {10.23919/ONDM51796.2021.9492430}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ondm/UrenaGSGNGG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/BengreHPZJ21, author = {Vivek Bengre and M. Reza HoseinyFarahabady and Mohammad Pivezhandi and Albert Y. Zomaya and Ali Jannesari}, editor = {Hong Shen and Yingpeng Sang and Yong Zhang and Nong Xiao and Hamid R. Arabnia and Geoffrey C. Fox and Ajay Gupta and Manu Malek}, title = {A Learning-Based Scheduler for High Volume Processing in Data Warehouse Using Graph Neural Networks}, booktitle = {Parallel and Distributed Computing, Applications and Technologies - 22nd International Conference, {PDCAT} 2021, Guangzhou, China, December 17-19, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13148}, pages = {175--186}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-96772-7\_17}, doi = {10.1007/978-3-030-96772-7\_17}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/pdcat/BengreHPZJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/MulinkaKDMGNM21, author = {Pavol Mulinka and Charalampos Kalalas and Merim Dzaferagic and Irene Macaluso and Daniel Gutierrez{-}Rojas and Pedro Henrique Juliano Nardelli and Nicola Marchetti}, title = {Information Processing and Data Visualization in Networked Industrial Systems}, booktitle = {32nd {IEEE} Annual International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 2021, Helsinki, Finland, September 13-16, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PIMRC50174.2021.9569603}, doi = {10.1109/PIMRC50174.2021.9569603}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/MulinkaKDMGNM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/AkiliW21, author = {Samira Akili and Matthias Weidlich}, editor = {Guoliang Li and Zhanhuai Li and Stratos Idreos and Divesh Srivastava}, title = {MuSE Graphs for Flexible Distribution of Event Stream Processing in Networks}, booktitle = {{SIGMOD} '21: International Conference on Management of Data, Virtual Event, China, June 20-25, 2021}, pages = {10--22}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3448016.3457318}, doi = {10.1145/3448016.3457318}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/AkiliW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/simbig/PortaDT21, author = {Juan Ignacio Porta and Mart{\'{\i}}n Ariel Dom{\'{\i}}nguez and Francisco Tamarit}, editor = {Juan Antonio Lossio{-}Ventura and Jorge Valverde{-}Rebaza and Eduardo D{\'{\i}}az and Denisse Mu{\~{n}}ante and Carlos Gavidia{-}Calderon and Alan Dem{\'{e}}trius Baria Valejo and Hugo Alatrista{-}Salas}, title = {Automatic Data Imputation in Time Series Processing Using Neural Networks for Industry and Medical Datasets}, booktitle = {Information Management and Big Data - 8th Annual International Conference, SIMBig 2021, Virtual Event, December 1-3, 2021, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1577}, pages = {3--16}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-031-04447-2\_1}, doi = {10.1007/978-3-031-04447-2\_1}, timestamp = {Fri, 10 Jun 2022 17:37:39 +0200}, biburl = {https://dblp.org/rec/conf/simbig/PortaDT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/Sun0WVL21, author = {Bo Sun and Bo Tan and Wenbo Wang and Mikko Valkama and Elena Simona Lohan}, title = {Embedding the Radio Imaging in 5G Networks: Signal Processing and an Airport Use Case}, booktitle = {94th {IEEE} Vehicular Technology Conference, {VTC} Fall 2021, Norman, OK, USA, September 27-30, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Fall52928.2021.9625204}, doi = {10.1109/VTC2021-FALL52928.2021.9625204}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/Sun0WVL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2021, title = {{IPSN} '21: The 20th International Conference on Information Processing in Sensor Networks, Nashville, TN, USA, May, 2021}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3412382}, doi = {10.1145/3412382}, isbn = {978-1-4503-8098-0}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2101-08819, author = {Mohammad Javad Amiri and Ziliang Lai and Liana Patel and Boon Thau Loo and Eric Lo and Wenchao Zhou}, title = {Saguaro: Efficient Processing of Transactions in Wide Area Networks using a Hierarchical Permissioned Blockchain}, journal = {CoRR}, volume = {abs/2101.08819}, year = {2021}, url = {https://arxiv.org/abs/2101.08819}, eprinttype = {arXiv}, eprint = {2101.08819}, timestamp = {Sat, 30 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2101-08819.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-08100, author = {Benedek Rozemberczki and Paul Scherer and Oliver Kiss and Rik Sarkar and Tamas Ferenci}, title = {Chickenpox Cases in Hungary: a Benchmark Dataset for Spatiotemporal Signal Processing with Graph Neural Networks}, journal = {CoRR}, volume = {abs/2102.08100}, year = {2021}, url = {https://arxiv.org/abs/2102.08100}, eprinttype = {arXiv}, eprint = {2102.08100}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-08100.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-11073, author = {Serkan Budak and Bahadir Akbal}, title = {Determination of Fault Location in Transmission Lines with Image Processing and Artificial Neural Networks}, journal = {CoRR}, volume = {abs/2102.11073}, year = {2021}, url = {https://arxiv.org/abs/2102.11073}, eprinttype = {arXiv}, eprint = {2102.11073}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-11073.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-03953, author = {Supreeth Mysore Shivanandamurthy and Ishan G. Thakkar and Sayed Ahmad Salehi}, title = {{ODIN:} {A} Bit-Parallel Stochastic Arithmetic Based Accelerator for In-Situ Neural Network Processing in Phase Change {RAM}}, journal = {CoRR}, volume = {abs/2103.03953}, year = {2021}, url = {https://arxiv.org/abs/2103.03953}, eprinttype = {arXiv}, eprint = {2103.03953}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-03953.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-17207, author = {Nikolaos Papadis and Leandros Tassiulas}, title = {State-Dependent Processing in Payment Channel Networks for Throughput Optimization}, journal = {CoRR}, volume = {abs/2103.17207}, year = {2021}, url = {https://arxiv.org/abs/2103.17207}, eprinttype = {arXiv}, eprint = {2103.17207}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-17207.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-10813, author = {Kanishka Misra and Julia Taylor Rayz}, title = {Finding Fuzziness in Neural Network Models of Language Processing}, journal = {CoRR}, volume = {abs/2104.10813}, year = {2021}, url = {https://arxiv.org/abs/2104.10813}, eprinttype = {arXiv}, eprint = {2104.10813}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-10813.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-03148, author = {Chen Li and Pingli Ma and Md Mamunur Rahaman and Yudong Yao and Jiawei Zhang and Shuojia Zou and Xin Zhao and Marcin Grzegorzek}, title = {A State-of-the-art Survey of Object Detection Techniques in Microorganism Image Analysis: from Traditional Image Processing and Classical Machine Learning to Current Deep Convolutional Neural Networks and Potential Visual Transformers}, journal = {CoRR}, volume = {abs/2105.03148}, year = {2021}, url = {https://arxiv.org/abs/2105.03148}, eprinttype = {arXiv}, eprint = {2105.03148}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-03148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-05316, author = {Stefan Bloemheuvel and Jurgen van den Hoogen and Martin Atzmueller}, title = {A Computational Framework for Modeling Complex Sensor Network Data Using Graph Signal Processing and Graph Neural Networks in Structural Health Monitoring}, journal = {CoRR}, volume = {abs/2105.05316}, year = {2021}, url = {https://arxiv.org/abs/2105.05316}, eprinttype = {arXiv}, eprint = {2105.05316}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-05316.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-08961, author = {Jacob L. Russin and Roland Fernandez and Hamid Palangi and Eric Rosen and Nebojsa Jojic and Paul Smolensky and Jianfeng Gao}, title = {Compositional Processing Emerges in Neural Networks Solving Math Problems}, journal = {CoRR}, volume = {abs/2105.08961}, year = {2021}, url = {https://arxiv.org/abs/2105.08961}, eprinttype = {arXiv}, eprint = {2105.08961}, timestamp = {Fri, 26 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-08961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-01735, author = {Abdulaziz M. Alqarni and Thomas G. Robertazzi}, title = {Cloud Versus Local Processing in Distributed Networks}, journal = {CoRR}, volume = {abs/2107.01735}, year = {2021}, url = {https://arxiv.org/abs/2107.01735}, eprinttype = {arXiv}, eprint = {2107.01735}, timestamp = {Wed, 07 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-01735.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-07305, author = {Amirreza Yousefzadeh and Manolis Sifalakis}, title = {Training for temporal sparsity in deep neural networks, application in video processing}, journal = {CoRR}, volume = {abs/2107.07305}, year = {2021}, url = {https://arxiv.org/abs/2107.07305}, eprinttype = {arXiv}, eprint = {2107.07305}, timestamp = {Wed, 21 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-07305.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-00298, author = {Omid Shokrollahi and Niloofar Hashemi and Mohammad Dehghani}, title = {Discourse Analysis of Covid-19 in Persian Twitter Social Networks Using Graph Mining and Natural Language Processing}, journal = {CoRR}, volume = {abs/2109.00298}, year = {2021}, url = {https://arxiv.org/abs/2109.00298}, eprinttype = {arXiv}, eprint = {2109.00298}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-00298.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01096, author = {Higor S. Monteiro and Ian Leifer and Saulo D. S. Reis and Jos{\'{e}} S. Andrade Jr. and Hern{\'{a}}n A. Makse}, title = {Fast algorithm to identify cluster synchrony through fibration symmetries in large information-processing networks}, journal = {CoRR}, volume = {abs/2110.01096}, year = {2021}, url = {https://arxiv.org/abs/2110.01096}, eprinttype = {arXiv}, eprint = {2110.01096}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01096.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01381, author = {Huanzhuo Wu and Yunbin Shen and Xun Xiao and Artur Hecker and Frank H. P. Fitzek}, title = {In-Network Processing Acoustic Data for Anomaly Detection in Smart Factory}, journal = {CoRR}, volume = {abs/2110.01381}, year = {2021}, url = {https://arxiv.org/abs/2110.01381}, eprinttype = {arXiv}, eprint = {2110.01381}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01381.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-07898, author = {Sushrut Thorat and Giacomo Aldegheri and Tim C. Kietzmann}, title = {Category-orthogonal object features guide information processing in recurrent neural networks trained for object categorization}, journal = {CoRR}, volume = {abs/2111.07898}, year = {2021}, url = {https://arxiv.org/abs/2111.07898}, eprinttype = {arXiv}, eprint = {2111.07898}, timestamp = {Tue, 16 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-07898.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-03683, author = {Huanzhuo Wu and Jia He and M{\'{a}}t{\'{e}} T{\"{o}}m{\"{o}}sk{\"{o}}zi and Zuo Xiang and Frank H. P. Fitzek}, title = {In-Network Processing for Low-Latency Industrial Anomaly Detection in Softwarized Networks}, journal = {CoRR}, volume = {abs/2112.03683}, year = {2021}, url = {https://arxiv.org/abs/2112.03683}, eprinttype = {arXiv}, eprint = {2112.03683}, timestamp = {Mon, 16 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-03683.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-04079, author = {Li{-}Hsiang Shen and Yung{-}Ting Huang and Kai{-}Ten Feng}, title = {Flexible Functional Split for Processing Sharing and CoMP-Enhanced Mixed eMBB/URLLC Services in Beyond 5G Wireless Networks}, journal = {CoRR}, volume = {abs/2112.04079}, year = {2021}, url = {https://arxiv.org/abs/2112.04079}, eprinttype = {arXiv}, eprint = {2112.04079}, timestamp = {Mon, 13 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-04079.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-15382, author = {Stanislav Polyakov and Andrey Demichev and Alexander Kryukov and Evgeny Postnikov}, title = {Processing Images from Multiple IACTs in the {TAIGA} Experiment with Convolutional Neural Networks}, journal = {CoRR}, volume = {abs/2112.15382}, year = {2021}, url = {https://arxiv.org/abs/2112.15382}, eprinttype = {arXiv}, eprint = {2112.15382}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-15382.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/LiTKLC21, title = {Application of Multilayer Perceptron Genetic Algorithm Neural Network in Chinese-English Parallel Corpus Noise Processing}, journal = {Comput. Intell. Neurosci.}, volume = {2021}, pages = {7144635:1--7144635:12}, year = {2021}, note = {Withdrawn.}, url = {https://doi.org/10.1155/2021/7144635}, doi = {10.1155/2021/7144635}, timestamp = {Fri, 29 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cin/LiTKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/YanLS21, title = {Network optimization of hospital computer processing system and clinical effect of alendronate in the treatment of premature ovarian failure}, journal = {Microprocess. Microsystems}, volume = {81}, pages = {103704}, year = {2021}, note = {Withdrawn.}, url = {https://doi.org/10.1016/j.micpro.2020.103704}, doi = {10.1016/J.MICPRO.2020.103704}, timestamp = {Wed, 26 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/YanLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Hofmann20, author = {Jaco Hofmann}, title = {An Improved Framework for and Case Studies in FPGA-Based Application Acceleration - Computer Vision, In-Network Processing and Spiking Neural Networks}, school = {Darmstadt University of Technology, Germany}, year = {2020}, url = {http://tuprints.ulb.tu-darmstadt.de/10355/}, urn = {urn:nbn:de:tuda-tuprints-103551}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Hofmann20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Xu20, author = {Guang Xu}, title = {In-Network Processing Algorithms for Cooperative Networks}, school = {University of Bremen, Germany}, year = {2020}, url = {https://nbn-resolving.org/urn:nbn:de:101:1-2020032204253977937160}, urn = {urn:nbn:de:101:1-2020032204253977937160}, isbn = {978-3-8440-7270-9}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Xu20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Gao20, author = {Orange Gao}, title = {Convolutional neural network for inverse problems in image processing}, school = {Loughborough University, {UK}}, year = {2020}, url = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.816235}, doi = {10.26174/THESIS.LBORO.12928709.V1}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Gao20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/jp/Koide20, author = {Satoshi Koide}, title = {Indexing, Retrieval, and Compression of Moving Objects in Networks: {A} String Processing Approach}, school = {Nagoya University, Japan}, year = {2020}, url = {https://ci.nii.ac.jp/naid/500001384537}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/jp/Koide20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoA20, author = {Hyung{-}Ju Cho and Muhammad Attique}, title = {Group Processing of Multiple k-Farthest Neighbor Queries in Road Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {110959--110973}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3002263}, doi = {10.1109/ACCESS.2020.3002263}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangHLHP20, author = {Tao Yang and Yibo Hu and Yang Li and Wei Hu and Quan Pan}, title = {A Standardized {ICS} Network Data Processing Flow With Generative Model in Anomaly Detection}, journal = {{IEEE} Access}, volume = {8}, pages = {4255--4264}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2019.2963144}, doi = {10.1109/ACCESS.2019.2963144}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YangHLHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/ClarkTCBBT20, author = {Sarah V. Clark and Amber Tannahill and Vince D. Calhoun and Jessica A. Bernard and Juan R. Bustillo and Jessica A. Turner}, title = {Weaker Cerebellocortical Connectivity Within Sensorimotor and Executive Networks in Schizophrenia Compared to Healthy Controls: Relationships with Processing Speed}, journal = {Brain Connect.}, volume = {10}, number = {9}, pages = {490--503}, year = {2020}, url = {https://doi.org/10.1089/brain.2020.0792}, doi = {10.1089/BRAIN.2020.0792}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/brain/ClarkTCBBT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/MukherjeeMSR20, author = {Anandarup Mukherjee and Sudip Misra and Anumandala Sukrutha and Narendra Singh Raghuwanshi}, title = {Distributed aerial processing for IoT-based edge {UAV} swarms in smart farming}, journal = {Comput. Networks}, volume = {167}, year = {2020}, url = {https://doi.org/10.1016/j.comnet.2019.107038}, doi = {10.1016/J.COMNET.2019.107038}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/MukherjeeMSR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/TanakaYMH20, author = {Kyosuke Tanaka and Hayato Yamaki and Shinobu Miwa and Hiroki Honda}, title = {Evaluating architecture-level optimization in packet processing caches}, journal = {Comput. Networks}, volume = {181}, pages = {107550}, year = {2020}, url = {https://doi.org/10.1016/j.comnet.2020.107550}, doi = {10.1016/J.COMNET.2020.107550}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/TanakaYMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/YuLZJL20, author = {Li Yu and Zongpeng Li and Yucun Zhong and Zhenzhou Ji and Jiangchuan Liu}, title = {When QoE meets learning: {A} distributed traffic-processing framework for elastic resource provisioning in HetNets}, journal = {Comput. Networks}, volume = {167}, year = {2020}, url = {https://doi.org/10.1016/j.comnet.2019.106904}, doi = {10.1016/J.COMNET.2019.106904}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/YuLZJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/JSSM20, author = {Gokulraj J. and J. Senthilkumar and Y. Suresh and V. Mohanraj}, title = {Data consistency matrix based data processing model for efficient data storage in wireless sensor networks}, journal = {Comput. Commun.}, volume = {151}, pages = {172--182}, year = {2020}, url = {https://doi.org/10.1016/j.comcom.2019.12.060}, doi = {10.1016/J.COMCOM.2019.12.060}, timestamp = {Fri, 16 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/JSSM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/MerelliLKD20, author = {Ivan Merelli and Pietro Li{\`{o}} and Igor V. Kotenko and Daniele D'Agostino}, title = {Latest advances in parallel, distributed, and network-based processing}, journal = {Concurr. Comput. Pract. Exp.}, volume = {32}, number = {10}, year = {2020}, url = {https://doi.org/10.1002/cpe.5683}, doi = {10.1002/CPE.5683}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/MerelliLKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/0001PB20, author = {Amina Gacem and Apostolos N. Papadopoulos and Kamel Boukhalfa}, title = {Scalable distributed reachability query processing in multi-labeled networks}, journal = {Data Knowl. Eng.}, volume = {130}, pages = {101854}, year = {2020}, url = {https://doi.org/10.1016/j.datak.2020.101854}, doi = {10.1016/J.DATAK.2020.101854}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dke/0001PB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/AngsuchotmeteeC20, author = {Chinnapong Angsuchotmetee and Richard Chbeir and Yudith Cardinale}, title = {MSSN-Onto: An ontology-based approach for flexible event processing in Multimedia Sensor Networks}, journal = {Future Gener. Comput. Syst.}, volume = {108}, pages = {1140--1158}, year = {2020}, url = {https://doi.org/10.1016/j.future.2018.01.044}, doi = {10.1016/J.FUTURE.2018.01.044}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/AngsuchotmeteeC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/BaccourEBMG20, author = {Emna Baccour and Aiman Erbad and Kashif Bilal and Amr Mohamed and Mohsen Guizani}, title = {{PCCP:} Proactive Video Chunks Caching and Processing in edge networks}, journal = {Future Gener. Comput. Syst.}, volume = {105}, pages = {44--60}, year = {2020}, url = {https://doi.org/10.1016/j.future.2019.11.006}, doi = {10.1016/J.FUTURE.2019.11.006}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/BaccourEBMG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/XiaoWM20, author = {Lei Xiao and Shuangyan Wang and Gang Mei}, title = {Efficient parallel algorithm for detecting influential nodes in large biological networks on the Graphics Processing Unit}, journal = {Future Gener. Comput. Syst.}, volume = {106}, pages = {1--13}, year = {2020}, url = {https://doi.org/10.1016/j.future.2019.12.038}, doi = {10.1016/J.FUTURE.2019.12.038}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/XiaoWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/SongQXL20, author = {Yiying Song and Yukun Qu and Shan Xu and Jia Liu}, title = {Implementation-Independent Representation for Deep Convolutional Neural Networks and Humans in Processing Faces}, journal = {Frontiers Comput. Neurosci.}, volume = {14}, pages = {601314}, year = {2020}, url = {https://doi.org/10.3389/fncom.2020.601314}, doi = {10.3389/FNCOM.2020.601314}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/SongQXL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcc/BrasilinoMSPKS20, author = {Lucas R. B. Brasilino and Naveen Marri and Alexander Shroyer and Catherine L. Pilachowski and Ezra Kissel and Martin Swany}, title = {In-network processing for edge computing with InLocus}, journal = {Int. J. Cloud Comput.}, volume = {9}, number = {1}, pages = {55--74}, year = {2020}, url = {https://doi.org/10.1504/IJCC.2020.105879}, doi = {10.1504/IJCC.2020.105879}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcc/BrasilinoMSPKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcnis/GhmaryHCM20, author = {Mohamed El Ghmary and Youssef Hmimz and Tarik Chanyour and Mohammed Ou{\c{c}}amah Cherkaoui Malki}, title = {Energy and Processing Time Efficiency for an Optimal Offloading in a Mobile Edge Computing Node}, journal = {Int. J. Commun. Networks Inf. Secur.}, volume = {12}, number = {3}, year = {2020}, url = {https://www.ijcnis.org/index.php/ijcnis/article/view/4750}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcnis/GhmaryHCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/ZhangZWFZ20, author = {Lincong Zhang and Ce Zhang and Kefeng Wei and Yongxin Feng and Wenbo Zhang}, title = {Large-scale fire rescue in wearable wireless sensor networks: {A} hole processing and trust value-based mobile adaptive routing algorithm}, journal = {Int. J. Commun. Syst.}, volume = {33}, number = {15}, year = {2020}, url = {https://doi.org/10.1002/dac.4543}, doi = {10.1002/DAC.4543}, timestamp = {Tue, 12 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijcomsys/ZhangZWFZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcopi/CampoVCGN20, author = {Felipe Arias Del Campo and Osslan Osiris Vergara and Vianey Guadalupe Cruz and Lorenzo Antonio Garc{\'{\i}}a and Manuel Nandayapa}, title = {Influence of Image Pre-processing to Improve the Accuracy in a Convolutional Neural Network}, journal = {Int. J. Comb. Optim. Probl. Informatics}, volume = {11}, number = {1}, pages = {88--96}, year = {2020}, url = {https://ijcopi.org/index.php/ojs/article/view/162}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcopi/CampoVCGN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/JangL20, author = {Wonyoung Jang and Sun{-}Young Lee}, title = {Partial image encryption using format-preserving encryption in image processing systems for Internet of things environment}, journal = {Int. J. Distributed Sens. Networks}, volume = {16}, number = {3}, year = {2020}, url = {https://doi.org/10.1177/1550147720914779}, doi = {10.1177/1550147720914779}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/JangL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijns/FangPNZ20, author = {Feng Fang and Thomas Potter and Thinh Nguyen and Yingchun Zhang}, title = {Dynamic Reorganization of the Cortical Functional Brain Network in Affective Processing and Cognitive Reappraisal}, journal = {Int. J. Neural Syst.}, volume = {30}, number = {10}, pages = {2050051:1--2050051:15}, year = {2020}, url = {https://doi.org/10.1142/S0129065720500513}, doi = {10.1142/S0129065720500513}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijns/FangPNZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/GimenezPB20, author = {Maite Gim{\'{e}}nez and Javier Palanca and Vicent J. Botti}, title = {Semantic-based padding in convolutional neural networks for improving the performance in natural language processing. {A} case of study in sentiment analysis}, journal = {Neurocomputing}, volume = {378}, pages = {315--323}, year = {2020}, url = {https://doi.org/10.1016/j.neucom.2019.08.096}, doi = {10.1016/J.NEUCOM.2019.08.096}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/GimenezPB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsn/MohantaJS20, author = {Bhabendu Kumar Mohanta and Debasish Jena and Srichandan Sobhanayak}, title = {Multi-party computation review for secure data processing in IoT-fog computing environment}, journal = {Int. J. Secur. Networks}, volume = {15}, number = {3}, pages = {164--174}, year = {2020}, url = {https://doi.org/10.1504/IJSN.2020.109697}, doi = {10.1504/IJSN.2020.109697}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsn/MohantaJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChuYH20, author = {Zheng Chu and Jiong Yu and Askar Hamdulla}, title = {LPG-model: {A} novel model for throughput prediction in stream processing, using a light gradient boosting machine, incremental principal component analysis, and deep gated recurrent unit network}, journal = {Inf. Sci.}, volume = {535}, pages = {107--129}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2020.05.042}, doi = {10.1016/J.INS.2020.05.042}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ChuYH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhaoGMJW20, author = {Jingwen Zhao and Yunjun Gao and Chunyu Ma and Pengfei Jin and Shiting Wen}, title = {On efficiently diversified top-\emph{k} geo-social keyword query processing in road networks}, journal = {Inf. Sci.}, volume = {512}, pages = {813--829}, year = {2020}, url = {https://doi.org/10.1016/j.ins.2019.10.021}, doi = {10.1016/J.INS.2019.10.021}, timestamp = {Tue, 10 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ZhaoGMJW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/Li20a, author = {Yajia Li}, title = {Multimodal visual image processing of mobile robot in unstructured environment based on semi-supervised multimodal deep network}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {11}, number = {12}, pages = {6349--6359}, year = {2020}, url = {https://doi.org/10.1007/s12652-020-02037-4}, doi = {10.1007/S12652-020-02037-4}, timestamp = {Fri, 18 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/Li20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/Huang20, author = {Yuan{-}Ko Huang}, title = {Processing Location-Based Aggregate Queries in Road Networks}, journal = {J. Inf. Sci. Eng.}, volume = {36}, number = {4}, pages = {921--935}, year = {2020}, url = {https://jise.iis.sinica.edu.tw/JISESearch/pages/View/PaperView.jsf?keyId=175\_2340}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/Huang20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/Hamrouni20a, author = {Chafaa Hamrouni}, title = {New Trend Proposal in Optimization Techniques Application for Mobile Network, Analysis and Signal Processing}, journal = {J. Multim. Inf. Syst.}, volume = {7}, number = {3}, pages = {221--230}, year = {2020}, url = {https://doi.org/10.33851/jmis.2020.7.3.221}, doi = {10.33851/JMIS.2020.7.3.221}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/Hamrouni20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/Alderson-DayMBM20, author = {Ben Alderson{-}Day and Jamie Moffatt and Marco Bernini and Kaja Mitrenga and Bo Yao and Charles Fernyhough}, title = {Processing Speech and Thoughts during Silent Reading: Direct Reference Effects for Speech by Fictional Characters in Voice-Selective Auditory Cortex and a Theory-of-Mind Network}, journal = {J. Cogn. Neurosci.}, volume = {32}, number = {9}, pages = {1637--1653}, year = {2020}, url = {https://doi.org/10.1162/jocn\_a\_01571}, doi = {10.1162/JOCN\_A\_01571}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/Alderson-DayMBM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/KholodSG20, author = {Ivan Kholod and Andrey Shorov and Sergei Gorlatch}, title = {Efficient Distribution and Processing of Data for Parallelizing Data Mining in Mobile Clouds}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {11}, number = {1}, pages = {2--17}, year = {2020}, url = {https://doi.org/10.22667/JOWUA.2020.03.31.002}, doi = {10.22667/JOWUA.2020.03.31.002}, timestamp = {Mon, 04 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/KholodSG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/WangZZ20, author = {Haijing Wang and Fangfang Zhang and Wenli Zhang}, title = {Human Detection through {RSSI} Processing with Packet Dropout in Wireless Sensor Network}, journal = {J. Sensors}, volume = {2020}, pages = {4758103:1--4758103:9}, year = {2020}, url = {https://doi.org/10.1155/2020/4758103}, doi = {10.1155/2020/4758103}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/js/WangZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/HouQH20, author = {Mingxing Hou and Hui Qi and Binke Huang}, title = {{\unicode{22522}}{\unicode{20110}}{\unicode{20998}}{\unicode{24067}}{\unicode{24335}}{\unicode{21387}}{\unicode{32553}}{\unicode{24863}}{\unicode{30693}}{\unicode{30340}}{\unicode{26080}}{\unicode{32447}}{\unicode{20256}}{\unicode{24863}}{\unicode{22120}}{\unicode{32593}}{\unicode{32476}}{\unicode{24322}}{\unicode{24120}}{\unicode{25968}}{\unicode{25454}}{\unicode{22788}}{\unicode{29702}} (Data Abnormality Processing in Wireless Sensor Networks Based on Distributed Compressed Sensing)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {47}, number = {1}, pages = {276--280}, year = {2020}, url = {https://doi.org/10.11896/jsjkx.180901667}, doi = {10.11896/JSJKX.180901667}, timestamp = {Mon, 27 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsjkx/HouQH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/TongLYLLWYLYQ20, author = {Yuanren Tong and Keming Lu and Yingyun Yang and Ji Li and Yucong Lin and Dong Wu and Aiming Yang and Yue Li and Sheng Yu and Jiaming Qian}, title = {Can natural language processing help differentiate inflammatory intestinal diseases in China? Models applying random forest and convolutional neural network approaches}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {248}, year = {2020}, url = {https://doi.org/10.1186/s12911-020-01277-w}, doi = {10.1186/S12911-020-01277-W}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/TongLYLLWYLYQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/LiuZC20, author = {Shuai Liu and Huiyu Zhou and Xiaochun Cheng}, title = {Recent Advancement in Hybrid Big Data Processing}, journal = {Mob. Networks Appl.}, volume = {25}, number = {4}, pages = {1514--1517}, year = {2020}, url = {https://doi.org/10.1007/s11036-019-01405-5}, doi = {10.1007/S11036-019-01405-5}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/LiuZC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/YangJLLRW20, author = {Qing Yang and Tigang Jiang and Wenjia Li and Guangchi Liu and Danda B. Rawat and Jun Wu}, title = {Editorial: Multimedia and Social Data Processing in Vehicular Networks}, journal = {Mob. Networks Appl.}, volume = {25}, number = {2}, pages = {620--622}, year = {2020}, url = {https://doi.org/10.1007/s11036-019-01432-2}, doi = {10.1007/S11036-019-01432-2}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/YangJLLRW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/LiuHMSZ20, author = {Xinyang Liu and Andrea Hildebrandt and Kristina Meyer and Werner Sommer and Changsong Zhou}, title = {Patterns of individual differences in fiber tract integrity of the face processing brain network support neurofunctional models}, journal = {NeuroImage}, volume = {204}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2019.116229}, doi = {10.1016/J.NEUROIMAGE.2019.116229}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/LiuHMSZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/MassonBB20, author = {Haemy Lee Masson and Hans P. Op de Beeck and Bart Boets}, title = {Reduced task-dependent modulation of functional network architecture for positive versus negative affective touch processing in autism spectrum disorders}, journal = {NeuroImage}, volume = {219}, pages = {117009}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2020.117009}, doi = {10.1016/J.NEUROIMAGE.2020.117009}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/MassonBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/GilsonDMIH20, author = {Matthieu Gilson and David Dahmen and Rub{\'{e}}n Moreno{-}Bote and Andrea Insabato and Moritz Helias}, title = {The covariance perceptron: {A} new paradigm for classification and processing of time series in recurrent neuronal networks}, journal = {PLoS Comput. Biol.}, volume = {16}, number = {10}, year = {2020}, url = {https://doi.org/10.1371/journal.pcbi.1008127}, doi = {10.1371/JOURNAL.PCBI.1008127}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/GilsonDMIH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PisaMVV20, author = {Ivan Pisa and Antoni Morell and Jos{\'{e}} L{\'{o}}pez Vicario and Ram{\'{o}}n Vilanova}, title = {Denoising Autoencoders and LSTM-Based Artificial Neural Networks Data Processing for Its Application to Internal Model Control in Industrial Environments - The Wastewater Treatment Plant Control Case}, journal = {Sensors}, volume = {20}, number = {13}, pages = {3743}, year = {2020}, url = {https://doi.org/10.3390/s20133743}, doi = {10.3390/S20133743}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PisaMVV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhangGHZH20, author = {Xiangbo Zhang and Ji Guo and Yonghui Hu and Dang{-}Li Zhao and Zaimin He}, title = {Research of Eliminating the Day-Boundary Discontinuities in {GNSS} Carrier Phase Time Transfer through Network Processing}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2622}, year = {2020}, url = {https://doi.org/10.3390/s20092622}, doi = {10.3390/S20092622}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhangGHZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/PengLY20, author = {Xiaochen Peng and Rui Liu and Shimeng Yu}, title = {Optimizing Weight Mapping and Data Flow for Convolutional Neural Networks on Processing-in-Memory Architectures}, journal = {{IEEE} Trans. Circuits Syst. {I} Fundam. Theory Appl.}, volume = {67-I}, number = {4}, pages = {1333--1343}, year = {2020}, url = {https://doi.org/10.1109/TCSI.2019.2958568}, doi = {10.1109/TCSI.2019.2958568}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/PengLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LimBY20, author = {Jongtae Lim and Kyoungsoo Bok and Jaesoo Yoo}, title = {An efficient continuous range query processing scheme in mobile {P2P} networks}, journal = {J. Supercomput.}, volume = {76}, number = {10}, pages = {7805--7819}, year = {2020}, url = {https://doi.org/10.1007/s11227-017-1986-x}, doi = {10.1007/S11227-017-1986-X}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LimBY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/FurutaIY20, author = {Ryosuke Furuta and Naoto Inoue and Toshihiko Yamasaki}, title = {PixelRL: Fully Convolutional Network With Reinforcement Learning for Image Processing}, journal = {{IEEE} Trans. Multim.}, volume = {22}, number = {7}, pages = {1704--1719}, year = {2020}, url = {https://doi.org/10.1109/TMM.2019.2960636}, doi = {10.1109/TMM.2019.2960636}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmm/FurutaIY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmm/LinHHLSZXW20, author = {Weiyao Lin and Xiaoyi He and Xintong Han and Dong Liu and John See and Junni Zou and Hongkai Xiong and Feng Wu}, title = {Partition-Aware Adaptive Switching Neural Networks for Post-Processing in {HEVC}}, journal = {{IEEE} Trans. Multim.}, volume = {22}, number = {11}, pages = {2749--2763}, year = {2020}, url = {https://doi.org/10.1109/TMM.2019.2962310}, doi = {10.1109/TMM.2019.2962310}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmm/LinHHLSZXW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/BallottaSC20, author = {Luca Ballotta and Luca Schenato and Luca Carlone}, title = {Computation-Communication Trade-Offs and Sensor Selection in Real-Time Estimation for Processing Networks}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {7}, number = {4}, pages = {2952--2965}, year = {2020}, url = {https://doi.org/10.1109/TNSE.2020.3008337}, doi = {10.1109/TNSE.2020.3008337}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnse/BallottaSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/LuCUPP20, author = {Zongqing Lu and Kevin Chan and Rahul Urgaonkar and Shiliang Pu and Thomas La Porta}, title = {NetVision: On-Demand Video Processing in Wireless Networks}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {28}, number = {1}, pages = {196--209}, year = {2020}, url = {https://doi.org/10.1109/TNET.2019.2954909}, doi = {10.1109/TNET.2019.2954909}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/LuCUPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tosn/VermaPBSC20, author = {Rahul Kumar Verma and K. K. Pattanaik and Sourabh Bharti and Divya Saxena and Jiannong Cao}, title = {A Query Processing Framework for Efficient Network Resource Utilization in Shared Sensor Networks}, journal = {{ACM} Trans. Sens. Networks}, volume = {16}, number = {4}, pages = {31:1--31:28}, year = {2020}, url = {https://doi.org/10.1145/3397809}, doi = {10.1145/3397809}, timestamp = {Tue, 22 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tosn/VermaPBSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/LorenzoBS20, author = {Paolo Di Lorenzo and Sergio Barbarossa and Stefania Sardellitti}, title = {Distributed Signal Processing and Optimization Based on In-Network Subspace Projections}, journal = {{IEEE} Trans. Signal Process.}, volume = {68}, pages = {2061--2076}, year = {2020}, url = {https://doi.org/10.1109/TSP.2020.2981204}, doi = {10.1109/TSP.2020.2981204}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/LorenzoBS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/ZhaoZD20, author = {Xuan Zhao and Song Zhang and Wanchun Dou}, title = {Multi-Request Scheduling and Collaborative Service Processing for DASH-Video Optimization in Cloud-Edge Network}, booktitle = {13th {IEEE} International Conference on Cloud Computing, {CLOUD} 2020, Virtual Event, 18-24 October 2020}, pages = {582--589}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CLOUD49709.2020.00087}, doi = {10.1109/CLOUD49709.2020.00087}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/ZhaoZD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aca/WangGSNWZH20, author = {Zhao Wang and Yijin Guan and Guangyu Sun and Dimin Niu and Yuhao Wang and Hongzhong Zheng and Yinhe Han}, editor = {Dezun Dong and Xiaoli Gong and Cunlu Li and Dongsheng Li and Junjie Wu}, title = {{GNN-PIM:} {A} Processing-in-Memory Architecture for Graph Neural Networks}, booktitle = {Advanced Computer Architecture - 13th Conference, {ACA} 2020, Kunming, China, August 13-15, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1256}, pages = {73--86}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-8135-9\_6}, doi = {10.1007/978-981-15-8135-9\_6}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aca/WangGSNWZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acit4/GolecGHHR20, author = {Pawel Golec and Wieslawa Gryncewicz and Krzysztof Hauke and Marcin Hernes and Artur Rot}, title = {Gesture Detection in Digital Image Processing based on the Use of Convolutional Neuronal Networks}, booktitle = {10th International Conference on Advanced Computer Information Technologies, {ACIT} 2020, Deggendorf, Germany, September 16-18, 2020}, pages = {430--435}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ACIT49673.2020.9208860}, doi = {10.1109/ACIT49673.2020.9208860}, timestamp = {Tue, 16 Nov 2021 20:50:43 +0100}, biburl = {https://dblp.org/rec/conf/acit4/GolecGHHR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YangAF20, author = {Li Yang and Shaahin Angizi and Deliang Fan}, title = {A Flexible Processing-in-Memory Accelerator for Dynamic Channel-Adaptive Deep Neural Networks}, booktitle = {25th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2020, Beijing, China, January 13-16, 2020}, pages = {313--318}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ASP-DAC47756.2020.9045166}, doi = {10.1109/ASP-DAC47756.2020.9045166}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YangAF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/ShimLH20, author = {Miseon Shim and Seung{-}Hwan Lee and Han{-}Jeong Hwang}, title = {Altered cortical activation and functional network in post-traumatic stress disorder {(PTSD)} during an auditory cognitive processing}, booktitle = {8th International Winter Conference on Brain-Computer Interface, {BCI} 2020, Gangwon, Korea (South), February 26-28, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BCI48061.2020.9061661}, doi = {10.1109/BCI48061.2020.9061661}, timestamp = {Wed, 23 Mar 2022 08:02:42 +0100}, biburl = {https://dblp.org/rec/conf/bci3/ShimLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/brainles-ws/RussoLI20, author = {Carlo Russo and Sidong Liu and Antonio Di Ieva}, editor = {Alessandro Crimi and Spyridon Bakas}, title = {Impact of Spherical Coordinates Transformation Pre-processing in Deep Convolution Neural Networks for Brain Tumor Segmentation and Survival Prediction}, booktitle = {Brainlesion: Glioma, Multiple Sclerosis, Stroke and Traumatic Brain Injuries - 6th International Workshop, BrainLes 2020, Held in Conjunction with {MICCAI} 2020, Lima, Peru, October 4, 2020, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12658}, pages = {295--306}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-72084-1\_27}, doi = {10.1007/978-3-030-72084-1\_27}, timestamp = {Mon, 06 Nov 2023 15:27:23 +0100}, biburl = {https://dblp.org/rec/conf/brainles-ws/RussoLI20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/camad/FaisPGO20, author = {Alessandra Fais and Gregorio Procissi and Stefano Giordano and Francesco Oppedisano}, title = {Data Stream Processing in Software Defined Networks: Perspectives and Challenges}, booktitle = {25th {IEEE} International Workshop on Computer Aided Modeling and Design of Communication Links and Networks, {CAMAD} 2020, Pisa, Italy, September 14-16, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/CAMAD50429.2020.9209303}, doi = {10.1109/CAMAD50429.2020.9209303}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/camad/FaisPGO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmis/KovalenkoVK20, author = {Oleksii Kovalenko and Vitalii Vishnevsky and Vladimir Kosolapov}, editor = {Sergey Subbotin}, title = {Models of information processing optimization for technical interoperability in a network of distributed situational centers}, booktitle = {Proceedings of The Third International Workshop on Computer Modeling and Intelligent Systems (CMIS-2020), Zaporizhzhia, Ukraine, April 27-May 1, 2020}, series = {{CEUR} Workshop Proceedings}, volume = {2608}, pages = {426--435}, publisher = {CEUR-WS.org}, year = {2020}, url = {https://ceur-ws.org/Vol-2608/paper32.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:18 +0100}, biburl = {https://dblp.org/rec/conf/cmis/KovalenkoVK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvip/Kalyanasundaram20, author = {Girish Kalyanasundaram and Puneet Pandey and Manjit Hota}, editor = {Satish Kumar Singh and Partha Pratim Roy and Balasubramanian Raman and P. Nagabhushan}, title = {A Pre-processing Assisted Neural Network for Dynamic Bad Pixel Detection in Bayer Images}, booktitle = {Computer Vision and Image Processing - 5th International Conference, {CVIP} 2020, Prayagraj, India, December 4-6, 2020, Revised Selected Papers, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1377}, pages = {513--523}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-16-1092-9\_43}, doi = {10.1007/978-981-16-1092-9\_43}, timestamp = {Wed, 28 Apr 2021 17:12:01 +0200}, biburl = {https://dblp.org/rec/conf/cvip/Kalyanasundaram20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ZhengWFYCHCYL20, author = {Qilin Zheng and Zongwei Wang and Zishun Feng and Bonan Yan and Yimao Cai and Ru Huang and Yiran Chen and Chia{-}Lin Yang and Hai Helen Li}, title = {Lattice: An ADC/DAC-less ReRAM-based Processing-In-Memory Architecture for Accelerating Deep Convolution Neural Networks}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218590}, doi = {10.1109/DAC18072.2020.9218590}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ZhengWFYCHCYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/ZhangLCHZ20, author = {Mengxuan Zhang and Lei Li and Pingfu Chao and Wen Hua and Xiaofang Zhou}, editor = {Yunmook Nah and Bin Cui and Sang{-}Won Lee and Jeffrey Xu Yu and Yang{-}Sae Moon and Steven Euijong Whang}, title = {Path Query Processing Using Typical Snapshots in Dynamic Road Networks}, booktitle = {Database Systems for Advanced Applications - 25th International Conference, {DASFAA} 2020, Jeju, South Korea, September 24-27, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12114}, pages = {255--271}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59419-0\_16}, doi = {10.1007/978-3-030-59419-0\_16}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasfaa/ZhangLCHZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChallapalleRCKS20, author = {Nagadastagiri Challapalle and Sahithi Rampalli and Makesh Chandran and Gurpreet S. Kalsi and Sreenivas Subramoney and John Sampson and Vijaykrishnan Narayanan}, title = {{PSB-RNN:} {A} Processing-in-Memory Systolic Array Architecture using Block Circulant Matrices for Recurrent Neural Networks}, booktitle = {2020 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2020, Grenoble, France, March 9-13, 2020}, pages = {180--185}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/DATE48585.2020.9116469}, doi = {10.23919/DATE48585.2020.9116469}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/ChallapalleRCKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dccn/DudinDDK20, author = {Sergey A. Dudin and Olga S. Dudina and Alexander N. Dudin and Che Soong Kim}, editor = {Vladimir M. Vishnevskiy and Konstantin E. Samouylov and Dmitry V. Kozyrev}, title = {Optimization of Signals Processing in Nodes of Sensor Network with Energy Harvesting and Expenditure for Admission and Transmission}, booktitle = {Distributed Computer and Communication Networks - 23rd International Conference, {DCCN} 2020, Moscow, Russia, September 14-18, 2020, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12563}, pages = {406--421}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-66471-8\_31}, doi = {10.1007/978-3-030-66471-8\_31}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dccn/DudinDDK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsmp/LyubchykK20, author = {Leonid Lyubchyk and Olga Kostiuk}, title = {Online Reduced-Order Kernel Regression for Data Processing in Sensor Network}, booktitle = {{IEEE} Third International Conference on Data Stream Mining, Processing, {DSMP} 2020, Lviv, Ukraine, August 21-25, 2020}, pages = {121--124}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DSMP47368.2020.9204245}, doi = {10.1109/DSMP47368.2020.9204245}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dsmp/LyubchykK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/IvanovWZ20, author = {Plamen Ch. Ivanov and Jilin W. J. L. Wang and Xiyun Zhang}, title = {Signal processing in Network Physiology: quantifying network dynamics of organ interactions}, booktitle = {28th European Signal Processing Conference, {EUSIPCO} 2020, Amsterdam, Netherlands, January 18-21, 2021}, pages = {945--949}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/Eusipco47968.2020.9287428}, doi = {10.23919/EUSIPCO47968.2020.9287428}, timestamp = {Fri, 08 Jan 2021 16:18:38 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/IvanovWZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geoinfo/SantosCC20, author = {Jo{\~{a}}o P. C. dos Santos and Jo{\~{a}}o Pedro de Carvalho Castro and Cristina Dutra de Aguiar Ciferri}, editor = {Tiago Garcia de Senna Carneiro and Carlos Alberto Felgueiras}, title = {{SOLAP} Query Processing over IoT Networks in Smart Cities: {A} Novel Architecture}, booktitle = {{XXI} Brazilian Symposium on Geoinformatics - {GEOINFO} 2020, S{\~{a}}o Jos{\'{e}} dos Campos, SP, Brazil, November 30 - December 03, 2020}, pages = {118--129}, publisher = {{MCTIC/INPE}}, year = {2020}, url = {http://urlib.net/rep/8JMKD3MGPDW34P/43PLD2P}, timestamp = {Wed, 04 Jan 2023 10:13:29 +0100}, biburl = {https://dblp.org/rec/conf/geoinfo/SantosCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/DongMWZCE20, author = {Hao Dong and Wei Mi and Yulei Wu and Lei Zhang and Jiadi Chen and Yuepeng E}, title = {An Enhanced Data Plane for Network Event Processing in Software Defined Networking}, booktitle = {22nd {IEEE} International Conference on High Performance Computing and Communications; 18th {IEEE} International Conference on Smart City; 6th {IEEE} International Conference on Data Science and Systems, HPCC/SmartCity/DSS 2020, Yanuca Island, Cuvu, Fiji, December 14-16, 2020}, pages = {401--408}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCC-SmartCity-DSS50907.2020.00049}, doi = {10.1109/HPCC-SMARTCITY-DSS50907.2020.00049}, timestamp = {Thu, 13 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/DongMWZCE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/MotoyamaOMYA20, author = {Jun Motoyama and Rina Ooka and Takumi Miyoshi and Taku Yamazaki and Takuya Asaka}, title = {Distributed Processing Allocation of Machine Learning in Wireless Sensor Networks}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258076}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258076}, timestamp = {Wed, 24 Nov 2021 09:22:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/MotoyamaOMYA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/0003ZH020, author = {Lei Li and Mengxuan Zhang and Wen Hua and Xiaofang Zhou}, title = {Fast Query Decomposition for Batch Shortest Path Processing in Road Networks}, booktitle = {36th {IEEE} International Conference on Data Engineering, {ICDE} 2020, Dallas, TX, USA, April 20-24, 2020}, pages = {1189--1200}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICDE48307.2020.00107}, doi = {10.1109/ICDE48307.2020.00107}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/0003ZH020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icigp/RosarioNTSDG20, author = {Ezekiel G. Del Rosario and Carlo P. Nadora and Renee Lou G. Trinidad and Mary Jane C. Samonte and Madhavi Devaraj and Joel C. de Goma}, title = {Processing {RGB-D} Data from a 3D Camera using Object Detection and Written Character Recognition in Convolutional Neural Networks for Virtual Finger Writing}, booktitle = {{ICIGP} 2020: 3rd International Conference on Image and Graphics Processing, Singapore, February, 2020}, pages = {36--40}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3383812.3383826}, doi = {10.1145/3383812.3383826}, timestamp = {Thu, 27 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icigp/RosarioNTSDG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icissp/Jost020, author = {David Jost and Mathias Fischer}, editor = {Steven Furnell and Paolo Mori and Edgar R. Weippl and Olivier Camp}, title = {Accountant: Protection of Data Integrity and Identification of Malicious Nodes in In-network Data Processing}, booktitle = {Proceedings of the 6th International Conference on Information Systems Security and Privacy, {ICISSP} 2020, Valletta, Malta, February 25-27, 2020}, pages = {561--568}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0008974405610568}, doi = {10.5220/0008974405610568}, timestamp = {Tue, 14 Apr 2020 10:48:30 +0200}, biburl = {https://dblp.org/rec/conf/icissp/Jost020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/Maheswaranathan20, author = {Niru Maheswaranathan and David Sussillo}, title = {How recurrent networks implement contextual processing in sentiment analysis}, booktitle = {Proceedings of the 37th International Conference on Machine Learning, {ICML} 2020, 13-18 July 2020, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {119}, pages = {6608--6619}, publisher = {{PMLR}}, year = {2020}, url = {http://proceedings.mlr.press/v119/maheswaranathan20a.html}, timestamp = {Tue, 15 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icml/Maheswaranathan20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas2/AbiganCOAE20, author = {Erinn Giannice T. Abigan and Luis Gabriel Cajucom and Josh Daniel L. Ong and Patricia Angela R. Abu and Maria Regina Justina E. Estuar}, title = {Detection of Microconidia in Microscopy Images of Fusarium oxysporum f. sp. cubense Using Image Processing Techniques and Neural Networks}, booktitle = {4th {IEEE} International Conference on Image Processing, Applications and Systems, {IPAS} 2020, Virtual Event, Italy, December 9-11, 2020}, pages = {21--26}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IPAS50080.2020.9334939}, doi = {10.1109/IPAS50080.2020.9334939}, timestamp = {Mon, 01 Mar 2021 12:26:56 +0100}, biburl = {https://dblp.org/rec/conf/ipas2/AbiganCOAE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas2/AbiganCOAE20a, author = {Erinn Giannice T. Abigan and Luis Gabriel A. Cajucom and Josh Daniel L. Ong and Patricia Angela R. Abu and Maria Regina Justina E. Estuar}, title = {Detection of Microconidia in Microscopy Images of Fusarium oxysporum f. sp. cubense Using Image Processing Techniques and Neural Networks}, booktitle = {4th {IEEE} International Conference on Image Processing, Applications and Systems, {IPAS} 2020, Virtual Event, Italy, December 9-11, 2020}, pages = {40--45}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IPAS50080.2020.9334941}, doi = {10.1109/IPAS50080.2020.9334941}, timestamp = {Mon, 01 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipas2/AbiganCOAE20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipccc/BayatiRLM20, author = {Mahsa Bayati and Harsh Roogi and Ron Lee and Ningfang Mi}, title = {Deploying Network Key-Value SSDs to Disaggregate Resources in Big Data Processing Frameworks}, booktitle = {39th {IEEE} International Performance Computing and Communications Conference, {IPCCC} 2020, Austin, TX, USA, November 6-8, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IPCCC50635.2020.9391532}, doi = {10.1109/IPCCC50635.2020.9391532}, timestamp = {Wed, 14 Apr 2021 10:06:40 +0200}, biburl = {https://dblp.org/rec/conf/ipccc/BayatiRLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/YooKKYC20, author = {Taegeun Yoo and Tony Tae{-}Hyoung Kim and Bongjin Kim and Chengshuo Yu and Kevin Chai Tshun Chuan}, title = {Design of Current-Mode 8T {SRAM} Compute-In-Memory Macro for Processing Neural Networks}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {175--176}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9332992}, doi = {10.1109/ISOCC50952.2020.9332992}, timestamp = {Fri, 12 Feb 2021 11:57:12 +0100}, biburl = {https://dblp.org/rec/conf/isocc/YooKKYC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/MoeedHDGGDMNG20, author = {Abdul Moeed and Gerhard Hagerer and Sumit Dugar and Sarthak Gupta and Mainak Ghosh and Hannah Danner and Oliver Mitevski and Andreas Nawroth and Georg Groh}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {An Evaluation of Progressive Neural Networksfor Transfer Learning in Natural Language Processing}, booktitle = {Proceedings of The 12th Language Resources and Evaluation Conference, {LREC} 2020, Marseille, France, May 11-16, 2020}, pages = {1376--1381}, publisher = {European Language Resources Association}, year = {2020}, url = {https://aclanthology.org/2020.lrec-1.172/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/MoeedHDGGDMNG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/NageshPKBR20, author = {Swetadri Vasan Setlur Nagesh and Alexander R. Podgorsak and Jordan M. Krebs and Daniel R. Bednarek and Stephen Rudin}, editor = {Andrzej Kr{\'{o}}l and Barjor S. Gimi}, title = {Image processing using Convolutional Neural Network {(CNN)} for Region of Interest {(ROI)} fluoroscopy}, booktitle = {Medical Imaging 2020: Biomedical Applications in Molecular, Structural, and Functional Imaging, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11317}, pages = {1131718}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549242}, doi = {10.1117/12.2549242}, timestamp = {Thu, 23 Jul 2020 19:19:00 +0200}, biburl = {https://dblp.org/rec/conf/mibam/NageshPKBR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/RamanathanKSCPO20, author = {Akshay Krishna Ramanathan and Gurpreet S. Kalsi and Srivatsa Srinivasa and Tarun Makesh Chandran and Kamlesh R. Pillai and Om Ji Omer and Vijaykrishnan Narayanan and Sreenivas Subramoney}, title = {Look-Up Table based Energy Efficient Processing in Cache Support for Neural Network Acceleration}, booktitle = {53rd Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2020, Athens, Greece, October 17-21, 2020}, pages = {88--101}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MICRO50266.2020.00020}, doi = {10.1109/MICRO50266.2020.00020}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/RamanathanKSCPO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ActorFR20, author = {Jonas A. Actor and David T. Fuentes and Beatrice Riviere}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Identification of kernels in a convolutional neural network: connections between the level set equation and deep learning for image segmentation}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131317}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2548871}, doi = {10.1117/12.2548871}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/ActorFR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/BaoCZSC20, author = {Dengsen Bao and Xuena Cheng and Weifang Zhu and Fei Shi and Xinjian Chen}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Attention multi-scale network for pigment epithelial detachment segmentation in {OCT} images}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131335}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2548959}, doi = {10.1117/12.2548959}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/BaoCZSC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/BoersPGSFCSSBW20, author = {T. G. W. Boers and Joost van der Putten and Jeroen de Groof and Maarten R. Struyvenberg and Kiki Fockens and Wouter L. Curvers and Erik J. Schoon and Fons van der Sommen and Jacques J. Bergman and Peter H. N. de With}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Detection of frame informativeness in endoscopic videos using image quality and recurrent neural networks}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131315}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2545734}, doi = {10.1117/12.2545734}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/BoersPGSFCSSBW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ChenOLLCGMGCA20, author = {Antong Chen and Charlene Zhi Lin Ong and Weiwei Luo and Chen Fei Lee and Ser Mien Chia and Joana Galvao and Daniel Metzger and Eric Gifford and Chih{-}Liang Chin and Asad Abu Bakar Ali}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Comparison of training strategies for the segmentation of retina layers in optical coherence tomography images of rodent eyes using convolutional neural networks}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131339}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549442}, doi = {10.1117/12.2549442}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/ChenOLLCGMGCA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ChengCFZXCXFS20, author = {Xuena Cheng and Xinjian Chen and Shuanglang Feng and Weifang Zhu and Dehui Xiang and Qiuying Chen and Xun Xu and Ying Fan and Fei Shi}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Group-wise attention fusion network for choroid segmentation in {OCT} images}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131332}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2548277}, doi = {10.1117/12.2548277}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ChengCFZXCXFS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LiuD20, author = {Junchi Liu and Jie Deng}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Artifact reduction in brain magnetic resonance imaging {(MRI)} by means of a dense residual network with K-space blending {(DRN-KB)}}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {113130N}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549898}, doi = {10.1117/12.2549898}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/LiuD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/MaiW20, author = {Qi Mai and Justin W. L. Wan}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Metal artifacts reduction in computed tomography by Fourier coefficient correction using convolutional neural network}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {113132I}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2549380}, doi = {10.1117/12.2549380}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/MaiW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SuCMZS20, author = {Jinzhu Su and Xinjian Chen and Yuhui Ma and Weifang Zhu and Fei Shi}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Segmentation of choroid neovascularization in {OCT} images based on convolutional neural network with differential amplification blocks}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131320}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2548273}, doi = {10.1117/12.2548273}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/SuCMZS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SuLFQ20, author = {Bin Su and Yanyan Liu and Jianwei Fu and Guotao Quan}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Reduction of motion artifacts in head {CT} exams using multi-scale convolutional neural network}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131324}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2548899}, doi = {10.1117/12.2548899}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/SuLFQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ZhuZFC20, author = {Liangjiu Zhu and Weifang Zhu and Shuanglang Feng and Xinjian Chen}, editor = {Ivana Isgum and Bennett A. Landman}, title = {Fully automated segmentation of hyper-reflective foci in {OCT} images using a U-shape network}, booktitle = {Medical Imaging 2020: Image Processing, Houston, TX, USA, February 15-20, 2020}, series = {{SPIE} Proceedings}, volume = {11313}, pages = {1131308}, publisher = {{SPIE}}, year = {2020}, url = {https://doi.org/10.1117/12.2548085}, doi = {10.1117/12.2548085}, timestamp = {Thu, 17 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ZhuZFC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nice/BouhadjarDWT20, author = {Younes Bouhadjar and Markus Diesmann and Dirk J. Wouters and Tom Tetzlaff}, editor = {Murat Okandan and James B. Aimone}, title = {The speed of sequence processing in biological neuronal networks}, booktitle = {{NICE} '20: Neuro-inspired Computational Elements Workshop, Heidelberg, Germany, March 17-20, 2020}, pages = {13:1--13:2}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3381755.3381769}, doi = {10.1145/3381755.3381769}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nice/BouhadjarDWT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/WangLZWZKZXY20, author = {Can Wang and Xinying Li and Mingming Zhao and Kaihui Wang and Jiao Zhang and Miao Kong and Wen Zhou and Jiangnan Xiao and Jianjun Yu}, title = {Delivery of 138.88Gpbs Signal in a RoF Network with Real-Time Processing Based on Heterodyne Detection}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083144}, timestamp = {Tue, 04 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/WangLZWZKZXY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZhangCLJ30, author = {Jiawei Zhang and Lu Cui and Zhen Liu and Yuefeng Ji}, title = {Demonstration of Geo-Distributed Data Processing and Aggregation in MEC-Empowered Metro Optical Networks}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083410}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ofc/ZhangCLJ30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/PunniyamurthyG20, author = {Kishore Punniyamurthy and Andreas Gerstlauer}, title = {Off-Chip Congestion Management for GPU-based Non-Uniform Processing-in-Memory Networks}, booktitle = {28th Euromicro International Conference on Parallel, Distributed and Network-Based Processing, {PDP} 2020, V{\"{a}}ster{\aa}s, Sweden, March 11-13, 2020}, pages = {282--289}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/PDP50117.2020.00050}, doi = {10.1109/PDP50117.2020.00050}, timestamp = {Tue, 19 May 2020 14:16:27 +0200}, biburl = {https://dblp.org/rec/conf/pdp/PunniyamurthyG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/YangHAF20, author = {Li Yang and Zhezhi He and Shaahin Angizi and Deliang Fan}, title = {Processing-in-Memory Accelerator for Dynamic Neural Network with Run-Time Tuning of Accuracy, Power and Latency}, booktitle = {33rd {IEEE} International System-on-Chip Conference, SoCC 2020, Las Vegas, NV, USA, September 8-11, 2020}, pages = {117--122}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SOCC49529.2020.9524770}, doi = {10.1109/SOCC49529.2020.9524770}, timestamp = {Thu, 14 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/socc/YangHAF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spawc/ReyhanianFML20, author = {Navid Reyhanian and Hamid Farmanbar and Soheil Mohajer and Zhi{-}Quan Luo}, title = {Resource Provisioning for Virtual Network Function Deployment with In-Subnetwork Processing}, booktitle = {21st {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2020, Atlanta, GA, USA, May 26-29, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SPAWC48557.2020.9154287}, doi = {10.1109/SPAWC48557.2020.9154287}, timestamp = {Tue, 11 Aug 2020 17:06:07 +0200}, biburl = {https://dblp.org/rec/conf/spawc/ReyhanianFML20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/CafferataGNR20, author = {Alessia Cafferata and Pier Giuseppe Giribone and Marco Neffelli and Marina Resta}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {Yield Curve Estimation Under Extreme Conditions: Do {RBF} Networks Perform Better?}, booktitle = {Neural Advances in Processing Nonlinear Dynamic Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {102}, pages = {241--251}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-319-95098-3\_22}, doi = {10.1007/978-3-319-95098-3\_22}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sist/CafferataGNR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/CannasCFLMMPSW20, author = {Barbara Cannas and Sara Carcangiu and Alessandra Fanni and Ivan Lupelli and Fulvio Militello and Augusto Montisci and Fabio Pisano and Giuliana Sias and Nick Walkden}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {Convolutional Neural Networks for the Identification of Filaments from Fast Visual Imaging Cameras in Tokamak Reactors}, booktitle = {Neural Advances in Processing Nonlinear Dynamic Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {102}, pages = {167--177}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-319-95098-3\_15}, doi = {10.1007/978-3-319-95098-3\_15}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/sist/CannasCFLMMPSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/CauteruccioGTU20, author = {Francesco Cauteruccio and Paolo Lo Giudice and Giorgio Terracina and Domenico Ursino}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {Applying Network Analysis for Extracting Knowledge About Environment Changes from Heterogeneous Sensor Data Streams}, booktitle = {Neural Advances in Processing Nonlinear Dynamic Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {102}, pages = {179--190}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-319-95098-3\_16}, doi = {10.1007/978-3-319-95098-3\_16}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/sist/CauteruccioGTU20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/PetukhovK20, author = {Alexander Petukhov and Inna Kozlov}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {Error Resilient Neural Networks on Low-Dimensional Manifolds}, booktitle = {Neural Advances in Processing Nonlinear Dynamic Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {102}, pages = {49--59}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-319-95098-3\_5}, doi = {10.1007/978-3-319-95098-3\_5}, timestamp = {Tue, 22 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/sist/PetukhovK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/RevelleDJ20, author = {Matt Revelle and Carlotta Domeniconi and Aditya Johri}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {Temporal Artifacts from Edge Accumulation in Social Interaction Networks}, booktitle = {Neural Advances in Processing Nonlinear Dynamic Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {102}, pages = {11--21}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-319-95098-3\_2}, doi = {10.1007/978-3-319-95098-3\_2}, timestamp = {Tue, 22 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/sist/RevelleDJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2020, title = {19th {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2020, Sydney, Australia, April 21-24, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/xpl/conhome/9108342/proceeding}, isbn = {978-1-7281-5497-8}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mind/2020-1, editor = {Arup Bhattacharjee and Samir Kumar Borgohain and Badal Soni and Gyanendra K. Verma and Xiao{-}Zhi Gao}, title = {Machine Learning, Image Processing, Network Security and Data Sciences - Second International Conference, {MIND} 2020, Silchar, India, July 30-31, 2020, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1240}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-6315-7}, doi = {10.1007/978-981-15-6315-7}, isbn = {978-981-15-6314-0}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mind/2020-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mind/2020-2, editor = {Arup Bhattacharjee and Samir Kumar Borgohain and Badal Soni and Gyanendra K. Verma and Xiao{-}Zhi Gao}, title = {Machine Learning, Image Processing, Network Security and Data Sciences - Second International Conference, {MIND} 2020, Silchar, India, July 30-31, 2020, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1241}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-6318-8}, doi = {10.1007/978-981-15-6318-8}, isbn = {978-981-15-6317-1}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mind/2020-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-04014, author = {Minsung Kim and Davide Venturelli and Kyle Jamieson}, title = {Leveraging Quantum Annealing for Large {MIMO} Processing in Centralized Radio Access Networks}, journal = {CoRR}, volume = {abs/2001.04014}, year = {2020}, url = {https://arxiv.org/abs/2001.04014}, eprinttype = {arXiv}, eprint = {2001.04014}, timestamp = {Fri, 17 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-04014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-05868, author = {Amir Javadpour and Guojun Wang and Samira Rezaei and Kuan{-}Ching Li}, title = {Detecting Straggler MapReduce Tasks in Big Data Processing Infrastructure by Neural Network}, journal = {CoRR}, volume = {abs/2004.05868}, year = {2020}, url = {https://arxiv.org/abs/2004.05868}, eprinttype = {arXiv}, eprint = {2004.05868}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-05868.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-08013, author = {Niru Maheswaranathan and David Sussillo}, title = {How recurrent networks implement contextual processing in sentiment analysis}, journal = {CoRR}, volume = {abs/2004.08013}, year = {2020}, url = {https://arxiv.org/abs/2004.08013}, eprinttype = {arXiv}, eprint = {2004.08013}, timestamp = {Tue, 21 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-08013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-13971, author = {Christian Oliva and Luis Fernando Lago{-}Fern{\'{a}}ndez}, title = {Separation of Memory and Processing in Dual Recurrent Neural Networks}, journal = {CoRR}, volume = {abs/2005.13971}, year = {2020}, url = {https://arxiv.org/abs/2005.13971}, eprinttype = {arXiv}, eprint = {2005.13971}, timestamp = {Wed, 26 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-13971.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-11098, author = {Yair Lakretz and Dieuwke Hupkes and Alessandra Vergallito and Marco Marelli and Marco Baroni and Stanislas Dehaene}, title = {Exploring Processing of Nested Dependencies in Neural-Network Language Models and Humans}, journal = {CoRR}, volume = {abs/2006.11098}, year = {2020}, url = {https://arxiv.org/abs/2006.11098}, eprinttype = {arXiv}, eprint = {2006.11098}, timestamp = {Tue, 23 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-11098.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-07090, author = {Carlo Russo and Sidong Liu and Antonio Di Ieva}, title = {Spherical coordinates transformation pre-processing in Deep Convolution Neural Networks for brain tumor segmentation in {MRI}}, journal = {CoRR}, volume = {abs/2008.07090}, year = {2020}, url = {https://arxiv.org/abs/2008.07090}, eprinttype = {arXiv}, eprint = {2008.07090}, timestamp = {Fri, 21 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-07090.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10564, author = {Sebastian Sanokowski}, title = {Implicit recurrent networks: {A} novel approach to stationary input processing with recurrent neural networks in deep learning}, journal = {CoRR}, volume = {abs/2010.10564}, year = {2020}, url = {https://arxiv.org/abs/2010.10564}, eprinttype = {arXiv}, eprint = {2010.10564}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10564.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-13967, author = {Carlo Russo and Sidong Liu and Antonio Di Ieva}, title = {Impact of Spherical Coordinates Transformation Pre-processing in Deep Convolution Neural Networks for Brain Tumor Segmentation and Survival Prediction}, journal = {CoRR}, volume = {abs/2010.13967}, year = {2020}, url = {https://arxiv.org/abs/2010.13967}, eprinttype = {arXiv}, eprint = {2010.13967}, timestamp = {Tue, 03 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-13967.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-05070, author = {Manisha Luthra and Johannes Pfannm{\"{u}}ller and Boris Koldehofe and Jonas H{\"{o}}chst and Artur Sterz and Rhaban Hark and Bernd Freisleben}, title = {Efficient Complex Event Processing in Information-centric Networking at the Edge}, journal = {CoRR}, volume = {abs/2012.05070}, year = {2020}, url = {https://arxiv.org/abs/2012.05070}, eprinttype = {arXiv}, eprint = {2012.05070}, timestamp = {Sat, 02 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-05070.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-05239, author = {Manisha Luthra and Boris Koldehofe and Jonas H{\"{o}}chst and Patrick Lampe and Ali Haider Rizvi and Ralf Kundel and Bernd Freisleben}, title = {INetCEP: In-Network Complex Event Processing for Information-Centric Networking}, journal = {CoRR}, volume = {abs/2012.05239}, year = {2020}, url = {https://arxiv.org/abs/2012.05239}, eprinttype = {arXiv}, eprint = {2012.05239}, timestamp = {Sat, 02 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-05239.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/WonHJBB20, author = {Yoo{-}Seung Won and Xiaolu Hou and Dirmanto Jap and Jakub Breier and Shivam Bhasin}, title = {Back To The Basics: Seamless Integration of Side-Channel Pre-processing in Deep Neural Networks}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1134}, year = {2020}, url = {https://eprint.iacr.org/2020/1134}, timestamp = {Wed, 30 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/WonHJBB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/ShobanaSK20, title = {An enhanced soft computing-based formulation for secure data aggregation and efficient data processing in large-scale wireless sensor network}, journal = {Soft Comput.}, volume = {24}, number = {16}, pages = {12541--12552}, year = {2020}, note = {Withdrawn.}, url = {https://doi.org/10.1007/s00500-020-04694-1}, doi = {10.1007/S00500-020-04694-1}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/ShobanaSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/Javadpour0RL20, title = {Detecting straggler MapReduce tasks in big data processing infrastructure by neural network}, journal = {J. Supercomput.}, volume = {76}, number = {9}, pages = {6969--6993}, year = {2020}, note = {Withdrawn.}, url = {https://doi.org/10.1007/s11227-019-03136-6}, doi = {10.1007/S11227-019-03136-6}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/Javadpour0RL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/au/Luo19, author = {Yuyue Luo}, title = {Signal Processing for Joint Communication and Radar Sensing Techniques in Autonomous Vehicular Networks}, school = {University of Technology Sydney, Australia}, year = {2019}, url = {https://hdl.handle.net/10453/142253}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/au/Luo19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Sidler19, author = {David Sidler}, title = {In-Network Data Processing using FPGAs}, school = {{ETH} Zurich, Z{\"{u}}rich, Switzerland}, year = {2019}, url = {https://hdl.handle.net/20.500.11850/362532}, doi = {10.3929/ETHZ-B-000362532}, timestamp = {Fri, 20 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Sidler19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Fiessler19, author = {Andreas Fie{\ss}ler}, title = {Hybrid Hardware/Software Architectures for Network Packet Processing in Security Applications}, school = {Humboldt University of Berlin, Germany}, year = {2019}, url = {http://edoc.hu-berlin.de/18452/20841}, urn = {urn:nbn:de:kobv:11-110-18452/20841-4}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Fiessler19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Hummer19, author = {Christian Erich H{\"{u}}mmer}, title = {A Bayesian Network Approach to Selected Problems in Speech Signal Processing}, school = {University of Erlangen-Nuremberg, Germany}, year = {2019}, url = {http://www.dr.hut-verlag.de/978-3-8439-4914-9.html}, urn = {urn:nbn:de:bvb:29-opus4-105371}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/dnb/Hummer19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Gelincik19, author = {Samet Gelincik}, title = {Interference management in sectored cellular networks with local multi-cell processing. (Gestion des interf{\'{e}}rences dans les r{\'{e}}seaux cellulaires sectoris{\'{e}}s avec traitement multicellulaire local)}, school = {Paris-Saclay University, France}, year = {2019}, url = {https://tel.archives-ouvertes.fr/tel-02907058}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Gelincik19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/it/Maffione19, author = {Vincenzo Maffione}, title = {Enhanced network processing in the Cloud Computing era}, school = {University of Pisa, Italy}, year = {2019}, url = {https://etd.adm.unipi.it/theses/available/etd-05112019-172341/}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/it/Maffione19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AyhanA19, author = {Tuba Ayhan and Mustafa Altun}, title = {Circuit Aware Approximate System Design With Case Studies in Image Processing and Neural Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {4726--4734}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2889110}, doi = {10.1109/ACCESS.2018.2889110}, timestamp = {Fri, 18 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AyhanA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenPAFSL19, author = {Chun Han Chen and Parag Parashar and Chandni Akbar and Sze Ming Fu and Ming{-}Ying Syu and Albert S. Lin}, title = {Physics-Prior Bayesian Neural Networks in Semiconductor Processing}, journal = {{IEEE} Access}, volume = {7}, pages = {130168--130179}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2940130}, doi = {10.1109/ACCESS.2019.2940130}, timestamp = {Sat, 12 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenPAFSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanZWWZ19, author = {Chao Han and Panyouwen Zhang and Wei Wang and Yitu Wang and Zhaoyang Zhang}, title = {Delay-Optimal Joint Processing in Computation-Constrained Fog Radio Access Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {58857--58865}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2913147}, doi = {10.1109/ACCESS.2019.2913147}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanZWWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiuCXLL19, author = {Yang Liu and Xianbang Chen and Lixiong Xu and Huaqiang Li and Maozhen Li}, title = {A Resource Aware Parallelized Back Propagation Neural Network in Enabling Efficient Large-Scale Digital Health Data Processing}, journal = {{IEEE} Access}, volume = {7}, pages = {114700--114713}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2935691}, doi = {10.1109/ACCESS.2019.2935691}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiuCXLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangMW19, author = {Yu Wang and Michael Conrad Meyer and Junbo Wang}, title = {Real-Time Delay Minimization for Data Processing in Wirelessly Networked Disaster Areas}, journal = {{IEEE} Access}, volume = {7}, pages = {2928--2937}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2886075}, doi = {10.1109/ACCESS.2018.2886075}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangMW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangTSYZCWS19, author = {Zongshuai Zhang and Lin Tian and Jinglin Shi and Jinhong Yuan and Yiqing Zhou and Xinyu Cui and Lu Wang and Qian Sun}, title = {Statistical Multiplexing Gain Analysis of Processing Resources in Centralized Radio Access Networks}, journal = {{IEEE} Access}, volume = {7}, pages = {23343--23353}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2899663}, doi = {10.1109/ACCESS.2019.2899663}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhangTSYZCWS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/LaiXYLY19, author = {Yongxuan Lai and Yifan Xu and Fan Yang and Wei Lu and Quan Yu}, title = {Privacy-aware query processing in vehicular ad-hoc networks}, journal = {Ad Hoc Networks}, volume = {91}, year = {2019}, url = {https://doi.org/10.1016/j.adhoc.2019.101876}, doi = {10.1016/J.ADHOC.2019.101876}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/adhoc/LaiXYLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/axioms/BammerDH19, author = {Roswitha Bammer and Monika D{\"{o}}rfler and Pavol Har{\'{a}}r}, title = {Gabor Frames and Deep Scattering Networks in Audio Processing}, journal = {Axioms}, volume = {8}, number = {4}, pages = {106}, year = {2019}, url = {https://doi.org/10.3390/axioms8040106}, doi = {10.3390/AXIOMS8040106}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/axioms/BammerDH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/WangSWYTYLXZ19, author = {Yangzhen Wang and Feng Su and Shanshan Wang and Chaojuan Yang and Yonglu Tian and Peijiang Yuan and Xiaorong Liu and Wei Xiong and Chen Zhang}, title = {Efficient implementation of convolutional neural networks in the data processing of two-photon in vivo imaging}, journal = {Bioinform.}, volume = {35}, number = {17}, pages = {3208--3210}, year = {2019}, url = {https://doi.org/10.1093/bioinformatics/btz055}, doi = {10.1093/BIOINFORMATICS/BTZ055}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/WangSWYTYLXZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/BauerJSSA19, author = {Jan Bauer and Thomas Jarmer and Siegfried Schittenhelm and Bastian Siegmann and Nils Aschenbruck}, title = {Processing and filtering of leaf area index time series assessed by in-situ wireless sensor networks}, journal = {Comput. Electron. Agric.}, volume = {165}, year = {2019}, url = {https://doi.org/10.1016/j.compag.2019.104867}, doi = {10.1016/J.COMPAG.2019.104867}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/BauerJSSA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LiZGWZ19, author = {Xiaocui Li and Zhangbing Zhou and Junqi Guo and Shangguang Wang and Junsheng Zhang}, title = {Aggregated multi-attribute query processing in edge computing for industrial IoT applications}, journal = {Comput. Networks}, volume = {151}, pages = {114--123}, year = {2019}, url = {https://doi.org/10.1016/j.comnet.2019.01.022}, doi = {10.1016/J.COMNET.2019.01.022}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/LiZGWZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comsis/NicoliniLMC19, author = {Ana Luc{\'{\i}}a Nicolini and Carlos M. Lorenzetti and Ana Gabriela Maguitman and Carlos Iv{\'{a}}n Ches{\~{n}}evar}, title = {Intelligent query processing in {P2P} networks: Semantic issues and routing algorithms}, journal = {Comput. Sci. Inf. Syst.}, volume = {16}, number = {2}, pages = {409--442}, year = {2019}, url = {https://doi.org/10.2298/CSIS180411002N}, doi = {10.2298/CSIS180411002N}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comsis/NicoliniLMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/0034ZMYLL19, author = {Bo Li and Qiuming Zhao and Ruofei Ma and Hongjuan Yang and Gongliang Liu and Weidang Lu}, title = {On signal processing scheme based on network coding in relay-assisted {D2D} systems}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2019}, pages = {73}, year = {2019}, url = {https://doi.org/10.1186/s13638-019-1380-x}, doi = {10.1186/S13638-019-1380-X}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/0034ZMYLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/RuiZZQ19, author = {Lanlan Rui and Yao Zhang and Pan Zhang and Xuesong Qiu}, title = {Location-dependent sensing data collection and processing mechanism in vehicular network}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {30}, number = {4}, year = {2019}, url = {https://doi.org/10.1002/ett.3283}, doi = {10.1002/ETT.3283}, timestamp = {Sat, 17 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/RuiZZQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LaiZYZWL19, author = {Yongxuan Lai and Lu Zhang and Fan Yang and Lv Zheng and Tian Wang and Kuan{-}Ching Li}, title = {{CASQ:} Adaptive and cloud-assisted query processing in vehicular sensor networks}, journal = {Future Gener. Comput. Syst.}, volume = {94}, pages = {237--249}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.11.034}, doi = {10.1016/J.FUTURE.2018.11.034}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LaiZYZWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fi/BartoliniP19, author = {Ilaria Bartolini and Marco Patella}, title = {Real-Time Stream Processing in Social Networks with {RAM3S}}, journal = {Future Internet}, volume = {11}, number = {12}, pages = {249}, year = {2019}, url = {https://doi.org/10.3390/fi11120249}, doi = {10.3390/FI11120249}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fi/BartoliniP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/geoinformatica/SasakiHI19, author = {Yuya Sasaki and Takahiro Hara and Yoshiharu Ishikawa}, title = {Efficient framework for processing top-k queries with replication in mobile ad hoc networks}, journal = {GeoInformatica}, volume = {23}, number = {4}, pages = {591--620}, year = {2019}, url = {https://doi.org/10.1007/s10707-019-00363-0}, doi = {10.1007/S10707-019-00363-0}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/geoinformatica/SasakiHI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeenl/ComerR19, author = {Douglas Comer and Adib Rastegarnia}, title = {Externalization of Packet Processing in Software Defined Networking}, journal = {{IEEE} Netw. Lett.}, volume = {1}, number = {3}, pages = {124--127}, year = {2019}, url = {https://doi.org/10.1109/lnet.2019.2918155}, doi = {10.1109/LNET.2019.2918155}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeenl/ComerR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/LiuHW19, author = {Liang Liu and Zhenhai Hu and Lisong Wang}, title = {Energy-efficient and privacy-preserving spatial range aggregation query processing in wireless sensor networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {15}, number = {7}, year = {2019}, url = {https://doi.org/10.1177/1550147719861005}, doi = {10.1177/1550147719861005}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/LiuHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/RahmatovPSHSK19, author = {Nematullo Rahmatov and Anand Paul and Faisal Saeed and Won{-}Hwa Hong and HyunCheol Seo and Jeong Hong Kim}, title = {Machine learning-based automated image processing for quality management in industrial Internet of Things}, journal = {Int. J. Distributed Sens. Networks}, volume = {15}, number = {10}, year = {2019}, url = {https://doi.org/10.1177/1550147719883551}, doi = {10.1177/1550147719883551}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/RahmatovPSHSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijoe/TianH19, author = {Jun Tian and Lirong Huang}, title = {Classification and Processing of Big Data in Sensor Network Based on Suffix Tree Clustering}, journal = {Int. J. Online Biomed. Eng.}, volume = {15}, number = {1}, pages = {171--182}, year = {2019}, url = {https://doi.org/10.3991/ijoe.v15i01.9785}, doi = {10.3991/IJOE.V15I01.9785}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijoe/TianH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/WuXDZ19, author = {Chunyi Wu and Gaochao Xu and Yan Ding and Jia Zhao}, title = {Explore Deep Neural Network and Reinforcement Learning to Large-scale Tasks Processing in Big Data}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {33}, number = {13}, pages = {1951010:1--1951010:29}, year = {2019}, url = {https://doi.org/10.1142/S0218001419510108}, doi = {10.1142/S0218001419510108}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijprai/WuXDZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/JuSLZ19, author = {Qianao Ju and Geng Sun and Hongsheng Li and Ying Zhang}, title = {Collaborative In-Network Processing for Internet of Battery-Less Things}, journal = {{IEEE} Internet Things J.}, volume = {6}, number = {3}, pages = {5184--5195}, year = {2019}, url = {https://doi.org/10.1109/JIOT.2019.2899022}, doi = {10.1109/JIOT.2019.2899022}, timestamp = {Fri, 10 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/JuSLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamris/YusupbekovGKUM19, author = {Nadirbek Yusupbekov and Shukhrat Gulyamov and Sadikdjan Kasymov and Nargiza Usmanova and Dilshod Mirzaev}, title = {Software Implementation of Exchange Processes in a Distributed Network Environment of Transmission and Processing of Information}, journal = {J. Autom. Mob. Robotics Intell. Syst.}, volume = {12}, number = {4}, year = {2019}, url = {https://doi.org/10.14313/jamris\_4-2018/27}, doi = {10.14313/JAMRIS\_4-2018/27}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamris/YusupbekovGKUM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocn/VriesB19, author = {Eelke de Vries and Daniel Baldauf}, title = {Attentional Weighting in the Face Processing Network: {A} Magnetic Response Image-guided Magnetoencephalography Study Using Multiple Cyclic Entrainments}, journal = {J. Cogn. Neurosci.}, volume = {31}, number = {10}, pages = {1573--1588}, year = {2019}, url = {https://doi.org/10.1162/jocn\_a\_01428}, doi = {10.1162/JOCN\_A\_01428}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jocn/VriesB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/UmeshM19, author = {Sumanth Umesh and Sparsh Mittal}, title = {A survey of spintronic architectures for processing-in-memory and neural networks}, journal = {J. Syst. Archit.}, volume = {97}, pages = {349--372}, year = {2019}, url = {https://doi.org/10.1016/j.sysarc.2018.11.005}, doi = {10.1016/J.SYSARC.2018.11.005}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/UmeshM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsan/PaladinoFN19, author = {Ombretta Paladino and Francesca Fissore and Matteo Neviani}, title = {A Low-Cost Monitoring System and Operating Database for Quality Control in Small Food Processing Industry}, journal = {J. Sens. Actuator Networks}, volume = {8}, number = {4}, pages = {52}, year = {2019}, url = {https://doi.org/10.3390/jsan8040052}, doi = {10.3390/JSAN8040052}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsan/PaladinoFN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/LiuDASDKF19, author = {Yuanwei Liu and Zhiguo Ding and Naofal Al{-}Dhahir and Robert Schober and Octavia A. Dobre and George K. Karagiannidis and Pingzhi Fan}, title = {Introduction to the Issue on Signal Processing Advances for Non-Orthogonal Multiple Access in Next Generation Wireless Networks}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {13}, number = {3}, pages = {388--391}, year = {2019}, url = {https://doi.org/10.1109/JSTSP.2019.2907760}, doi = {10.1109/JSTSP.2019.2907760}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/LiuDASDKF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/make/Mittal19, author = {Sparsh Mittal}, title = {A Survey of ReRAM-Based Architectures for Processing-In-Memory and Neural Networks}, journal = {Mach. Learn. Knowl. Extr.}, volume = {1}, number = {1}, pages = {75--114}, year = {2019}, url = {https://doi.org/10.3390/make1010005}, doi = {10.3390/MAKE1010005}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/make/Mittal19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/misq/MeservyFKM19, author = {Thomas O. Meservy and Kelly J. Fadel and C. Brock Kirwan and Rayman D. Meservy}, title = {An fMRI Exploration of Information Processing in Electronic Networks of Practice}, journal = {{MIS} Q.}, volume = {43}, number = {3}, year = {2019}, url = {https://doi.org/10.25300/misq/2019/15093}, doi = {10.25300/MISQ/2019/15093}, timestamp = {Thu, 30 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/misq/MeservyFKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/YousefiKM19a, author = {Mehdi Hadadian Nejad Yousefi and Yousef Seifi Kavian and Alimorad Mahmoudi}, title = {On the processing architecture in wireless video sensor networks: node and network level performance evaluation}, journal = {Multim. Tools Appl.}, volume = {78}, number = {17}, pages = {24789--24807}, year = {2019}, url = {https://doi.org/10.1007/s11042-019-7709-y}, doi = {10.1007/S11042-019-7709-Y}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/YousefiKM19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/ZengCCY19, author = {Guanxiong Zeng and Yang Chen and Bo Cui and Shan Yu}, title = {Continual learning of context-dependent processing in neural networks}, journal = {Nat. Mach. Intell.}, volume = {1}, number = {8}, pages = {364--372}, year = {2019}, url = {https://doi.org/10.1038/s42256-019-0080-x}, doi = {10.1038/S42256-019-0080-X}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/natmi/ZengCCY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neco/GosmannE19, author = {Jan Gosmann and Chris Eliasmith}, title = {Vector-Derived Transformation Binding: An Improved Binding Operation for Deep Symbol-Like Processing in Neural Networks}, journal = {Neural Comput.}, volume = {31}, number = {5}, pages = {849--869}, year = {2019}, url = {https://doi.org/10.1162/neco\_a\_01179}, doi = {10.1162/NECO\_A\_01179}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neco/GosmannE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/ChenSTG19, author = {Chi{-}Chuan Chen and Yu{-}Shiang Su and Yu{-}Zhen Tu and Joshua Oon Soo Goh}, title = {Default-mode network activation underlies accurate contextual processing of exclusive disjunctions in older but not younger adults}, journal = {NeuroImage}, volume = {201}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.116012}, doi = {10.1016/J.NEUROIMAGE.2019.116012}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/ChenSTG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HauptRSF19, author = {Marleen Haupt and Adriana L. Ruiz{-}Rizzo and Christian Sorg and Kathrin Finke}, title = {Phasic alerting effects on visual processing speed are associated with intrinsic functional connectivity in the cingulo-opercular network}, journal = {NeuroImage}, volume = {196}, pages = {216--226}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.04.019}, doi = {10.1016/J.NEUROIMAGE.2019.04.019}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HauptRSF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HinzPSMBVMVLK19, author = {Rukun Hinz and Lore M. Peeters and Disha Shah and Stephan Missault and Micha{\"{e}}l E. Belloy and Verdi Vanreusel and Meriam Malekzadeh and Marleen Verhoye and Annemie van der Linden and Georgios A. Keliris}, title = {Bottom-up sensory processing can induce negative {BOLD} responses and reduce functional connectivity in nodes of the default mode-like network in rats}, journal = {NeuroImage}, volume = {197}, pages = {167--176}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.04.065}, doi = {10.1016/J.NEUROIMAGE.2019.04.065}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HinzPSMBVMVLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/PinotsisSM19, author = {Dimitris A. Pinotsis and Markus Siegel and Earl K. Miller}, title = {Sensory processing and categorization in cortical and deep neural networks}, journal = {NeuroImage}, volume = {202}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.116118}, doi = {10.1016/J.NEUROIMAGE.2019.116118}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/PinotsisSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/WangGFKE19, author = {Peng Wang and Florian G{\"{o}}schl and Uwe Friese and Peter K{\"{o}}nig and Andreas K. Engel}, title = {Long-range functional coupling predicts performance: Oscillatory {EEG} networks in multisensory processing}, journal = {NeuroImage}, volume = {196}, pages = {114--125}, year = {2019}, url = {https://doi.org/10.1016/j.neuroimage.2019.04.001}, doi = {10.1016/J.NEUROIMAGE.2019.04.001}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/WangGFKE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/AdamsGHR19, author = {Wayne Adams and James N. Graham and Xuchen Han and Hermann Riecke}, title = {Top-down inputs drive neuronal network rewiring and context-enhanced sensory processing in olfaction}, journal = {PLoS Comput. Biol.}, volume = {15}, number = {1}, year = {2019}, url = {https://doi.org/10.1371/journal.pcbi.1006611}, doi = {10.1371/JOURNAL.PCBI.1006611}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/AdamsGHR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/LiHABPSL19, author = {Mike Li and Yinuo Han and Matthew J. Aburn and Michael Breakspear and Russell A. Poldrack and James M. Shine and Joseph T. Lizier}, title = {Transitions in information processing dynamics at the whole-brain network level are driven by alterations in neural gain}, journal = {PLoS Comput. Biol.}, volume = {15}, number = {10}, year = {2019}, url = {https://doi.org/10.1371/journal.pcbi.1006957}, doi = {10.1371/JOURNAL.PCBI.1006957}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/LiHABPSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/JiWCYYB19, author = {Yuefeng Ji and Hongxiang Wang and Jiabin Cui and Meitong Yu and Zhitian Yang and Lin Bai}, title = {All-optical signal processing technologies in flexible optical networks}, journal = {Photonic Netw. Commun.}, volume = {38}, number = {1}, pages = {14--36}, year = {2019}, url = {https://doi.org/10.1007/s11107-019-00838-y}, doi = {10.1007/S11107-019-00838-Y}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pnc/JiWCYYB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/RantosDKIPF19, author = {Konstantinos Rantos and George Drosatos and Antonios Kritsas and Christos Ilioudis and Alexandros Papanikolaou and Adam P. Filippidis}, title = {A Blockchain-Based Platform for Consent Management of Personal Data Processing in the IoT Ecosystem}, journal = {Secur. Commun. Networks}, volume = {2019}, pages = {1431578:1--1431578:15}, year = {2019}, url = {https://doi.org/10.1155/2019/1431578}, doi = {10.1155/2019/1431578}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/RantosDKIPF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/YuLQ19, author = {Haisheng Yu and Keqiu Li and Heng Qi}, title = {An Active Controller Selection Scheme for Minimizing Packet-In Processing Latency in {SDN}}, journal = {Secur. Commun. Networks}, volume = {2019}, pages = {1949343:1--1949343:11}, year = {2019}, url = {https://doi.org/10.1155/2019/1949343}, doi = {10.1155/2019/1949343}, timestamp = {Fri, 11 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/YuLQ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoKJHC19, author = {Woo{-}Jae Cho and Hak{-}Jin Kim and Dae{-}Hyun Jung and Hee{-}Jo Han and Young{-}Yeol Cho}, title = {Hybrid Signal-Processing Method Based on Neural Network for Prediction of NO3, K, Ca, and Mg Ions in Hydroponic Solutions Using an Array of Ion-Selective Electrodes}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5508}, year = {2019}, url = {https://doi.org/10.3390/s19245508}, doi = {10.3390/S19245508}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoKJHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HuZL19, author = {Weijun Hu and Yan Zhang and Lijie Li}, title = {Study of the Application of Deep Convolutional Neural Networks (CNNs) in Processing Sensor Data and Biomedical Images}, journal = {Sensors}, volume = {19}, number = {16}, pages = {3584}, year = {2019}, url = {https://doi.org/10.3390/s19163584}, doi = {10.3390/S19163584}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HuZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/XiaoJWHLZ19, author = {Yingyuan Xiao and Xu Jiao and Hongya Wang and Ching{-}Hsien Hsu and Li Liu and Wenguang Zheng}, title = {Efficient Continuous Skyline Query Processing in Wireless Sensor Networks}, journal = {Sensors}, volume = {19}, number = {13}, pages = {2902}, year = {2019}, url = {https://doi.org/10.3390/s19132902}, doi = {10.3390/S19132902}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/XiaoJWHLZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GuptaIKR19, author = {Saransh Gupta and Mohsen Imani and Harveen Kaur and Tajana Simunic Rosing}, title = {{NNPIM:} {A} Processing In-Memory Architecture for Neural Network Acceleration}, journal = {{IEEE} Trans. Computers}, volume = {68}, number = {9}, pages = {1325--1337}, year = {2019}, url = {https://doi.org/10.1109/TC.2019.2903055}, doi = {10.1109/TC.2019.2903055}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GuptaIKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tccn/WangLLA19, author = {Yimeng Wang and Yongbo Li and Tian Lan and Vaneet Aggarwal}, title = {DeepChunk: Deep Q-Learning for Chunk-Based Caching in Wireless Data Processing Networks}, journal = {{IEEE} Trans. Cogn. Commun. Netw.}, volume = {5}, number = {4}, pages = {1034--1045}, year = {2019}, url = {https://doi.org/10.1109/TCCN.2019.2947550}, doi = {10.1109/TCCN.2019.2947550}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tccn/WangLLA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/TranP19, author = {Tuyen X. Tran and Dario Pompili}, title = {Adaptive Bitrate Video Caching and Processing in Mobile-Edge Computing Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {18}, number = {9}, pages = {1965--1978}, year = {2019}, url = {https://doi.org/10.1109/TMC.2018.2871147}, doi = {10.1109/TMC.2018.2871147}, timestamp = {Mon, 23 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/TranP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ton/NazemiLS19, author = {Sepideh Nazemi and Kin K. Leung and Ananthram Swami}, title = {Distributed Optimization Framework for In-Network Data Processing}, journal = {{IEEE/ACM} Trans. Netw.}, volume = {27}, number = {6}, pages = {2432--2443}, year = {2019}, url = {https://doi.org/10.1109/TNET.2019.2953581}, doi = {10.1109/TNET.2019.2953581}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ton/NazemiLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsipn/Asensio-MarcoB19, author = {Cesar Asensio{-}Marco and Baltasar Beferull{-}Lozano}, title = {Adaptive Medium Access Control for Distributed Processing in Wireless Sensor Networks}, journal = {{IEEE} Trans. Signal Inf. Process. over Networks}, volume = {5}, number = {1}, pages = {113--126}, year = {2019}, url = {https://doi.org/10.1109/TSIPN.2018.2866324}, doi = {10.1109/TSIPN.2018.2866324}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsipn/Asensio-MarcoB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsipn/SrikanthaK19, author = {Pirathayini Srikantha and Deepa Kundur}, title = {Hierarchical Signal Processing for Tractable Power Flow Management in Electric Grid Networks}, journal = {{IEEE} Trans. Signal Inf. Process. over Networks}, volume = {5}, number = {1}, pages = {86--99}, year = {2019}, url = {https://doi.org/10.1109/TSIPN.2018.2858750}, doi = {10.1109/TSIPN.2018.2858750}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsipn/SrikanthaK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/AttiqueCC19, author = {Muhammad Attique and Hyung{-}Ju Cho and Tae{-}Sun Chung}, title = {Erratum to "Efficient Processing of Moving Top-\emph{k} Spatial Keyword Queries in Directed and Dynamic Road Networks"}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2019}, pages = {6831382:1}, year = {2019}, url = {https://doi.org/10.1155/2019/6831382}, doi = {10.1155/2019/6831382}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/AttiqueCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3pgcic/JansenLKDS19, author = {Wouter Jansen and Dennis Laurijssen and Robin Kerstens and Walter Daems and Jan Steckel}, editor = {Leonard Barolli and Peter Hellinckx and Juggapong Natwichai}, title = {In-Air Imaging Sonar Sensor Network with Real-Time Processing Using GPUs}, booktitle = {Advances on P2P, Parallel, Grid, Cloud and Internet Computing - Proceedings of the 14th International Conference on P2P, Parallel, Grid, Cloud and Internet Computing, 3PGCIC-2019, Antwerp, Belgium, November 7-9, 2019}, series = {Lecture Notes in Networks and Systems}, volume = {96}, pages = {716--725}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-33509-0\_67}, doi = {10.1007/978-3-030-33509-0\_67}, timestamp = {Thu, 12 Aug 2021 13:56:30 +0200}, biburl = {https://dblp.org/rec/conf/3pgcic/JansenLKDS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/FurutaIY19, author = {Ryosuke Furuta and Naoto Inoue and Toshihiko Yamasaki}, title = {Fully Convolutional Network with Multi-Step Reinforcement Learning for Image Processing}, booktitle = {The Thirty-Third {AAAI} Conference on Artificial Intelligence, {AAAI} 2019, The Thirty-First Innovative Applications of Artificial Intelligence Conference, {IAAI} 2019, The Ninth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2019, Honolulu, Hawaii, USA, January 27 - February 1, 2019}, pages = {3598--3605}, publisher = {{AAAI} Press}, year = {2019}, url = {https://doi.org/10.1609/aaai.v33i01.33013598}, doi = {10.1609/AAAI.V33I01.33013598}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/FurutaIY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ArguetaC19, author = {Arturo Argueta and David Chiang}, editor = {Anna Korhonen and David R. Traum and Llu{\'{\i}}s M{\`{a}}rquez}, title = {Accelerating Sparse Matrix Operations in Neural Networks on Graphics Processing Units}, booktitle = {Proceedings of the 57th Conference of the Association for Computational Linguistics, {ACL} 2019, Florence, Italy, July 28- August 2, 2019, Volume 1: Long Papers}, pages = {6215--6224}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/p19-1626}, doi = {10.18653/V1/P19-1626}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ArguetaC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/TandlerDCB19, author = {Daniel Tandler and Sebastian D{\"{o}}rner and Sebastian Cammerer and Stephan ten Brink}, editor = {Michael B. Matthews}, title = {On Recurrent Neural Networks for Sequence-based Processing in Communications}, booktitle = {53rd Asilomar Conference on Signals, Systems, and Computers, {ACSCC} 2019, Pacific Grove, CA, USA, November 3-6, 2019}, pages = {537--543}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IEEECONF44664.2019.9048728}, doi = {10.1109/IEEECONF44664.2019.9048728}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acssc/TandlerDCB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adc/Zhang0H019, author = {Mengxuan Zhang and Lei Li and Wen Hua and Xiaofang Zhou}, editor = {Lijun Chang and Junhao Gan and Xin Cao}, title = {Batch Processing of Shortest Path Queries in Road Networks}, booktitle = {Databases Theory and Applications, 30th Australasian Database Conference, {ADC} 2019, Sydney, NSW, Australia, January 29 - February 1, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11393}, pages = {3--16}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-12079-5\_1}, doi = {10.1007/978-3-030-12079-5\_1}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/adc/Zhang0H019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adms/HofmannTZBK19, author = {Jaco A. Hofmann and Lasse Thostrup and Tobias Ziegler and Carsten Binnig and Andreas Koch}, editor = {Rajesh Bordawekar and Tirthankar Lahiri}, title = {High-Performance In-Network Data Processing}, booktitle = {10th International Workshop on Accelerating Analytics and Data Management Systems Using Modern Processor and Storage Architectures, ADMS@VLDB 2019, Los Angeles, California, USA, August 26, 2019}, pages = {64--73}, year = {2019}, url = {http://www.adms-conf.org/2019-camera-ready/hofmann\_adms19.pdf}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/adms/HofmannTZBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiam/FangCZSZZ19, author = {Aidong Fang and Lin Cui and Zhiwei Zhang and Zhuang Sheng and Ming Zhu and Xia Zhang}, title = {An opportunistic routing strategy based on network coding in multi-speed processing environment}, booktitle = {Proceedings of the 2019 International Conference on Artificial Intelligence and Advanced Manufacturing, {AIAM} 2019, Dublin, Ireland, October 17-19, 2019}, pages = {75:1--75:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3358331.3358406}, doi = {10.1145/3358331.3358406}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aiam/FangCZSZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/AmatoBCL019, author = {Flora Amato and Walter Balzano and Giovanni Cozzolino and Alessandro De Luca and Francesco Moscato}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido}, title = {Textual Processing in Social Network Analysis}, booktitle = {Web, Artificial Intelligence and Network Applications - Proceedings of the Workshops of the 33rd International Conference on Advanced Information Networking and Applications, {AINA} Workshops 2019, Matsue, Japan, March 27-29, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {927}, pages = {822--832}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-15035-8\_80}, doi = {10.1007/978-3-030-15035-8\_80}, timestamp = {Mon, 01 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aina/AmatoBCL019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/allerton/WangLLA19, author = {Yimeng Wang and Yongbo Li and Tian Lan and Vaneet Aggarwal}, title = {Deep Q-Learning for Chunk-based Caching in Data Processing Networks}, booktitle = {57th Annual Allerton Conference on Communication, Control, and Computing, Allerton 2019, Monticello, IL, USA, September 24-27, 2019}, pages = {910--916}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ALLERTON.2019.8919777}, doi = {10.1109/ALLERTON.2019.8919777}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/allerton/WangLLA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ancs/LuthraKHLRKF19, author = {Manisha Luthra and Boris Koldehofe and Jonas H{\"{o}}chst and Patrick Lampe and Ali Haider Rizvi and Ralf Kundel and Bernd Freisleben}, title = {INetCEP: In-Network Complex Event Processing for Information-Centric Networking}, booktitle = {2019 {ACM/IEEE} Symposium on Architectures for Networking and Communications Systems, {ANCS} 2019, Cambridge, United Kingdom, September 24-25, 2019}, pages = {1--13}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ANCS.2019.8901877}, doi = {10.1109/ANCS.2019.8901877}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ancs/LuthraKHLRKF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AngiziHF19, author = {Shaahin Angizi and Zhezhi He and Deliang Fan}, editor = {Toshiyuki Shibuya}, title = {ParaPIM: a parallel processing-in-memory accelerator for binary-weight deep neural networks}, booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019}, pages = {127--132}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3287624.3287644}, doi = {10.1145/3287624.3287644}, timestamp = {Sun, 20 Jan 2019 16:08:16 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/AngiziHF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YueLSLYWSLY19, author = {Jinshan Yue and Yongpan Liu and Fang Su and Shuangchen Li and Zhe Yuan and Zhibo Wang and Wenyu Sun and Xueqing Li and Huazhong Yang}, editor = {Toshiyuki Shibuya}, title = {{AERIS:} area/energy-efficient 1T2R ReRAM based processing-in-memory neural network system-on-a-chip}, booktitle = {Proceedings of the 24th Asia and South Pacific Design Automation Conference, {ASPDAC} 2019, Tokyo, Japan, January 21-24, 2019}, pages = {146--151}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3287624.3287635}, doi = {10.1145/3287624.3287635}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/YueLSLYWSLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clrimg/ParkOK19, author = {In{-}ho Park and Hyunsoo Oh and Kimin Kang}, editor = {Reiner Eschbach and Gabriel G. Marcu and Alessandro Rizzi}, title = {A simple approach for gamut boundary description using radial basis function network}, booktitle = {Color Imaging {XXIV:} Displaying, Processing, Hardcopy, and Applications, Burlingame, CA, USA, 13-17 January 2019}, pages = {1--7}, publisher = {Society for Imaging Science and Technology}, year = {2019}, url = {https://doi.org/10.2352/ISSN.2470-1173.2019.14.COLOR-081}, doi = {10.2352/ISSN.2470-1173.2019.14.COLOR-081}, timestamp = {Mon, 17 Jul 2023 14:50:15 +0200}, biburl = {https://dblp.org/rec/conf/clrimg/ParkOK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/LeeLJS19, author = {Seolhee Lee and Miran Lee and Hyesun Jeon and Anthony Smith}, title = {Bird Detection in Agriculture Environment using Image Processing and Neural Network}, booktitle = {6th International Conference on Control, Decision and Information Technologies, CoDIT 2019, Paris, France, April 23-26, 2019}, pages = {1658--1663}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CoDIT.2019.8820331}, doi = {10.1109/CODIT.2019.8820331}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/codit/LeeLJS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csai/WangL19a, author = {Zhichen Wang and Hongliang Li}, title = {Research on the Current Status of Sparse Neural Network Acceleration Processing Technology in Deep Learning}, booktitle = {{CSAI} 2019: 2019 3rd International Conference on Computer Science and Artificial Intelligence, Beijing, China, December 6-8, 2019}, pages = {122--127}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3374587.3374641}, doi = {10.1145/3374587.3374641}, timestamp = {Fri, 20 Mar 2020 13:48:57 +0100}, biburl = {https://dblp.org/rec/conf/csai/WangL19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/WangHL19, author = {Lisong Wang and Zhenhai Hu and Liang Liu}, editor = {Guoliang Li and Jun Yang and Jo{\~{a}}o Gama and Juggapong Natwichai and Yongxin Tong}, title = {Privacy-Preserving and Dynamic Spatial Range Aggregation Query Processing in Wireless Sensor Networks}, booktitle = {Database Systems for Advanced Applications - 24th International Conference, {DASFAA} 2019, Chiang Mai, Thailand, April 22-25, 2019, Proceedings, Part III, and {DASFAA} 2019 International Workshops: BDMS, BDQM, and GDMA, Chiang Mai, Thailand, April 22-25, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11448}, pages = {278--281}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-18590-9\_28}, doi = {10.1007/978-3-030-18590-9\_28}, timestamp = {Mon, 30 Nov 2020 08:47:27 +0100}, biburl = {https://dblp.org/rec/conf/dasfaa/WangHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dccn/AntonovaGZK19, author = {Veronika M. Antonova and Natalia A. Grechishkina and Ludmila Yu. Zhilyakova and Nickolay A. Kuznetsov}, editor = {Vladimir M. Vishnevskiy and Konstantin E. Samouylov and Dmitry V. Kozyrev}, title = {Evaluation of Information Transmission Resource While Processing Heterogeneous Traffic in Data Networks}, booktitle = {Distributed Computer and Communication Networks - 22nd International Conference, {DCCN} 2019, Moscow, Russia, September 23-27, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11965}, pages = {407--418}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-36614-8\_31}, doi = {10.1007/978-3-030-36614-8\_31}, timestamp = {Thu, 06 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dccn/AntonovaGZK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dessert/PavlovaZOSMS19, author = {D. B. Pavlova and G. E. Zavolodko and I. I. Obod and I. V. Svyd and O. S. Maltsev and L. F. Saikivska}, title = {Optimizing Data Processing in Information Networks of Airspace Surveillance Systems}, booktitle = {10th International Conference on Dependable Systems, Services and Technologies, {DESSERT} 2019, Leeds, United Kingdom, June 5-7, 2019}, pages = {136--139}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DESSERT.2019.8770022}, doi = {10.1109/DESSERT.2019.8770022}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dessert/PavlovaZOSMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eecs/SetlakK19a, author = {Lucjan Setlak and Rafal Kowalik}, title = {{DC/DC} processing system of inductive-capacitive character of on-board electrical network of an aircraft in accordance with the concept of an electrified aircraft}, booktitle = {3rd European Conference on Electrical Engineering and Computer Science, {EECS} 2019, Athens, Greece, December 28-30, 2019}, pages = {53--59}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EECS49779.2019.00023}, doi = {10.1109/EECS49779.2019.00023}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eecs/SetlakK19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WanLFL19, author = {Shuo Wan and Jiaxun Lu and Pingyi Fan and Khaled B. Letaief}, title = {Towards Big Data Processing in IoT: Network Management for Online Edge Data Processing}, booktitle = {2019 {IEEE} Global Communications Conference, {GLOBECOM} 2019, Waikoloa, HI, USA, December 9-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/GLOBECOM38437.2019.9013486}, doi = {10.1109/GLOBECOM38437.2019.9013486}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/WanLFL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotcloud/MustardRGBF19, author = {Craig Mustard and Fabian Ruffy and Anny Gakhokidze and Ivan Beschastnikh and Alexandra Fedorova}, editor = {Christina Delimitrou and Dan R. K. Ports}, title = {Jumpgate: In-Network Processing as a Service for Data Analytics}, booktitle = {11th {USENIX} Workshop on Hot Topics in Cloud Computing, HotCloud 2019, Renton, WA, USA, July 8, 2019}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/hotcloud19/presentation/mustard}, timestamp = {Tue, 09 Feb 2021 08:31:39 +0100}, biburl = {https://dblp.org/rec/conf/hotcloud/MustardRGBF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/KimSCK19, author = {Hyeonuk Kim and Jaehyeong Sim and Yeongjae Choi and Lee{-}Sup Kim}, title = {NAND-Net: Minimizing Computational Complexity of In-Memory Processing for Binary Neural Networks}, booktitle = {25th {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2019, Washington, DC, USA, February 16-20, 2019}, pages = {661--673}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/HPCA.2019.00017}, doi = {10.1109/HPCA.2019.00017}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/KimSCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/GaoLLL19, author = {Liyao Gao and Hongshan Li and Zheying Lu and Guang Lin}, editor = {Robert Harle and Katayoun Farrahi and Nicholas D. Lane}, title = {Rotation-equivariant convolutional neural network ensembles in image processing}, booktitle = {Proceedings of the 2019 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2019 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC 2019 Adjunct, London, UK, September 9-13, 2019}, pages = {551--557}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3341162.3349330}, doi = {10.1145/3341162.3349330}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/GaoLLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KiranyazIAAG19, author = {Serkan Kiranyaz and Turker Ince and Osama Abdeljaber and Onur Avci and Moncef Gabbouj}, title = {1-D Convolutional Neural Networks for Signal Processing Applications}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {8360--8364}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8682194}, doi = {10.1109/ICASSP.2019.8682194}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KiranyazIAAG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/OuyangYZC19, author = {Zhiheng Ouyang and Hongjiang Yu and Wei{-}Ping Zhu and Beno{\^{\i}}t Champagne}, title = {A Fully Convolutional Neural Network for Complex Spectrogram Processing in Speech Enhancement}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2019, Brighton, United Kingdom, May 12-17, 2019}, pages = {5756--5760}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICASSP.2019.8683423}, doi = {10.1109/ICASSP.2019.8683423}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/OuyangYZC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-berlin/FuchikamiI19, author = {Ryuji Fuchikami and Fumio Issiki}, title = {Fast and Light-weight Binarized Neural Network Implemented in an {FPGA} using LUT-based Signal Processing and its Time-domain Extension for Multi-bit Processing}, booktitle = {9th {IEEE} International Conference on Consumer Electronics, ICCE-Berlin 2019, Berlin, Germany, September 8-11, 2019}, pages = {120--121}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-Berlin47944.2019.8966187}, doi = {10.1109/ICCE-BERLIN47944.2019.8966187}, timestamp = {Tue, 04 Feb 2020 16:55:06 +0100}, biburl = {https://dblp.org/rec/conf/icce-berlin/FuchikamiI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icfnds/BilalH19, author = {Ahmad Bilal and Majid Hussain}, title = {{LRAP:} {A} Learned Reflex Action Embedded Associative Context Learning based Processing Efficient Paradigm in Visual Sensor Networks}, booktitle = {Proceedings of the 3rd International Conference on Future Networks and Distributed Systems, {ICFNDS} 2019, Paris, France, July 01-02, 2019}, pages = {42:1--42:9}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3341325.3342025}, doi = {10.1145/3341325.3342025}, timestamp = {Thu, 19 Sep 2019 12:07:43 +0200}, biburl = {https://dblp.org/rec/conf/icfnds/BilalH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcis/BastiaansenBKIW19, author = {Harrie Bastiaansen and Casper van den Broek and Thomas Kudla and Anthony W. Isenor and Sean Webb and Niranjan Suri and Andrea Masini and Caglar Bilir and Mustafa Cocelli}, title = {Adaptive Information Processing and Distribution to Support Command and Control in Situations of Disadvantaged Battlefield Network Connectivity}, booktitle = {International Conference on Military Communications and Information Systems, {ICMCIS} 2019, Budva, Montenegro, May 14-15, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMCIS.2019.8842794}, doi = {10.1109/ICMCIS.2019.8842794}, timestamp = {Sat, 27 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcis/BastiaansenBKIW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icons2/BouhadjarDWWT19, author = {Younes Bouhadjar and Markus Diesmann and Rainer Waser and Dirk J. Wouters and Tom Tetzlaff}, editor = {Thomas E. Potok and Catherine D. Schuman}, title = {Constraints on sequence processing speed in biological neuronal networks}, booktitle = {Proceedings of the International Conference on Neuromorphic Systems, {ICONS} 2019, Knoxville, Tennessee, USA, July 23-25, 2019}, pages = {16:1--16:9}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3354265.3354281}, doi = {10.1145/3354265.3354281}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icons2/BouhadjarDWWT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/BoguslavskyLSD19, author = {Andrey A. Boguslavsky and Alexey Latsis and Sergey M. Sokolov and Alexander Derzhanovskiy}, title = {Visual Data Processing in the Onboard Network of the Heterogeneous Computing Units}, booktitle = {21st International Conference on Transparent Optical Networks, {ICTON} 2019, Angers, France, July 9-13, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTON.2019.8840005}, doi = {10.1109/ICTON.2019.8840005}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icton/BoguslavskyLSD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifipiot/OlneyMK19, author = {Brooks Olney and Shakil Mahmud and Robert Karam}, editor = {Augusto Casaca and Srinivas Katkoori and Sandip Ray and Leon Strous}, title = {Evaluating Edge Processing Requirements in Next Generation IoT Network Architectures}, booktitle = {Internet of Things. {A} Confluence of Many Disciplines - Second {IFIP} International Cross-Domain Conference, IFIPIoT 2019, Tampa, FL, USA, October 31 - November 1, 2019, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {574}, pages = {252--269}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-43605-6\_15}, doi = {10.1007/978-3-030-43605-6\_15}, timestamp = {Wed, 18 Mar 2020 12:22:22 +0100}, biburl = {https://dblp.org/rec/conf/ifipiot/OlneyMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/SiddiqueWSGHF19, author = {Muhammad Adnan Siddique and Karina Wilgan and Tazio Strozzi and Alain Geiger and Irena Hajnsek and Othmar Frey}, title = {A Comparison of Tropospheric Path Delays Estimated in {PSI} Processing Against Delays Derived from a {GNSS} Network in the Swiss Alps}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {342--345}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8899799}, doi = {10.1109/IGARSS.2019.8899799}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/SiddiqueWSGHF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ShiE19, author = {Zai Shi and Atilla Eryilmaz}, title = {A Flexible Distributed Optimization Framework for Service of Concurrent Tasks in Processing Networks}, booktitle = {2019 {IEEE} Conference on Computer Communications, {INFOCOM} 2019, Paris, France, April 29 - May 2, 2019}, pages = {1072--1080}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/INFOCOM.2019.8737632}, doi = {10.1109/INFOCOM.2019.8737632}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ShiE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intellisys/Al-MandhariGE19, author = {Intisar Al{-}Mandhari and Lin Guan and Eran A. Edirisinghe}, editor = {Yaxin Bi and Rahul Bhatia and Supriya Kapoor}, title = {Impact of the Structure of Data Pre-processing Pipelines on the Performance of Classifiers When Applied to Imbalanced Network Intrusion Detection System Dataset}, booktitle = {Intelligent Systems and Applications - Proceedings of the 2019 Intelligent Systems Conference, IntelliSys 2019, London, UK, September 5-6, 2019, Volume 1}, series = {Advances in Intelligent Systems and Computing}, volume = {1037}, pages = {577--589}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-29516-5\_45}, doi = {10.1007/978-3-030-29516-5\_45}, timestamp = {Sun, 17 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/intellisys/Al-MandhariGE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Peng0Y19, author = {Xiaochen Peng and Rui Liu and Shimeng Yu}, title = {Optimizing Weight Mapping and Data Flow for Convolutional Neural Networks on {RRAM} Based Processing-In-Memory Architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702715}, doi = {10.1109/ISCAS.2019.8702715}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/Peng0Y19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SudarshanLGRW0W19, author = {Chirag Sudarshan and Jan Lappas and Muhammad Mohsin Ghaffar and Vladimir Rybalkin and Christian Weis and Matthias Jung and Norbert Wehn}, title = {An In-DRAM Neural Network Processing Engine}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2019, Sapporo, Japan, May 26-29, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISCAS.2019.8702458}, doi = {10.1109/ISCAS.2019.8702458}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SudarshanLGRW0W19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimCYKK19, author = {Hyunjoon Kim and Qian Chen and Taegeun Yoo and Tony Tae{-}Hyoung Kim and Bongjin Kim}, title = {A Bit-Precision Reconfigurable Digital In-Memory Computing Macro for Energy-Efficient Processing of Artificial Neural Networks}, booktitle = {2019 International SoC Design Conference, {ISOCC} 2019, Jeju, Korea (South), October 6-9, 2019}, pages = {166--167}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISOCC47750.2019.9027679}, doi = {10.1109/ISOCC47750.2019.9027679}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/KimCYKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/RoohiAFD19, author = {Arman Roohi and Shaahin Angizi and Deliang Fan and Ronald F. DeMara}, title = {Processing-In-Memory Acceleration of Convolutional Neural Networks for Energy-Effciency, and Power-Intermittency Resilience}, booktitle = {20th International Symposium on Quality Electronic Design, {ISQED} 2019, Santa Clara, CA, USA, March 6-7, 2019}, pages = {8--13}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISQED.2019.8697572}, doi = {10.1109/ISQED.2019.8697572}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isqed/RoohiAFD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/AngiziHRHTLF19, author = {Shaahin Angizi and Zhezhi He and Dayane Alfenas Reis and Xiaobo Sharon Hu and Wilman Tsai and Shy Jay Lin and Deliang Fan}, title = {Accelerating Deep Neural Networks in Processing-in-Memory Platforms: Analog or Digital Approach?}, booktitle = {2019 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2019, Miami, FL, USA, July 15-17, 2019}, pages = {197--202}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISVLSI.2019.00044}, doi = {10.1109/ISVLSI.2019.00044}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/AngiziHRHTLF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/NieDL019, author = {Wendi Nie and Yaoxin Duan and Victor C. S. Lee and Kai Liu}, title = {Supporting Data Stream Analytical Processing in Vehicular Sensor Networks}, booktitle = {2019 {IEEE} Intelligent Transportation Systems Conference, {ITSC} 2019, Auckland, New Zealand, October 27-30, 2019}, pages = {2127--2134}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITSC.2019.8917225}, doi = {10.1109/ITSC.2019.8917225}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itsc/NieDL019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lm/Jaramillo-Avila19, author = {Uziel Jaramillo{-}Avila and Sean R. Anderson}, editor = {Uriel Martinez{-}Hernandez and Vasiliki Vouloutsi and Anna Mura and Michael Mangan and Minoru Asada and Tony J. Prescott and Paul F. M. J. Verschure}, title = {Foveated Image Processing for Faster Object Detection and Recognition in Embedded Systems Using Deep Convolutional Neural Networks}, booktitle = {Biomimetic and Biohybrid Systems - 8th International Conference, Living Machines 2019, Nara, Japan, July 9-12, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11556}, pages = {193--204}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-24741-6\_17}, doi = {10.1007/978-3-030-24741-6\_17}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lm/Jaramillo-Avila19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lssc/AlexandrovMT19, author = {Alexander Alexandrov and Vladimir V. Monov and Tasho D. Tashev}, editor = {Ivan Lirkov and Svetozar Margenov}, title = {Generalized Nets Model of Data Parallel Processing in Large Scale Wireless Sensor Networks}, booktitle = {Large-Scale Scientific Computing - 12th International Conference, {LSSC} 2019, Sozopol, Bulgaria, June 10-14, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11958}, pages = {475--483}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-41032-2\_54}, doi = {10.1007/978-3-030-41032-2\_54}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lssc/AlexandrovMT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/Zhang0HZ19, author = {Mengxuan Zhang and Lei Li and Wen Hua and Xiaofang Zhou}, title = {Efficient Batch Processing of Shortest Path Queries in Road Networks}, booktitle = {20th {IEEE} International Conference on Mobile Data Management, {MDM} 2019, Hong Kong, SAR, China, June 10-13, 2019}, pages = {100--105}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MDM.2019.00-69}, doi = {10.1109/MDM.2019.00-69}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/Zhang0HZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/SocratesGS19, author = {Vimig Socrates and Arthur L. Gershon and Satya S. Sahoo}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Computation of Brain Functional Connectivity Network Measures in Epilepsy: {A} Web-Based Platform for {EEG} Signal Data Processing and Analysis}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1590--1591}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190549}, doi = {10.3233/SHTI190549}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/SocratesGS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ChenXSHGPRMD19, author = {Antong Chen and Dahai Xue and Tosha Shah and Catherine D. G. Hines and Alexa Gleason and Manishkumar Patel and Barbara Robinson and Britta Mattson and Belma Dogdas}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Localization and labeling of cervical vertebral bones in the micro-CT images of rabbit fetuses using a 3D deep convolutional neural network}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {1094913}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2513108}, doi = {10.1117/12.2513108}, timestamp = {Wed, 17 Apr 2019 09:16:41 +0200}, biburl = {https://dblp.org/rec/conf/miip/ChenXSHGPRMD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/HanLFSDD19, author = {Shuo Han and Soonam Lee and Chichen Fu and Paul Salama and Kenneth W. Dunn and Edward J. Delp}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Nuclei counting in microscopy images with three dimensional generative adversarial networks}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109492Y}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512591}, doi = {10.1117/12.2512591}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/HanLFSDD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/JansenKP19, author = {Mari{\"{e}}lle J. A. Jansen and Hugo J. Kuijf and Josien P. W. Pluim}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Optimal input configuration of dynamic contrast enhanced {MRI} in convolutional neural networks for liver segmentation}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109491V}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2506770}, doi = {10.1117/12.2506770}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/JansenKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LeeHSP19, author = {Min Jin Lee and Helen Hong and Kyu Won Shim and Seongeun Park}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Orbital bone segmentation in head and neck {CT} images using multi-gray level fully convolutional networks}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109493D}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512936}, doi = {10.1117/12.2512936}, timestamp = {Wed, 17 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/LeeHSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LiWJL19, author = {Jian Li and Jessica L. Wisnowski and Anand A. Joshi and Richard M. Leahy}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Brain network identification in asynchronous task fMRI data using robust and scalable tensor decomposition}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109490N}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512684}, doi = {10.1117/12.2512684}, timestamp = {Mon, 08 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/LiWJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/NappiY19, author = {Janne J. N{\"{a}}ppi and Hiroyuki Yoshida}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Cycle-consistent 3D-generative adversarial network for virtual bowel cleansing in {CT} colonography}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109492Z}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512599}, doi = {10.1117/12.2512599}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/NappiY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/Schmidt-Richberg19, author = {Alexander Schmidt{-}Richberg and Nicole Schadewaldt and Tobias Klinder and Matthias Lenga and Robert Trahms and Earl Canfield and David Roundhill and Cristian Lorenz}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Offset regression networks for view plane estimation in 3D fetal ultrasound}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109493K}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512697}, doi = {10.1117/12.2512697}, timestamp = {Wed, 17 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/Schmidt-Richberg19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/Vigueras-Guillen19, author = {Juan Pedro Vigueras{-}Guill{\'{e}}n and Hans G. Lemij and Jeroen van Rooij and Koenraad A. Vermeer and Lucas J. van Vliet}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Automatic detection of the region of interest in corneal endothelium images using dense convolutional neural networks}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {1094931}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512641}, doi = {10.1117/12.2512641}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/Vigueras-Guillen19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/WangCCCYLCLLCCC19, author = {Hao{-}Jen Wang and Leng{-}Rong Chen and Li{-}Wei Chen and Yi{-}Chang Chen and Shun{-}Mao Yang and Mong{-}Wei Lin and Joseph Chang and Chia{-}Chen Li and Chia{-}Yen Lee and Jin{-}Shing Chen and Yeun{-}Chung Chang and Chung{-}Ming Chen}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Discrimination of benign and malignant pulmonary tumors in computed tomography: effective priori information of fast learning network architecture}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109493B}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512846}, doi = {10.1117/12.2512846}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/WangCCCYLCLLCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/YangM19, author = {Yan Yang and Osama Masoud}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Automatic two-chamber segmentation in cardiac {CTA} using 3D fully convolutional neural networks}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109491X}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2507461}, doi = {10.1117/12.2507461}, timestamp = {Wed, 17 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/YangM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ZijlstraWFSWWSS19, author = {Frank Zijlstra and Koen Willemsen and Mateusz C. Florkow and Ralph J. B. Sakkers and Harrie H. Weinans and Bart C. H. van der Wal and Marijn van Stralen and Peter R. Seevinck}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {{CT} synthesis from {MR} images for orthopedic applications in the lower arm using a conditional generative adversarial network}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109491J}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512857}, doi = {10.1117/12.2512857}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/ZijlstraWFSWWSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/FreivaldsOS19, author = {Karlis Freivalds and Emils Ozolins and Agris Sostaks}, editor = {Hanna M. Wallach and Hugo Larochelle and Alina Beygelzimer and Florence d'Alch{\'{e}}{-}Buc and Emily B. Fox and Roman Garnett}, title = {Neural Shuffle-Exchange Networks - Sequence Processing in O(n log n) Time}, booktitle = {Advances in Neural Information Processing Systems 32: Annual Conference on Neural Information Processing Systems 2019, NeurIPS 2019, December 8-14, 2019, Vancouver, BC, Canada}, pages = {6626--6637}, year = {2019}, url = {https://proceedings.neurips.cc/paper/2019/hash/9001ca429212011f4a4fda6c778cc318-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/FreivaldsOS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/ZolfaghariRN19, author = {Hesam Zolfaghari and Davide Rossi and Jari Nurmi}, editor = {Jari Nurmi and Peeter Ellervee and Kari Halonen and Juha R{\"{o}}ning}, title = {An Explicitly Parallel Architecture for Packet Processing in Software Defined Networks}, booktitle = {2019 {IEEE} Nordic Circuits and Systems Conference, {NORCAS} 2019: {NORCHIP} and International Symposium of System-on-Chip (SoC), Helsinki, Finland, October 29-30, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NORCHIP.2019.8906959}, doi = {10.1109/NORCHIP.2019.8906959}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/norchip/ZolfaghariRN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/AndrusSSACFR19, author = {Bogdan{-}Mihai Andrus and Silviu Adrian Sasu and Thomas Szyrkowiec and Achim Autenrieth and Mohit Chamania and Johannes K. Fischer and Stephan Rasp}, title = {Zero-Touch Provisioning of Distributed Video Analytics in a Software-Defined Metro-Haul Network with {P4} Processing}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696944}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/AndrusSSACFR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/r3geo/SciannaG19, author = {Andrea Scianna and Marcello La Guardia}, editor = {Claudio Parente and Salvatore Troisi and Antonio Vettore}, title = {Processing of 3D Models for Networking of {CH} in Geomatics}, booktitle = {{R3} in Geomatics: Research, Results and Review - First International Workshop in memory of Prof. Raffaele Santamaria on {R3} in Geomatics: Research, Results and Review, {R3GEO} 2019, Naples, Italy, October 10-11, 2019, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1246}, pages = {267--281}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-62800-0\_21}, doi = {10.1007/978-3-030-62800-0\_21}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/r3geo/SciannaG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/WilliamC19, author = {Ebram Kamal William and Mun Choon Chan}, title = {InDP: In-Network Data Processing for Wireless Sensor Networks}, booktitle = {16th Annual {IEEE} International Conference on Sensing, Communication, and Networking, {SECON} 2019, Boston, MA, USA, June 10-13, 2019}, pages = {1--9}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SAHCN.2019.8824851}, doi = {10.1109/SAHCN.2019.8824851}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/secon/WilliamC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/KimVJ19, author = {Minsung Kim and Davide Venturelli and Kyle Jamieson}, editor = {Jianping Wu and Wendy Hall}, title = {Leveraging quantum annealing for large {MIMO} processing in centralized radio access networks}, booktitle = {Proceedings of the {ACM} Special Interest Group on Data Communication, {SIGCOMM} 2019, Beijing, China, August 19-23, 2019}, pages = {241--255}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3341302.3342072}, doi = {10.1145/3341302.3342072}, timestamp = {Tue, 30 Nov 2021 14:57:49 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/KimVJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/specom/Scharenborg19, author = {Odette Scharenborg}, editor = {Albert Ali Salah and Alexey Karpov and Rodmonga Potapova}, title = {The Representation of Speech and Its Processing in the Human Brain and Deep Neural Networks}, booktitle = {Speech and Computer - 21st International Conference, {SPECOM} 2019, Istanbul, Turkey, August 20-25, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11658}, pages = {1--8}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-26061-3\_1}, doi = {10.1007/978-3-030-26061-3\_1}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/specom/Scharenborg19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/TangWYHCXKWHLLH19, author = {Kea{-}Tiong Tang and Wei{-}Chen Wei and Zuo{-}Wei Yeh and Tzu{-}Hsiang Hsu and Yen{-}Cheng Chiu and Cheng{-}Xin Xue and Yu{-}Chun Kuo and Tai{-}Hsing Wen and Mon{-}Shu Ho and Chung{-}Chuan Lo and Ren{-}Shuo Liu and Chih{-}Cheng Hsieh and Meng{-}Fan Chang}, title = {Considerations Of Integrating Computing-In-Memory And Processing-In-Sensor Into Convolutional Neural Network Accelerators For Low-Power Edge Devices}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {166}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778074}, doi = {10.23919/VLSIC.2019.8778074}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/TangWYHCXKWHLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/BaccourEMBG19, author = {Emna Baccour and Aiman Erbad and Amr Mohamed and Kashif Bilal and Mohsen Guizani}, title = {Proactive Video Chunks Caching and Processing for Latency and Cost Minimization in Edge Networks}, booktitle = {2019 {IEEE} Wireless Communications and Networking Conference, {WCNC} 2019, Marrakesh, Morocco, April 15-18, 2019}, pages = {1--7}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WCNC.2019.8885906}, doi = {10.1109/WCNC.2019.8885906}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/BaccourEMBG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/ChenW19, author = {Yang Chen and Jie Wu}, title = {Minimizing Transmission and Processing Delay in a NFV-based Network}, booktitle = {20th {IEEE} International Symposium on "A World of Wireless, Mobile and Multimedia Networks", WoWMoM 2019, Washington, DC, USA, June 10-12, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WoWMoM.2019.8793031}, doi = {10.1109/WOWMOM.2019.8793031}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wowmom/ChenW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/19/KaleemTB19, author = {Sarah Kaleem and Muhammad Talha and Muhammad Babar}, editor = {Mian Ahmad Jan and Fazlullah Khan and Muhammad Alam}, title = {Managing and Processing Information in the Internet of Things-Based Smart City Environment Using Big Data Analytics}, booktitle = {Recent Trends and Advances in Wireless and IoT-enabled Networks}, pages = {275--281}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-99966-1\_25}, doi = {10.1007/978-3-319-99966-1\_25}, timestamp = {Thu, 09 Apr 2020 17:23:30 +0200}, biburl = {https://dblp.org/rec/books/sp/19/KaleemTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/isrl/TroussasKV19, author = {Christos Troussas and Akrivi Krouska and Maria Virvou}, editor = {George A. Tsihrintzis and Dionisios N. Sotiropoulos and Lakhmi C. Jain}, title = {Trends on Sentiment Analysis over Social Networks: Pre-processing Ramifications, Stand-Alone Classifiers and Ensemble Averaging}, booktitle = {Machine Learning Paradigms - Advances in Data Analytics}, series = {Intelligent Systems Reference Library}, volume = {149}, pages = {161--186}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-94030-4\_7}, doi = {10.1007/978-3-319-94030-4\_7}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/isrl/TroussasKV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/lncs/BrdarNGGTBBP19, author = {Sanja Brdar and Olivera Novovic and Nastasija Grujic and Horacio Gonz{\'{a}}lez{-}V{\'{e}}lez and Ciprian{-}Octavian Truica and Siegfried Benkner and Enes Bajrovic and Apostolos Papadopoulos}, editor = {Joanna Kolodziej and Horacio Gonz{\'{a}}lez{-}V{\'{e}}lez}, title = {Big Data Processing, Analysis and Applications in Mobile Cellular Networks}, booktitle = {High-Performance Modelling and Simulation for Big Data Applications - Selected Results of the {COST} Action {IC1406} cHiPSet}, series = {Lecture Notes in Computer Science}, volume = {11400}, pages = {163--185}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-16272-6\_6}, doi = {10.1007/978-3-030-16272-6\_6}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/lncs/BrdarNGGTBBP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/DroghiniFPSP19, author = {Diego Droghini and Daniele Ferretti and Emanuele Principi and Stefano Squartini and Francesco Piazza}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {An End-To-End Unsupervised Approach Employing Convolutional Neural Network Autoencoders for Human Fall Detection}, booktitle = {Quantifying and Processing Biomedical and Behavioral Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {103}, pages = {185--196}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-95095-2\_18}, doi = {10.1007/978-3-319-95095-2\_18}, timestamp = {Sat, 09 Apr 2022 12:19:58 +0200}, biburl = {https://dblp.org/rec/series/sist/DroghiniFPSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/InusoFMDM19, author = {Giuseppina Inuso and Fabio La Foresta and Nadia Mammone and Serena Dattola and Francesco Carlo Morabito}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {Evolution Characterization of Alzheimer's Disease Using eLORETA's Three-Dimensional Distribution of the Current Density and Small-World Network}, booktitle = {Quantifying and Processing Biomedical and Behavioral Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {103}, pages = {155--162}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-95095-2\_15}, doi = {10.1007/978-3-319-95095-2\_15}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sist/InusoFMDM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/MammoneIDKM19, author = {Nadia Mammone and Cosimo Ieracitano and Jonas Duun{-}Henriksen and Troels Wesenberg Kjaer and Francesco Carlo Morabito}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {Coherence-Based Complex Network Analysis of Absence Seizure {EEG} Signals}, booktitle = {Quantifying and Processing Biomedical and Behavioral Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {103}, pages = {143--153}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-95095-2\_14}, doi = {10.1007/978-3-319-95095-2\_14}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/sist/MammoneIDKM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/MondaSMMDGIM19, author = {Vincenzo Monda and Raffaele Sperandeo and Nelson Mauro Maldonato and Enrico Moretto and Silvia Dell'Orco and Elena Gigante and Gennaro Iorio and Giovanni Messina}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {Oressinergic System: Network Between Sympathetic System and Exercise}, booktitle = {Quantifying and Processing Biomedical and Behavioral Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {103}, pages = {97--107}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-95095-2\_9}, doi = {10.1007/978-3-319-95095-2\_9}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sist/MondaSMMDGIM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sist/PostorinoS19, author = {Maria Nadia Postorino and Giuseppe M. L. Sarn{\`{e}}}, editor = {Anna Esposito and Marcos Fa{\'{u}}ndez{-}Zanuy and Francesco Carlo Morabito and Eros Pasero}, title = {A Neural Network to Identify Driving Habits and Compute Car-Sharing Users' Reputation}, booktitle = {Quantifying and Processing Biomedical and Behavioral Signals}, series = {Smart Innovation, Systems and Technologies}, volume = {103}, pages = {207--216}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-319-95095-2\_20}, doi = {10.1007/978-3-319-95095-2\_20}, timestamp = {Wed, 23 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/series/sist/PostorinoS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icann/2019-3, editor = {Igor V. Tetko and Vera Kurkov{\'{a}} and Pavel Karpov and Fabian J. Theis}, title = {Artificial Neural Networks and Machine Learning - {ICANN} 2019: Image Processing - 28th International Conference on Artificial Neural Networks, Munich, Germany, September 17-19, 2019, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11729}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-30508-6}, doi = {10.1007/978-3-030-30508-6}, isbn = {978-3-030-30507-9}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icann/2019-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2019, editor = {M. Rasit Eskicioglu and Luca Mottola and Bodhi Priyantha}, title = {Proceedings of the 18th International Conference on Information Processing in Sensor Networks, {IPSN} 2019, Montreal, QC, Canada, April 16-18, 2019}, publisher = {{ACM}}, year = {2019}, url = {https://dl.acm.org/citation.cfm?id=3302506}, isbn = {978-1-4503-6284-9}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/Lin19, author = {Albert Lin}, title = {Physics-prior Bayesian neural networks in semiconductor processing}, publisher = {{IEEE} DataPort}, year = {2019}, month = sep, howpublished = {\url{https://doi.org/10.21227/6mgc-1y60}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/6mgc-1y60}, doi = {10.21227/6MGC-1Y60}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/Lin19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-02585, author = {Douglas Comer and Adib Rastegarnia}, title = {Externalization of Packet Processing in Software Defined Networking}, journal = {CoRR}, volume = {abs/1901.02585}, year = {2019}, url = {http://arxiv.org/abs/1901.02585}, eprinttype = {arXiv}, eprint = {1901.02585}, timestamp = {Fri, 01 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-02585.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-02449, author = {Byung Hyun Lee and Se Young Chun}, title = {Speeding up scaled gradient projection methods using deep neural networks for inverse problems in image processing}, journal = {CoRR}, volume = {abs/1902.02449}, year = {2019}, url = {http://arxiv.org/abs/1902.02449}, eprinttype = {arXiv}, eprint = {1902.02449}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-02449.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-11916, author = {Yang Liu}, title = {Intelligent Processing in Vehicular Ad hoc Networks: a Survey}, journal = {CoRR}, volume = {abs/1903.11916}, year = {2019}, url = {http://arxiv.org/abs/1903.11916}, eprinttype = {arXiv}, eprint = {1903.11916}, timestamp = {Tue, 02 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-11916.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-07864, author = {Arman Roohi and Shaahin Angizi and Deliang Fan and Ronald F. DeMara}, title = {Processing-In-Memory Acceleration of Convolutional Neural Networks for Energy-Efficiency, and Power-Intermittency Resilience}, journal = {CoRR}, volume = {abs/1904.07864}, year = {2019}, url = {http://arxiv.org/abs/1904.07864}, eprinttype = {arXiv}, eprint = {1904.07864}, timestamp = {Fri, 26 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-07864.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-01663, author = {Shuo Wan and Jiaxun Lu and Pingyi Fan and Khaled Ben Letaief}, title = {Towards Big data processing in IoT: network management for online edge data processing}, journal = {CoRR}, volume = {abs/1905.01663}, year = {2019}, url = {http://arxiv.org/abs/1905.01663}, eprinttype = {arXiv}, eprint = {1905.01663}, timestamp = {Mon, 27 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-01663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-09983, author = {Daniel Tandler and Sebastian D{\"{o}}rner and Sebastian Cammerer and Stephan ten Brink}, title = {On Recurrent Neural Networks for Sequence-based Processing in Communications}, journal = {CoRR}, volume = {abs/1905.09983}, year = {2019}, url = {http://arxiv.org/abs/1905.09983}, eprinttype = {arXiv}, eprint = {1905.09983}, timestamp = {Wed, 29 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-09983.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-07897, author = {Karlis Freivalds and Emils Ozolins and Agris Sostaks}, title = {Neural Shuffle-Exchange Networks - Sequence Processing in O(n log n) Time}, journal = {CoRR}, volume = {abs/1907.07897}, year = {2019}, url = {http://arxiv.org/abs/1907.07897}, eprinttype = {arXiv}, eprint = {1907.07897}, timestamp = {Tue, 23 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-07897.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-09544, author = {Osama Zwaid Alsulami and Amal A. Alahmadi and Sarah O. M. Saeed and Sanaa Hamid Mohamed and Taisir E. H. El{-}Gorashi and Mohammed T. Alresheedi and Jaafar M. H. Elmirghani}, title = {Networking and processing in optical wireless}, journal = {CoRR}, volume = {abs/1907.09544}, year = {2019}, url = {http://arxiv.org/abs/1907.09544}, eprinttype = {arXiv}, eprint = {1907.09544}, timestamp = {Tue, 30 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-09544.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-07820, author = {Jianquan Li and Xiaokang Liu and Wenpeng Yin and Min Yang and Liqun Ma}, title = {An Empirical Evaluation of Multi-task Learning in Deep Neural Networks for Natural Language Processing}, journal = {CoRR}, volume = {abs/1908.07820}, year = {2019}, url = {http://arxiv.org/abs/1908.07820}, eprinttype = {arXiv}, eprint = {1908.07820}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-07820.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-09000, author = {Uziel Jaramillo{-}Avila and Sean R. Anderson}, title = {Foveated image processing for faster object detection and recognition in embedded systems using deep convolutional neural networks}, journal = {CoRR}, volume = {abs/1908.09000}, year = {2019}, url = {http://arxiv.org/abs/1908.09000}, eprinttype = {arXiv}, eprint = {1908.09000}, timestamp = {Thu, 29 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-09000.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-01868, author = {Ashutosh Tiwari and Avadh Bihari Narayan and Onkar Dikshit}, title = {Deep learning networks for selection of persistent scatterer pixels in multi-temporal {SAR} interferometric processing}, journal = {CoRR}, volume = {abs/1909.01868}, year = {2019}, url = {http://arxiv.org/abs/1909.01868}, eprinttype = {arXiv}, eprint = {1909.01868}, timestamp = {Thu, 20 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-01868.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1909-02549, author = {Daniel J. Saunders and Cooper Sigrist and Kenneth Chaney and Robert Kozma and Hava T. Siegelmann}, title = {Minibatch Processing in Spiking Neural Networks}, journal = {CoRR}, volume = {abs/1909.02549}, year = {2019}, url = {http://arxiv.org/abs/1909.02549}, eprinttype = {arXiv}, eprint = {1909.02549}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1909-02549.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-05859, author = {Luca Ballotta and Luca Schenato and Luca Carlone}, title = {Optimal Computation-Communication Trade-offs in Processing Networks}, journal = {CoRR}, volume = {abs/1911.05859}, year = {2019}, url = {http://arxiv.org/abs/1911.05859}, eprinttype = {arXiv}, eprint = {1911.05859}, timestamp = {Mon, 09 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-05859.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-07190, author = {Ryosuke Furuta and Naoto Inoue and Toshihiko Yamasaki}, title = {PixelRL: Fully Convolutional Network with Reinforcement Learning for Image Processing}, journal = {CoRR}, volume = {abs/1912.07190}, year = {2019}, url = {http://arxiv.org/abs/1912.07190}, eprinttype = {arXiv}, eprint = {1912.07190}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-07190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-11604, author = {Weiyao Lin and Xiaoyi He and Xintong Han and Dong Liu and John See and Junni Zou and Hongkai Xiong and Feng Wu}, title = {Partition-Aware Adaptive Switching Neural Networks for Post-Processing in {HEVC}}, journal = {CoRR}, volume = {abs/1912.11604}, year = {2019}, url = {http://arxiv.org/abs/1912.11604}, eprinttype = {arXiv}, eprint = {1912.11604}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-11604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-12167, author = {Tien{-}Ju Yang and Vivienne Sze}, title = {Design Considerations for Efficient Deep Neural Networks on Processing-in-Memory Accelerators}, journal = {CoRR}, volume = {abs/1912.12167}, year = {2019}, url = {http://arxiv.org/abs/1912.12167}, eprinttype = {arXiv}, eprint = {1912.12167}, timestamp = {Fri, 03 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-12167.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/au/Sohail18, author = {Ammar Sohail}, title = {Query Processing in Location-Based Social Networks}, school = {Monash University, Australia}, year = {2018}, url = {https://doi.org/10.26180/5bf2a857b72cd}, doi = {10.26180/5BF2A857B72CD}, timestamp = {Tue, 28 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/au/Sohail18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Leonard18, author = {Mark R. Leonard}, title = {Robust Signal Processing in Distributed Sensor Networks}, school = {{TU} Darmstadt, Germany}, year = {2018}, url = {http://tuprints.ulb.tu-darmstadt.de/8489/}, timestamp = {Wed, 13 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Leonard18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/de/Martiniuc18, author = {Aurel Vasile Martiniuc}, title = {Computational Modelling and Information Processing within Neural Networks (Computational Modelling und Informationsverarbeitung in neuronalen Netzen)}, school = {Technical University of Munich, Germany}, year = {2018}, url = {https://mediatum.ub.tum.de/1225097}, urn = {urn:nbn:de:bvb:91-diss-20180329-1225097-1-4}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/de/Martiniuc18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Harnack18, author = {Daniel Harnack}, title = {Effective influences in neuronal networks: attentional modulation of effective influences underlying flexible processing and how to measure them}, school = {University of Bremen, Germany}, year = {2018}, url = {http://elib.suub.uni-bremen.de/edocs/00106526-1.pdf}, urn = {urn:nbn:de:gbv:46-00106526-14}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Harnack18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Nguyen18b, author = {The An Binh Nguyen}, title = {Quality-aware Tasking in Mobile Opportunistic Networks - Distributed Information Retrieval and Processing utilizing Opportunistic Heterogeneous Resources}, school = {Darmstadt University of Technology, Germany}, year = {2018}, url = {http://tuprints.ulb.tu-darmstadt.de/7748/}, urn = {urn:nbn:de:tuda-tuprints-77486}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Nguyen18b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Hull18, author = {Craig Hull}, title = {A cloud-based architecture for distributed processing in networked games}, school = {Ulster University, Coleraine, {UK}}, year = {2018}, url = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.793640}, timestamp = {Tue, 05 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Hull18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/gr/Alam18, author = {Md. Fasiul Alam}, title = {In-network processing based hardware acceleration for situational awareness}, school = {National and Kapodistrian University of Athens, Greece}, year = {2018}, url = {http://hdl.handle.net/10442/hedi/44547}, timestamp = {Thu, 04 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/gr/Alam18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/jp/Farhady18, author = {Hamid Farhady}, title = {Exploring Benefits of Deep Dataplane Programmability Through In-Network Processing Use Cases}, school = {University of Tokyo, Japan}, year = {2018}, url = {https://ci.nii.ac.jp/naid/500001473298}, doi = {10.15083/0002001477}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/jp/Farhady18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MahmoodAA18, author = {Ali M. Mahmood and Adil Al{-}Yasiri and Omar Y. K. Alani}, title = {A New Processing Approach for Reducing Computational Complexity in Cloud-RAN Mobile Networks}, journal = {{IEEE} Access}, volume = {6}, pages = {6927--6946}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2017.2782763}, doi = {10.1109/ACCESS.2017.2782763}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MahmoodAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/AvucluB18, author = {Emre Avu{\c{c}}lu and Fatih Bas{\c{c}}ift{\c{c}}i}, title = {New approaches to determine age and gender in image processing techniques using multilayer perceptron neural network}, journal = {Appl. Soft Comput.}, volume = {70}, pages = {157--168}, year = {2018}, url = {https://doi.org/10.1016/j.asoc.2018.05.033}, doi = {10.1016/J.ASOC.2018.05.033}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/AvucluB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bdcc/RayJTSB18, author = {Jeffrey Ray and Olayinka Johnny and Marcello Trovati and Stelios Sotiriadis and Nik Bessis}, title = {The Rise of Big Data Science: {A} Survey of Techniques, Methods and Approaches in the Field of Natural Language Processing and Network Theory}, journal = {Big Data Cogn. Comput.}, volume = {2}, number = {3}, pages = {22}, year = {2018}, url = {https://doi.org/10.3390/bdcc2030022}, doi = {10.3390/BDCC2030022}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bdcc/RayJTSB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/VeereshaS18, author = {M. Veeresha and M. Sugumaran}, title = {Hybrid spatial air index for processing queries in road networks}, journal = {Clust. Comput.}, volume = {21}, number = {1}, pages = {149--161}, year = {2018}, url = {https://doi.org/10.1007/s10586-017-0975-4}, doi = {10.1007/S10586-017-0975-4}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/VeereshaS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/Jin0TSOG18, author = {Ran Jin and Gang Chen and Anthony K. H. Tung and Lidan Shou and Beng Chin Ooi and Yuting Gu}, title = {{DIM:} a distributed air index based on MapReduce for spatial query processing in road networks}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2018}, pages = {280}, year = {2018}, url = {https://doi.org/10.1186/s13638-018-1287-y}, doi = {10.1186/S13638-018-1287-Y}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/Jin0TSOG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/GaugazKK18, author = {Fran{\c{c}}ois Gaugaz and Fran{\c{c}}ois Krummenacher and Maher Kayal}, title = {High-speed analogue sampled-data signal processing for real-time fault location in electrical power networks}, journal = {{IET} Circuits Devices Syst.}, volume = {12}, number = {5}, pages = {624--629}, year = {2018}, url = {https://doi.org/10.1049/iet-cds.2017.0212}, doi = {10.1049/IET-CDS.2017.0212}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/GaugazKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/UhlLCDK18, author = {Johannes H. Uhl and Stefan Leyk and Yao{-}Yi Chiang and Weiwei Duan and Craig A. Knoblock}, title = {Spatialising uncertainty in image segmentation using weakly supervised convolutional neural networks: a case study from historical map processing}, journal = {{IET} Image Process.}, volume = {12}, number = {11}, pages = {2084--2091}, year = {2018}, url = {https://doi.org/10.1049/iet-ipr.2018.5484}, doi = {10.1049/IET-IPR.2018.5484}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/UhlLCDK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KaugerandEMP18, author = {Jaanus Kaugerand and Johannes Ehala and Leo Motus and J{\"{u}}rgo{-}S{\"{o}}ren Preden}, title = {Time-selective data fusion for in-network processing in ad hoc wireless sensor networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {14}, number = {11}, year = {2018}, url = {https://doi.org/10.1177/1550147718811302}, doi = {10.1177/1550147718811302}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/KaugerandEMP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijoe/LiuLJ18, author = {Yong Liu and Baohua Liang and Jiabao Jiang}, title = {Information Processing and Data Management Technology in Wireless Sensor Networks}, journal = {Int. J. Online Eng.}, volume = {14}, number = {9}, pages = {66--81}, year = {2018}, url = {https://www.online-journals.org/index.php/i-joe/article/view/8270}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijoe/LiuLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/SinghK18, author = {Vishal Krishna Singh and Manish Kumar}, title = {In-network data processing in wireless sensor networks using compressed sensing}, journal = {Int. J. Sens. Networks}, volume = {26}, number = {3}, pages = {174--189}, year = {2018}, url = {https://doi.org/10.1504/IJSNET.2016.10001449}, doi = {10.1504/IJSNET.2016.10001449}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsnet/SinghK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijspm/LamamraAA18, author = {Kheireddine Lamamra and Abdelkrim Allam and M'hammed Afiane}, title = {Artificial neural networks for acquisition and processing of sensors data in a radiotherapy application}, journal = {Int. J. Simul. Process. Model.}, volume = {13}, number = {1}, pages = {15--23}, year = {2018}, url = {https://doi.org/10.1504/IJSPM.2018.10011346}, doi = {10.1504/IJSPM.2018.10011346}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijspm/LamamraAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijst/LecouteuxVP18, author = {Benjamin Lecouteux and Michel Vacher and Fran{\c{c}}ois Portet}, title = {Distant speech processing for smart home: comparison of {ASR} approaches in scattered microphone network for voice command}, journal = {Int. J. Speech Technol.}, volume = {21}, number = {3}, pages = {601--618}, year = {2018}, url = {https://doi.org/10.1007/s10772-018-9520-y}, doi = {10.1007/S10772-018-9520-Y}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijst/LecouteuxVP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/internet/SunZF18, author = {Yue Sun and Ziming Zhu and Zhong Fan}, title = {Distributed Caching in Wireless Cellular Networks Incorporating Parallel Processing}, journal = {{IEEE} Internet Comput.}, volume = {22}, number = {1}, pages = {52--61}, year = {2018}, url = {https://doi.org/10.1109/MIC.2018.112101645}, doi = {10.1109/MIC.2018.112101645}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/internet/SunZF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiLLY18, author = {Yanhong Li and Guohui Li and Jianjun Li and Kai Yao}, title = {{SKQAI:} {A} novel air index for spatial keyword query processing in road networks}, journal = {Inf. Sci.}, volume = {430}, pages = {17--38}, year = {2018}, url = {https://doi.org/10.1016/j.ins.2017.11.029}, doi = {10.1016/J.INS.2017.11.029}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/LiLLY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LiuZHTGM18, author = {Chaobin Liu and Shuigeng Zhou and Haibo Hu and Yuzhe Richard Tang and Jihong Guan and Yao Ma}, title = {{CPP:} Towards comprehensive privacy preserving for query processing in information networks}, journal = {Inf. Sci.}, volume = {467}, pages = {296--311}, year = {2018}, url = {https://doi.org/10.1016/j.ins.2018.08.010}, doi = {10.1016/J.INS.2018.08.010}, timestamp = {Mon, 02 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LiuZHTGM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/MiyamotoSKTO18, author = {Kenji Miyamoto and Naotaka Shibata and Shigeru Kuwano and Jun Terada and Akihiro Otaka}, title = {Wireless performance and mobile fronthaul bandwidth of uplink joint reception with {LLR} combining in split-PHY processing}, journal = {J. Commun. Networks}, volume = {20}, number = {6}, pages = {536--545}, year = {2018}, url = {https://doi.org/10.1109/JCN.2018.000086}, doi = {10.1109/JCN.2018.000086}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/MiyamotoSKTO18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jece/ZhouSC18, author = {Liming Zhou and Yingzi Shan and Lu Chen}, title = {Secure and Efficient Cluster-Based Range Query Processing in Wireless Sensor Networks}, journal = {J. Electr. Comput. Eng.}, volume = {2018}, pages = {9140937:1--9140937:8}, year = {2018}, url = {https://doi.org/10.1155/2018/9140937}, doi = {10.1155/2018/9140937}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jece/ZhouSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/UllahAK18, author = {Rehmat Ullah and Muhammad Khalil Afzal and Byung{-}Seo Kim}, title = {A novel parallel processing mechanism for data transmission in wireless content-centric networking}, journal = {J. Intell. Fuzzy Syst.}, volume = {35}, number = {6}, pages = {5815--5825}, year = {2018}, url = {https://doi.org/10.3233/JIFS-169824}, doi = {10.3233/JIFS-169824}, timestamp = {Tue, 14 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/UllahAK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/Cho18, author = {Hyung{-}Ju Cho}, title = {Efficient Shared Execution Processing of \emph{k}-Nearest Neighbor Joins in Road Networks}, journal = {Mob. Inf. Syst.}, volume = {2018}, pages = {1243289:1--1243289:17}, year = {2018}, url = {https://doi.org/10.1155/2018/1243289}, doi = {10.1155/2018/1243289}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/Cho18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/KimMH18, author = {Ki{-}Wook Kim and Sung{-}Gi Min and Youn{-}Hee Han}, title = {In-Network Data Processing in Software-Defined IoT with a Programmable Data Plane}, journal = {Mob. Inf. Syst.}, volume = {2018}, pages = {8618267:1--8618267:9}, year = {2018}, url = {https://doi.org/10.1155/2018/8618267}, doi = {10.1155/2018/8618267}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/KimMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/LiLZCZ18, author = {Yanhong Li and Changyin Luo and Rongbo Zhu and Yuanfang Chen and Huacheng Zeng}, title = {Efficient Spatial Keyword Query Processing in the Internet of Industrial Vehicles}, journal = {Mob. Networks Appl.}, volume = {23}, number = {4}, pages = {864--878}, year = {2018}, url = {https://doi.org/10.1007/s11036-017-0877-y}, doi = {10.1007/S11036-017-0877-Y}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/LiLZCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HamalainenMSLKL18, author = {Sini H{\"{a}}m{\"{a}}l{\"{a}}inen and Niko M{\"{a}}kel{\"{a}} and Viljami Sairanen and Minna Lehtonen and Teija Kujala and Alina Leminen}, title = {{TMS} uncovers details about sub-regional language-specific processing networks in early bilinguals}, journal = {NeuroImage}, volume = {171}, pages = {209--221}, year = {2018}, url = {https://doi.org/10.1016/j.neuroimage.2017.12.086}, doi = {10.1016/J.NEUROIMAGE.2017.12.086}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuroimage/HamalainenMSLKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WuWM18, author = {Weiwei Wu and Jicang Wu and Guojie Meng}, title = {A Study of Rank Defect and Network Effect in Processing the {CMONOC} Network on Bernese}, journal = {Remote. Sens.}, volume = {10}, number = {3}, pages = {357}, year = {2018}, url = {https://doi.org/10.3390/rs10030357}, doi = {10.3390/RS10030357}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WuWM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ZhuCSLH18, author = {Hongliang Zhu and Meiqi Chen and Maohua Sun and Xin Liao and Lei Hu}, title = {Outsourcing Set Intersection Computation Based on Bloom Filter for Privacy Preservation in Multimedia Processing}, journal = {Secur. Commun. Networks}, volume = {2018}, pages = {5841967:1--5841967:12}, year = {2018}, url = {https://doi.org/10.1155/2018/5841967}, doi = {10.1155/2018/5841967}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ZhuCSLH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungLK18, author = {Jongtack Jung and Woonghee Lee and Hwangnam Kim}, title = {Cooperative Computing System for Heavy-Computation and Low-Latency Processing in Wireless Sensor Networks}, journal = {Sensors}, volume = {18}, number = {6}, pages = {1686}, year = {2018}, url = {https://doi.org/10.3390/s18061686}, doi = {10.3390/S18061686}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/XiaoA18, author = {Fuyuan Xiao and Masayoshi Aritsugi}, title = {An Adaptive Parallel Processing Strategy for Complex Event Processing Systems over Data Streams in Wireless Sensor Networks}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3732}, year = {2018}, url = {https://doi.org/10.3390/s18113732}, doi = {10.3390/S18113732}, timestamp = {Thu, 07 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/XiaoA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spm/YuJ18, author = {Wei Yu and Joakim Jald{\'{e}}n}, title = {Perspectives in Signal Processing for Communications and Networking: Spotlight on the {IEEE} Signal Processing Society Technical Committees [In the Spotlight]}, journal = {{IEEE} Signal Process. Mag.}, volume = {35}, number = {5}, pages = {188--183}, year = {2018}, url = {https://doi.org/10.1109/MSP.2018.2841413}, doi = {10.1109/MSP.2018.2841413}, timestamp = {Thu, 04 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spm/YuJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsipn/MohammadiCPPC18, author = {Arash Mohammadi and Peng Cheng and Vincenzo Piuri and Konstantinos N. Plataniotis and Patrizio Campisi}, title = {Guest Editorial Distributed Signal Processing for Security and Privacy in Networked Cyber-Physical Systems}, journal = {{IEEE} Trans. Signal Inf. Process. over Networks}, volume = {4}, number = {1}, pages = {1--3}, year = {2018}, url = {https://doi.org/10.1109/TSIPN.2018.2801659}, doi = {10.1109/TSIPN.2018.2801659}, timestamp = {Thu, 18 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsipn/MohammadiCPPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LongNM18, author = {Yun Long and Taesik Na and Saibal Mukhopadhyay}, title = {ReRAM-Based Processing-in-Memory Architecture for Recurrent Neural Network Acceleration}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {26}, number = {12}, pages = {2781--2794}, year = {2018}, url = {https://doi.org/10.1109/TVLSI.2018.2819190}, doi = {10.1109/TVLSI.2018.2819190}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LongNM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/ZhengSHLP18, author = {Zijie Zheng and Lingyang Song and Zhu Han and Geoffrey Ye Li and H. Vincent Poor}, title = {Game Theoretic Approaches to Massive Data Processing in Wireless Networks}, journal = {{IEEE} Wirel. Commun.}, volume = {25}, number = {1}, pages = {98--104}, year = {2018}, url = {https://doi.org/10.1109/MWC.2018.1700175}, doi = {10.1109/MWC.2018.1700175}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/ZhengSHLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/AttiqueCC18, author = {Muhammad Attique and Hyung{-}Ju Cho and Tae{-}Sun Chung}, title = {Efficient Processing of Moving Top-k Spatial Keyword Queries in Directed and Dynamic Road Networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2018}, pages = {7373286:1--7373286:19}, year = {2018}, url = {https://doi.org/10.1155/2018/7373286}, doi = {10.1155/2018/7373286}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/AttiqueCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/AliouaSMSM18, author = {Ahmed Alioua and Sidi{-}Mohammed Senouci and Samira Moussaoui and Hichem Sedjelmaci and Mohamed Ayoub Messous}, title = {Efficient Data Processing in Software-Defined UAV-Assisted Vehicular Networks: {A} Sequential Game Approach}, journal = {Wirel. Pers. Commun.}, volume = {101}, number = {4}, pages = {2255--2286}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5815-1}, doi = {10.1007/S11277-018-5815-1}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/AliouaSMSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/MunirSCC18, author = {Daniyal Munir and Syed Tariq Shah and Kae Won Choi and Min Young Chung}, title = {Information Processing and Wireless Energy Harvesting in Interference-Aware Public Safety Networks}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {3}, pages = {2071--2091}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5896-x}, doi = {10.1007/S11277-018-5896-X}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/MunirSCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ITGspeech/AfifiSUHK18, author = {Haitham Afifi and Joerg Schmalenstroeer and Joerg Ullmann and Reinhold Haeb{-}Umbach and Holger Karl}, title = {{MARVELO} - {A} Framework for Signal Processing in Wireless Acoustic Sensor Networks}, booktitle = {Proceedings of the 13th {ITG} Symposium on Speech Communication, Oldenburg, Germany, October 10-12, 2018}, pages = {1--5}, publisher = {{VDE} / {IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8578045}, timestamp = {Wed, 12 Feb 2020 18:38:37 +0100}, biburl = {https://dblp.org/rec/conf/ITGspeech/AfifiSUHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acssc/LankowG18, author = {Benjamin S. Lankow and Mark S. Goldman}, editor = {Michael B. Matthews}, title = {Competing inhibition-stabilized networks in sensory and memory processing}, booktitle = {52nd Asilomar Conference on Signals, Systems, and Computers, {ACSSC} 2018, Pacific Grove, CA, USA, October 28-31, 2018}, pages = {97--103}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ACSSC.2018.8645209}, doi = {10.1109/ACSSC.2018.8645209}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acssc/LankowG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/algocloud/CharikarNRZ18, author = {Moses Charikar and Yonatan Naamad and Jennifer Rexford and X. Kelvin Zou}, editor = {Yann Disser and Vassilios S. Verykios}, title = {Multi-commodity Flow with In-Network Processing}, booktitle = {Algorithmic Aspects of Cloud Computing - 4th International Symposium, {ALGOCLOUD} 2018, Helsinki, Finland, August 20-21, 2018, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11409}, pages = {73--101}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-19759-9\_6}, doi = {10.1007/978-3-030-19759-9\_6}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/algocloud/CharikarNRZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/RaghebiK18, author = {Zohreh Raghebi and Farnoush Banaei Kashani}, editor = {Naoki Abe and Huan Liu and Calton Pu and Xiaohua Hu and Nesreen K. Ahmed and Mu Qiao and Yang Song and Donald Kossmann and Bing Liu and Kisung Lee and Jiliang Tang and Jingrui He and Jeffrey S. Saltz}, title = {Efficient Processing of Probabilistic Single and Batch Reachability Queries in Large and Evolving Spatiotemporal Contact Networks}, booktitle = {{IEEE} International Conference on Big Data {(IEEE} BigData 2018), Seattle, WA, USA, December 10-13, 2018}, pages = {556--561}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BigData.2018.8622578}, doi = {10.1109/BIGDATA.2018.8622578}, timestamp = {Fri, 19 Nov 2021 16:08:20 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/RaghebiK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinacom/GaoZ18, author = {RenGui Gao and Dong Zhang}, editor = {Xingang Liu and Dai Cheng and Jinfeng Lai}, title = {A Method of Interference Co-processing in Software-Defined Mobile Radio Networks}, booktitle = {Communications and Networking - 13th {EAI} International Conference, ChinaCom 2018, Chengdu, China, October 23-25, 2018, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {262}, pages = {635--644}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-06161-6\_62}, doi = {10.1007/978-3-030-06161-6\_62}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chinacom/GaoZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/AngiziHRF18, author = {Shaahin Angizi and Zhezhi He and Adnan Siraj Rakin and Deliang Fan}, title = {{CMP-PIM:} an energy-efficient comparator-based processing-in-memory neural network accelerator}, booktitle = {Proceedings of the 55th Annual Design Automation Conference, {DAC} 2018, San Francisco, CA, USA, June 24-29, 2018}, pages = {105:1--105:6}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3195970.3196009}, doi = {10.1145/3195970.3196009}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/dac/AngiziHRF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/XiaoNB18, author = {Yao Xiao and Shahin Nazarian and Paul Bogdan}, editor = {Jan Madsen and Ayse K. Coskun}, title = {Prometheus: Processing-in-memory heterogeneous architecture design from a multi-layer network theoretic strategy}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1387--1392}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342229}, doi = {10.23919/DATE.2018.8342229}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/date/XiaoNB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/debs/BilalAC18, author = {Muhammad Bilal and Hassan Alsibyani and Marco Canini}, editor = {Annika Hinze and David M. Eyers and Martin Hirzel and Matthias Weidlich and Sukanya Bhowmik}, title = {Mitigating Network Side Channel Leakage for Stream Processing Systems in Trusted Execution Environments}, booktitle = {Proceedings of the 12th {ACM} International Conference on Distributed and Event-based Systems, {DEBS} 2018, Hamilton, New Zealand, June 25-29, 2018}, pages = {16--27}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3210284.3210286}, doi = {10.1145/3210284.3210286}, timestamp = {Fri, 26 May 2023 07:40:34 +0200}, biburl = {https://dblp.org/rec/conf/debs/BilalAC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/QuWJ18, author = {Shuhui Qu and Jie Wang and J{\"{u}}rgen Jasperneite}, title = {Dynamic scheduling in large-scale stochastic processing networks for demand-driven manufacturing using distributed reinforcement learning}, booktitle = {23rd {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2018, Torino, Italy, September 4-7, 2018}, pages = {433--440}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ETFA.2018.8502508}, doi = {10.1109/ETFA.2018.8502508}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/etfa/QuWJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/Makarenko18, author = {Andrey V. Makarenko}, title = {Deep Convolutional Neural Networks for Chaos Identification in Signal Processing}, booktitle = {26th European Signal Processing Conference, {EUSIPCO} 2018, Roma, Italy, September 3-7, 2018}, pages = {1467--1471}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/EUSIPCO.2018.8553098}, doi = {10.23919/EUSIPCO.2018.8553098}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/Makarenko18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/ZhangBCRD18, author = {Yi Zhang and Federico Barusso and Diarmuid Collins and Marco Ruffini and Luiz A. DaSilva}, title = {Dynamic Allocation of Processing Resources in Cloud-RAN for a Virtualised 5G Mobile Network}, booktitle = {26th European Signal Processing Conference, {EUSIPCO} 2018, Roma, Italy, September 3-7, 2018}, pages = {782--786}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/EUSIPCO.2018.8552959}, doi = {10.23919/EUSIPCO.2018.8552959}, timestamp = {Thu, 06 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eusipco/ZhangBCRD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewsn/NahasL18, author = {Beshr Al Nahas and Olaf Landsiedel}, editor = {Domenico Giustiniano and Dimitrios Koutsonikolas and Albert Banchs and Enzo Mingozzi and Kaushik Roy Chowdhury}, title = {Competition: Aggressive Synchronous Transmissions with In-network Processing for Dependable All-to-All Communication}, booktitle = {Proceedings of the 2018 International Conference on Embedded Wireless Systems and Networks, {EWSN} 2018. Madrid, Spain, February 14-16, 2018}, pages = {209--210}, publisher = {Junction Publishing, Canada/ {ACM}}, year = {2018}, url = {http://dl.acm.org/citation.cfm?id=3234892}, timestamp = {Wed, 11 Jul 2018 09:13:57 +0200}, biburl = {https://dblp.org/rec/conf/ewsn/NahasL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/ChenW018, author = {Fei Chen and Song Wu and Hai Jin}, editor = {Jaideep Vaidya and Jin Li}, title = {Network-Aware Grouping in Distributed Stream Processing Systems}, booktitle = {Algorithms and Architectures for Parallel Processing - 18th International Conference, {ICA3PP} 2018, Guangzhou, China, November 15-17, 2018, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11334}, pages = {3--18}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-05051-1\_1}, doi = {10.1007/978-3-030-05051-1\_1}, timestamp = {Fri, 26 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/ChenW018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WangKYC18, author = {Chih{-}Hang Wang and Jian{-}Jhih Kuo and De{-}Nian Yang and Wen{-}Tsuen Chen}, title = {Green Software-Defined Internet of Things for Big Data Processing in Mobile Edge Networks}, booktitle = {2018 {IEEE} International Conference on Communications, {ICC} 2018, Kansas City, MO, USA, May 20-24, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICC.2018.8422236}, doi = {10.1109/ICC.2018.8422236}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/WangKYC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SimSK18, author = {Jaehyeong Sim and Hoseok Seol and Lee{-}Sup Kim}, editor = {Iris Bahar}, title = {{NID:} processing binary convolutional neural network in commodity {DRAM}}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {10}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240831}, doi = {10.1145/3240765.3240831}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/SimSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccbr/GrobKM18, author = {Manuel Grob and Martin Kappes and Inmaculada Medina{-}Bulo}, editor = {Michael T. Cox and Peter Funk and Shahina Begum}, title = {Combining Case-Based Reasoning with Complex Event Processing for Network Traffic Classification}, booktitle = {Case-Based Reasoning Research and Development - 26th International Conference, {ICCBR} 2018, Stockholm, Sweden, July 9-12, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11156}, pages = {110--123}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01081-2\_8}, doi = {10.1007/978-3-030-01081-2\_8}, timestamp = {Mon, 15 Feb 2021 14:16:47 +0100}, biburl = {https://dblp.org/rec/conf/iccbr/GrobKM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/RakinAHF18, author = {Adnan Siraj Rakin and Shaahin Angizi and Zhezhi He and Deliang Fan}, title = {{PIM-TGAN:} {A} Processing-in-Memory Accelerator for Ternary Generative Adversarial Networks}, booktitle = {36th {IEEE} International Conference on Computer Design, {ICCD} 2018, Orlando, FL, USA, October 7-10, 2018}, pages = {266--273}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCD.2018.00048}, doi = {10.1109/ICCD.2018.00048}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/RakinAHF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnc/GuoLLN18, author = {Hongpeng Guo and King{-}Shan Lui and Tianyuan Liu and Klara Nahrstedt}, title = {Resilient Data Collection Protocol with In-Network Processing for Oil and Gas Refinery Networks}, booktitle = {2018 International Conference on Computing, Networking and Communications, {ICNC} 2018, Maui, HI, USA, March 5-8, 2018}, pages = {231--237}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICCNC.2018.8390328}, doi = {10.1109/ICCNC.2018.8390328}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccnc/GuoLLN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlsc/PeterA18, author = {Ayuba Peter and Sa'adatu Abdulkadir}, editor = {Pham The Bao and Genci Capi}, title = {Application of image processing and neural networks in determining the readiness of maize}, booktitle = {Proceedings of the 2nd International Conference on Machine Learning and Soft Computing, {ICMLSC} 2018, Phu Quoc Island, Vietnam, February 2-4, 2018}, pages = {104--108}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3184066.3184068}, doi = {10.1145/3184066.3184068}, timestamp = {Sun, 07 Apr 2019 18:24:00 +0200}, biburl = {https://dblp.org/rec/conf/icmlsc/PeterA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/JiZLHMW18, author = {Zilong Ji and Xiaolong Zou and Xiao Liu and Tiejun Huang and Yuanyuan Mi and Si Wu}, editor = {Long Cheng and Andrew Chi{-}Sing Leung and Seiichi Ozawa}, title = {Neural Information Processing in Hierarchical Prototypical Networks}, booktitle = {Neural Information Processing - 25th International Conference, {ICONIP} 2018, Siem Reap, Cambodia, December 13-16, 2018, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11303}, pages = {603--611}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04182-3\_53}, doi = {10.1007/978-3-030-04182-3\_53}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/JiZLHMW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/YangC18, author = {Shin{-}Jer Yang and Hsin{-}Chung Chen}, title = {Design Issues of Novel Round Robin Scheduling Scheme to Improve Processing Performance in a 5G MMWave Network}, booktitle = {24th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2018, Singapore, December 11-13, 2018}, pages = {315--321}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PADSW.2018.8645030}, doi = {10.1109/PADSW.2018.8645030}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icpads/YangC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icton/EramoL18, author = {Vincenzo Eramo and Francesco Giacinto Lavacca}, title = {Processing and Bandwidth Resource Allocation in Multi-Provider {NFV} Cloud Infrastructures interconnected by Elastic Optical Networks}, booktitle = {2018 20th International Conference on Transparent Optical Networks (ICTON), Bucharest, Romania, July 1-5, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTON.2018.8473708}, doi = {10.1109/ICTON.2018.8473708}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icton/EramoL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/ShahwaniMSJ18, author = {Hamayoun Shahwani and Bien Aime Mugabarigira and Jitae Shin and Jaehoon Paul Jeong}, title = {An Effective Data Processing and Data Dissemination in Vehicular Networks}, booktitle = {Proceedings of the 12th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2018, Langkawi, Malaysia, January 05-07, 2018}, pages = {92:1--92:4}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3164541.3164573}, doi = {10.1145/3164541.3164573}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/ShahwaniMSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idcs/YuanSW18, author = {Yuan Yuan and Jingtao Sun and Miaohui Wang}, editor = {Yang Xiang and Jingtao Sun and Giancarlo Fortino and Antonio Guerrieri and Jason J. Jung}, title = {Dilated Deep Residual Network for Post-processing in {TPG} Based Image Coding}, booktitle = {Internet and Distributed Computing Systems - 11th International Conference, {IDCS} 2018, Tokyo, Japan, October 11-13, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11226}, pages = {293--297}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-02738-4\_27}, doi = {10.1007/978-3-030-02738-4\_27}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/idcs/YuanSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iisa/LevanoM18, author = {Marcos A. L{\'{e}}vano and Esteban Montandon}, editor = {Nikolaos G. Bourbakis and George A. Tsihrintzis and Maria Virvou}, title = {Support System in the Detection of Lesions of Blackleg of Oilseed Rape, by Means of Image Processing and Artificial Neural Networks: Empirical Case Study Preventive Type for Fungal Alerts}, booktitle = {9th International Conference on Information, Intelligence, Systems and Applications, {IISA} 2018, Zakynthos, Greece, July 23-25, 2018}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IISA.2018.8633678}, doi = {10.1109/IISA.2018.8633678}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iisa/LevanoM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/OyamaH18, author = {Kohei Oyama and Akira Hirose}, title = {Performance of entire-spectrum-processing complex-valued neural-network filter to generate digital elevation model in interferometric radar}, booktitle = {2018 International Joint Conference on Neural Networks, {IJCNN} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IJCNN.2018.8489721}, doi = {10.1109/IJCNN.2018.8489721}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/OyamaH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/RuthGUW18, author = {Jan R{\"{u}}th and Ren{\'{e}} Glebke and Tanja Ulmen and Klaus Wehrle}, title = {Demo abstract: Towards in-network processing for low-latency industrial control}, booktitle = {{IEEE} {INFOCOM} 2018 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} Workshops 2018, Honolulu, HI, USA, April 15-19, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/INFCOMW.2018.8406844}, doi = {10.1109/INFCOMW.2018.8406844}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/RuthGUW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipsn/MohammadC18, author = {Mobashir Mohammad and Mun Choon Chan}, editor = {Luca Mottola and Jie Gao and Pei Zhang}, title = {Codecast: supporting data driven in-network processing for low-power wireless sensor networks}, booktitle = {Proceedings of the 17th {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2018, Porto, Portugal, April 11-13, 2018}, pages = {72--83}, publisher = {{IEEE} / {ACM}}, year = {2018}, url = {https://doi.org/10.1109/IPSN.2018.00014}, doi = {10.1109/IPSN.2018.00014}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/MohammadC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/CunhaCCB0FSGA18, author = {Vitor A. Cunha and M{\'{a}}rcio Barbosa de Carvalho and Daniel Corujo and Jo{\~{a}}o Paulo Barraca and Diogo Gomes and Alberto E. Schaeffer Filho and Carlos Raniery Paula dos Santos and Lisandro Zambenedetti Granville and Rui L. Aguiar}, title = {An SFC-enabled approach for processing {SSL/TLS} encrypted traffic in Future Enterprise Networks}, booktitle = {2018 {IEEE} Symposium on Computers and Communications, {ISCC} 2018, Natal, Brazil, June 25-28, 2018}, pages = {1013--1019}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCC.2018.8538564}, doi = {10.1109/ISCC.2018.8538564}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscc/CunhaCCB0FSGA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lanman/HayamizuNY18, author = {Yusaku Hayamizu and Akira Nagata and Miki Yamamoto}, title = {On-Demand Routing for Chaining Multiple Functions in ICN-Based In-Network Processing}, booktitle = {2018 {IEEE} International Symposium on Local and Metropolitan Area Networks, {LANMAN} 2018, Washington, DC, USA, June 25-27, 2018}, pages = {7--12}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/LANMAN.2018.8475111}, doi = {10.1109/LANMAN.2018.8475111}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/lanman/HayamizuNY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/0001HI18, author = {Yuya Sasaki and Takahiro Hara and Yoshiharu Ishikawa}, title = {Top-k Query Processing with Replication Strategy in Mobile Ad Hoc Networks}, booktitle = {19th {IEEE} International Conference on Mobile Data Management, {MDM} 2018, Aalborg, Denmark, June 25-28, 2018}, pages = {217--226}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MDM.2018.00039}, doi = {10.1109/MDM.2018.00039}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/0001HI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mediaforensics/BayarS18, author = {Belhassen Bayar and Matthew C. Stamm}, editor = {Adnan M. Alattar and Nasir D. Memon and Gaurav Sharma}, title = {Towards Order of Processing Operations Detection in JPEG-compressed Images with Convolutional Neural Networks}, booktitle = {Media Watermarking, Security, and Forensics 2018, Burlingame, CA, USA, 28 January 2018 - 1 February 2018}, publisher = {Society for Imaging Science and Technology}, year = {2018}, url = {https://doi.org/10.2352/ISSN.2470-1173.2018.07.MWSF-211}, doi = {10.2352/ISSN.2470-1173.2018.07.MWSF-211}, timestamp = {Mon, 17 Jul 2023 16:29:17 +0200}, biburl = {https://dblp.org/rec/conf/mediaforensics/BayarS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/LiuZOLZ18, author = {Jiawen Liu and Hengyu Zhao and Matheus A. Ogleari and Dong Li and Jishen Zhao}, title = {Processing-in-Memory for Energy-Efficient Neural Network Training: {A} Heterogeneous Approach}, booktitle = {51st Annual {IEEE/ACM} International Symposium on Microarchitecture, {MICRO} 2018, Fukuoka, Japan, October 20-24, 2018}, pages = {655--668}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/MICRO.2018.00059}, doi = {10.1109/MICRO.2018.00059}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/LiuZOLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/BowlesGHR18, author = {Christopher Bowles and Roger N. Gunn and Alexander Hammers and Daniel Rueckert}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Modelling the progression of Alzheimer's disease in {MRI} using generative adversarial networks}, booktitle = {Medical Imaging 2018: Image Processing, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10574}, pages = {105741K}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2293256}, doi = {10.1117/12.2293256}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/BowlesGHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/GaoZZYZ18, author = {Yufei Gao and Yameng Zhang and Wen Zhou and Li Yao and Jiacai Zhang}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Brain decoding using deep convolutional network and its application in cross-subject analysis}, booktitle = {Medical Imaging 2018: Image Processing, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10574}, pages = {1057423}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2286764}, doi = {10.1117/12.2286764}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/GaoZZYZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/GhafoorianTMLGK18, author = {Mohsen Ghafoorian and Jonas Teuwen and Rashindra Manniesing and Frank{-}Erik de Leeuw and Bram van Ginneken and Nico Karssemeijer and Bram Platel}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Student beats the teacher: deep neural networks for lateral ventricles segmentation in brain {MR}}, booktitle = {Medical Imaging 2018: Image Processing, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10574}, pages = {105742U}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2293569}, doi = {10.1117/12.2293569}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/GhafoorianTMLGK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LessmannGI18, author = {Nikolas Le{\ss}mann and Bram van Ginneken and Ivana Isgum}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Iterative convolutional neural networks for automatic vertebra identification and segmentation in {CT} images}, booktitle = {Medical Imaging 2018: Image Processing, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10574}, pages = {1057408}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2292731}, doi = {10.1117/12.2292731}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/LessmannGI18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/MarquesDCTB18, author = {Filipe Marques and Florian Dubost and Mariette Kemner{-}van de Corput and Harm A. W. M. Tiddens and Marleen de Bruijne}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Quantification of lung abnormalities in cystic fibrosis using deep networks}, booktitle = {Medical Imaging 2018: Image Processing, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10574}, pages = {105741G}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2292188}, doi = {10.1117/12.2292188}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/MarquesDCTB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/RothOSOHKFMM18, author = {Holger Roth and Masahiro Oda and Natsuki Shimizu and Hirohisa Oda and Yuichiro Hayashi and Takayuki Kitasaka and Michitaka Fujiwara and Kazunari Misawa and Kensaku Mori}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Towards dense volumetric pancreas segmentation in {CT} using 3D fully convolutional networks}, booktitle = {Medical Imaging 2018: Image Processing, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10574}, pages = {105740B}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2293499}, doi = {10.1117/12.2293499}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/RothOSOHKFMM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ZhangIDPSFBCC18, author = {Dongqing Zhang and Ilknur Icke and Belma Dogdas and Sarayu Parimal and Smita Sampath and Joseph Forbes and Ansuman Bagchi and Chih{-}Liang Chin and Antong Chen}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Segmentation of left ventricle myocardium in porcine cardiac cine {MR} images using a hybrid of fully convolutional neural networks and convolutional {LSTM}}, booktitle = {Medical Imaging 2018: Image Processing, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10574}, pages = {105740A}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2293984}, doi = {10.1117/12.2293984}, timestamp = {Tue, 06 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/ZhangIDPSFBCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/ZhangND18, author = {Dongqing Zhang and Jack H. Noble and Benoit M. Dawant}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {Automatic detection of the inner ears in head {CT} images using deep convolutional neural networks}, booktitle = {Medical Imaging 2018: Image Processing, Houston, Texas, United States, 10-15 February 2018}, series = {{SPIE} Proceedings}, volume = {10574}, pages = {1057427}, publisher = {{SPIE}}, year = {2018}, url = {https://doi.org/10.1117/12.2293383}, doi = {10.1117/12.2293383}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/ZhangND18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicase/MoritaFASY18, author = {Tatsuya Morita and Masashi Fujiwara and Yutaka Arakawa and Hirohiko Suwa and Keiichi Yasumoto}, editor = {Kazuya Murao and Ren Ohmura and Sozo Inoue and Yusuke Gotoh}, title = {Energy Harvesting Sensor Node Toward Zero Energy In-Network Sensor Data Processing}, booktitle = {Mobile Computing, Applications, and Services - 9th International Conference, MobiCASE 2018, Osaka, Japan, February 28 - March 2, 2018, Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {240}, pages = {210--215}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-90740-6\_13}, doi = {10.1007/978-3-319-90740-6\_13}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicase/MoritaFASY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/NguyenKML18, author = {The An Binh Nguyen and Christian Klos and Christian Meurisch and Patrick Lampe}, editor = {Claudio Casetti and Fernando A. Kuipers and James P. G. Sterbenz and Burkhard Stiller}, title = {Demo: Enabling In-Network Processing utilizing Nearby}, booktitle = {2018 {IFIP} Networking Conference and Workshops, Networking 2018, Zurich, Switzerland, May 14-16, 2018}, pages = {A7--A8}, publisher = {{IFIP}}, year = {2018}, url = {http://dl.ifip.org/db/conf/networking/networking2018/Poster-3.pdf}, timestamp = {Thu, 27 Jun 2024 12:35:50 +0200}, biburl = {https://dblp.org/rec/conf/networking/NguyenKML18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paap/LinWG18, author = {Li Lin and Bin Wang and Yinjuan Gu}, title = {A Post-Processing Approach in Moving Objects Detection via Feature Pyramid Networks}, booktitle = {9th International Symposium on Parallel Architectures, Algorithms and Programming, {PAAP} 2018, Taipei, Taiwan, December 26-28, 2018}, pages = {191--195}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/PAAP.2018.00040}, doi = {10.1109/PAAP.2018.00040}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/paap/LinWG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/AngsuchotmeteeC18, author = {Chinnapong Angsuchotmetee and Richard Chbeir and Yudith Cardinale and Shohei Yokoyama}, editor = {Hisham M. Haddad and Roger L. Wainwright and Richard Chbeir}, title = {A pipelining-based framework for processing events in multimedia sensor networks}, booktitle = {Proceedings of the 33rd Annual {ACM} Symposium on Applied Computing, {SAC} 2018, Pau, France, April 09-13, 2018}, pages = {247--250}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3167132.3167380}, doi = {10.1145/3167132.3167380}, timestamp = {Wed, 25 Sep 2019 18:12:13 +0200}, biburl = {https://dblp.org/rec/conf/sac/AngsuchotmeteeC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/CoimbraSFFV18, author = {Miguel E. Coimbra and Mennan Selimi and Alexandre P. Francisco and Felix Freitag and Lu{\'{\i}}s Veiga}, editor = {Hisham M. Haddad and Roger L. Wainwright and Richard Chbeir}, title = {Gelly-scheduling: distributed graph processing for service placement in community networks}, booktitle = {Proceedings of the 33rd Annual {ACM} Symposium on Applied Computing, {SAC} 2018, Pau, France, April 09-13, 2018}, pages = {151--160}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3167132.3167147}, doi = {10.1145/3167132.3167147}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sac/CoimbraSFFV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/secon/Gupta18, author = {Himanshu Gupta}, title = {Deductive Approach to Processing High-Level Video Activity Queries in {UAV} Networks}, booktitle = {2018 {IEEE} International Conference on Sensing, Communication and Networking, {SECON} Workshops 2018, Hong Kong, Hong Kong, June 11, 2018}, pages = {24--28}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SECONW.2018.8396347}, doi = {10.1109/SECONW.2018.8396347}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/secon/Gupta18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/0001MDMBR18, author = {Thomas Kohler and Ruben Mayer and Frank D{\"{u}}rr and Marius Maa{\ss} and Sukanya Bhowmik and Kurt Rothermel}, editor = {Xin Jin and Changhoon Kim}, title = {{P4CEP:} Towards In-Network Complex Event Processing}, booktitle = {Proceedings of the 2018 Morning Workshop on In-Network Computing, NetCompute@SIGCOMM 2018, Budapest, Hungary, August 20, 2018}, pages = {33--38}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3229591.3229593}, doi = {10.1145/3229591.3229593}, timestamp = {Fri, 02 Aug 2024 15:50:42 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/0001MDMBR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siu/KayaS18, author = {G{\"{u}}lhan Ustabas Kaya and Zehra Sara{\c{c}}}, title = {The usage of artificial neural network as post processing algorithm in digital holography}, booktitle = {26th Signal Processing and Communications Applications Conference, {SIU} 2018, Izmir, Turkey, May 2-5, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SIU.2018.8404668}, doi = {10.1109/SIU.2018.8404668}, timestamp = {Mon, 24 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siu/KayaS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skg/LiZ18, author = {Xiaocui Li and Zhangbing Zhou}, title = {Multi-Attribute Query Processing Through In-Network Aggregation in Edge Computing}, booktitle = {14th International Conference on Semantics, Knowledge and Grids, {SKG} 2018, Guangzhou, China, September 12-14, 2018}, pages = {144--151}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SKG.2018.00027}, doi = {10.1109/SKG.2018.00027}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/skg/LiZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/syscon/AkundiSTR18a, author = {Aditya Akundi and Eric Smith and Tzu{-}Liang Tseng and Ileana Rubio}, title = {An attempt to understand information processing capability in complex networks}, booktitle = {2018 Annual {IEEE} International Systems Conference, SysCon 2018, Vancouver, BC, Canada, April 23-26, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/SYSCON.2018.8369495}, doi = {10.1109/SYSCON.2018.8369495}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/syscon/AkundiSTR18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/HanWZWZ18, author = {Chao Han and Wei Wang and Panyouwen Zhang and Yitu Wang and Zhaoyang Zhang}, title = {Computational Resource Constrained Multi-Cell Joint Processing in Fog Radio Access Networks}, booktitle = {10th International Conference on Wireless Communications and Signal Processing, {WCSP} 2018, Hangzhou, China, October 18-20, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WCSP.2018.8555676}, doi = {10.1109/WCSP.2018.8555676}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/HanWZWZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wincom/XiongSSM18, author = {Wenjie Xiong and Chun Shan and Zhaoliang Sun and Qinglei Meng}, editor = {Essaid Sabir and Mohamed Sadik and Amal Tmiri and Rachid El Azouzi and Eitan Altman}, title = {Real-time Processing and Storage of Multimedia Data with Content Delivery Network in Vehicle Monitoring System}, booktitle = {6th International Conference on Wireless Networks and Mobile Communications, {WINCOM} 2018, Marrakesh, Morocco, October 16-19, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WINCOM.2018.8629708}, doi = {10.1109/WINCOM.2018.8629708}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wincom/XiongSSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/18/BirnstillBWB18, author = {Pascal Birnstill and Christoph Bier and Paul Georg Wagner and J{\"{u}}rgen Beyerer}, editor = {Kevin Daimi}, title = {Generic Semantics Specification and Processing for Inter-System Information Flow Tracking}, booktitle = {Computer and Network Security Essentials}, pages = {445--460}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-58424-9\_25}, doi = {10.1007/978-3-319-58424-9\_25}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/18/BirnstillBWB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/18/HamedEK18a, author = {Tarfa Hamed and Jason B. Ernst and Stefan C. Kremer}, editor = {Kevin Daimi}, title = {A Survey and Taxonomy on Data and Pre-processing Techniques of Intrusion Detection Systems}, booktitle = {Computer and Network Security Essentials}, pages = {113--134}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-58424-9\_7}, doi = {10.1007/978-3-319-58424-9\_7}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/18/HamedEK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2018, editor = {Luca Mottola and Jie Gao and Pei Zhang}, title = {Proceedings of the 17th {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2018, Porto, Portugal, April 11-13, 2018}, publisher = {{IEEE} / {ACM}}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8432383/proceeding}, isbn = {978-1-5386-5298-5}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/db/Madden18a, author = {Samuel Madden}, editor = {Ling Liu and M. Tamer {\"{O}}zsu}, title = {In-Network Query Processing}, booktitle = {Encyclopedia of Database Systems, Second Edition}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-1-4614-8265-9\_195}, doi = {10.1007/978-1-4614-8265-9\_195}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/reference/db/Madden18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/snam/KazienkoIKB18, author = {Przemyslaw Kazienko and Wojciech Indyk and Tomasz Kajdanowicz and Roman Bartusiak}, editor = {Reda Alhajj and Jon G. Rokne}, title = {Distributed Processing of Networked Data}, booktitle = {Encyclopedia of Social Network Analysis and Mining, 2nd Edition}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-1-4939-7131-2\_258}, doi = {10.1007/978-1-4939-7131-2\_258}, timestamp = {Wed, 04 May 2022 13:01:02 +0200}, biburl = {https://dblp.org/rec/reference/snam/KazienkoIKB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-09118, author = {Moses Charikar and Yonatan Naamad and Jennifer Rexford and X. Kelvin Zou}, title = {Multi-Commodity Flow with In-Network Processing}, journal = {CoRR}, volume = {abs/1802.09118}, year = {2018}, url = {http://arxiv.org/abs/1802.09118}, eprinttype = {arXiv}, eprint = {1802.09118}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-09118.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-04385, author = {Thomas Kohler and Ruben Mayer and Frank D{\"{u}}rr and Marius Maa{\ss} and Sukanya Bhowmik and Kurt Rothermel}, title = {{P4CEP:} Towards In-Network Complex Event Processing}, journal = {CoRR}, volume = {abs/1806.04385}, year = {2018}, url = {http://arxiv.org/abs/1806.04385}, eprinttype = {arXiv}, eprint = {1806.04385}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-04385.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-09844, author = {Simon Dobnik and John D. Kelleher}, title = {Modular Mechanistic Networks: On Bridging Mechanistic and Phenomenological Models with Deep Neural Networks in Natural Language Processing}, journal = {CoRR}, volume = {abs/1807.09844}, year = {2018}, url = {http://arxiv.org/abs/1807.09844}, eprinttype = {arXiv}, eprint = {1807.09844}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-09844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-01256, author = {Guanxiong Zeng and Yang Chen and Bo Cui and Shan Yu}, title = {Continuous Learning of Context-dependent Processing in Neural Networks}, journal = {CoRR}, volume = {abs/1810.01256}, year = {2018}, url = {http://arxiv.org/abs/1810.01256}, eprinttype = {arXiv}, eprint = {1810.01256}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-01256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-04323, author = {Ryosuke Furuta and Naoto Inoue and Toshihiko Yamasaki}, title = {Fully Convolutional Network with Multi-Step Reinforcement Learning for Image Processing}, journal = {CoRR}, volume = {abs/1811.04323}, year = {2018}, url = {http://arxiv.org/abs/1811.04323}, eprinttype = {arXiv}, eprint = {1811.04323}, timestamp = {Fri, 23 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-04323.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-03989, author = {Salonik Resch and S. Karen Khatamifard and Zamshed Iqbal Chowdhury and Masoud Zabihi and Zhengyang Zhao and Jianping Wang and Sachin S. Sapatnekar and Ulya R. Karpuzcu}, title = {Exploiting Processing in Non-Volatile Memory for Binary Neural Network Accelerators}, journal = {CoRR}, volume = {abs/1812.03989}, year = {2018}, url = {http://arxiv.org/abs/1812.03989}, eprinttype = {arXiv}, eprint = {1812.03989}, timestamp = {Tue, 28 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-03989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-06501, author = {Emna Baccour and Aiman Erbad and Amr Mohamed and Kashif Bilal and Mohsen Guizani}, title = {Proactive Video Chunks Caching and Processing for Latency and Cost Minimization in Edge Networks}, journal = {CoRR}, volume = {abs/1812.06501}, year = {2018}, url = {http://arxiv.org/abs/1812.06501}, eprinttype = {arXiv}, eprint = {1812.06501}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-06501.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Alkhouli17, author = {Abdulhafiz Alkhouli}, title = {Continuous processing of top-k queries in social networks. (Traitement continu de requ{\^{e}}tes top-k dans les r{\'{e}}seaux sociaux)}, school = {Cergy-Pontoise University, France}, year = {2017}, url = {https://tel.archives-ouvertes.fr/tel-01778152}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Alkhouli17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Angsuchotmetee17, author = {Chinnapong Angsuchotmetee}, title = {A Semantic-Based Framework for Processing Complex Events in Multimedia Sensor Networks. (Un framework de traitement semantic d'{\'{e}}v{\'{e}}nement dans les r{\'{e}}seaux des capteurs multimedias)}, school = {University of Pau and Pays de l'Adour, France}, year = {2017}, url = {https://tel.archives-ouvertes.fr/tel-02470779}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Angsuchotmetee17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aarc/SklyarovS17, author = {Valery Sklyarov and Iouliia Skliarova}, title = {Data processing in the firmware systems for logic control based on search networks}, journal = {Autom. Remote. Control.}, volume = {78}, number = {1}, pages = {100--112}, year = {2017}, url = {https://doi.org/10.1134/S0005117917010088}, doi = {10.1134/S0005117917010088}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aarc/SklyarovS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SharmaW17, author = {Shree Krishna Sharma and Xianbin Wang}, title = {Live Data Analytics With Collaborative Edge and Cloud Processing in Wireless IoT Networks}, journal = {{IEEE} Access}, volume = {5}, pages = {4621--4635}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2682640}, doi = {10.1109/ACCESS.2017.2682640}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SharmaW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TangZZW17, author = {Jine Tang and Bo Zhang and You Zhou and Liangmin Wang}, title = {An Energy-Aware Spatial Index Tree for Multi-Region Attribute Query Aggregation Processing in Wireless Sensor Networks}, journal = {{IEEE} Access}, volume = {5}, pages = {2080--2095}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2664887}, doi = {10.1109/ACCESS.2017.2664887}, timestamp = {Mon, 30 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/TangZZW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/agis/DijkJ17, author = {Justin Van Dijk and Tom de Jong}, title = {Post-processing GPS-tracks in reconstructing travelled routes in a GIS-environment: network subset selection and attribute adjustment}, journal = {Ann. {GIS}}, volume = {23}, number = {3}, pages = {203--217}, year = {2017}, url = {https://doi.org/10.1080/19475683.2017.1340340}, doi = {10.1080/19475683.2017.1340340}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/agis/DijkJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/MisimiOSM17, author = {Ekrem Misimi and Elling Ruud {\O}ye and {\O}ystein Sture and John Reidar Mathiassen}, title = {Robust classification approach for segmentation of blood defects in cod fillets based on deep convolutional neural networks and support vector machines and calculation of gripper vectors for robotic processing}, journal = {Comput. Electron. Agric.}, volume = {139}, pages = {138--152}, year = {2017}, url = {https://doi.org/10.1016/j.compag.2017.05.021}, doi = {10.1016/J.COMPAG.2017.05.021}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/MisimiOSM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/KhademianH17, author = {Mahdi Khademian and Mohammad Mehdi Homayounpour}, title = {Feature joint-state posterior estimation in factorial speech processing models using deep neural networks}, journal = {Comput. Electr. Eng.}, volume = {62}, pages = {574--587}, year = {2017}, url = {https://doi.org/10.1016/j.compeleceng.2017.06.028}, doi = {10.1016/J.COMPELECENG.2017.06.028}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cee/KhademianH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/MehdyNSSG17, author = {M. M. Mehdy and P. Y. Ng and E. F. Shair and N. I. Md Saleh and Chandima Gomes}, title = {Artificial Neural Networks in Image Processing for Early Detection of Breast Cancer}, journal = {Comput. Math. Methods Medicine}, volume = {2017}, pages = {2610628:1--2610628:15}, year = {2017}, url = {https://doi.org/10.1155/2017/2610628}, doi = {10.1155/2017/2610628}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/MehdyNSSG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/HajisamiP17, author = {Abolfazl Hajisami and Dario Pompili}, title = {Dynamic joint processing: Achieving high spectral efficiency in uplink 5G cellular networks}, journal = {Comput. Networks}, volume = {126}, pages = {44--56}, year = {2017}, url = {https://doi.org/10.1016/j.comnet.2017.06.026}, doi = {10.1016/J.COMNET.2017.06.026}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/HajisamiP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dcan/UsamaL017, author = {Mohd Usama and Mengchen Liu and Min Chen}, title = {Job schedulers for Big data processing in Hadoop environment: testing real-life schedulers using benchmark programs}, journal = {Digit. Commun. Networks}, volume = {3}, number = {4}, pages = {260--273}, year = {2017}, url = {https://doi.org/10.1016/j.dcan.2017.07.008}, doi = {10.1016/J.DCAN.2017.07.008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dcan/UsamaL017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esi/TebanoPG17, author = {Carlo Tebano and Francesco Pasanisi and Sergio Grauso}, title = {QMorphoStream: processing tools in {QGIS} environment for the quantitative geomorphic analysis of watersheds and river networks}, journal = {Earth Sci. Informatics}, volume = {10}, number = {2}, pages = {257--268}, year = {2017}, url = {https://doi.org/10.1007/s12145-016-0284-0}, doi = {10.1007/S12145-016-0284-0}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/esi/TebanoPG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/HoNMD17, author = {Chung Duc Ho and Hien Quoc Ngo and Michail Matthaiou and Trung Quang Duong}, title = {On the Performance of Zero-Forcing Processing in Multi-Way Massive {MIMO} Relay Networks}, journal = {{IEEE} Commun. Lett.}, volume = {21}, number = {4}, pages = {849--852}, year = {2017}, url = {https://doi.org/10.1109/LCOMM.2017.2648795}, doi = {10.1109/LCOMM.2017.2648795}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/HoNMD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/LiSZL17, author = {Yanhong Li and LihChyun Shu and Rongbo Zhu and Li Li}, title = {A novel distributed air index for efficient spatial query processing in road sensor networks on the air}, journal = {Int. J. Commun. Syst.}, volume = {30}, number = {5}, year = {2017}, url = {https://doi.org/10.1002/dac.3131}, doi = {10.1002/DAC.3131}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/LiSZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/EhalaKPARTPM17, author = {Johannes Ehala and Jaanus Kaugerand and Raido Pahtma and Sergei Astapov and Andri Riid and Timo Tomson and J{\"{u}}rgo{-}S{\"{o}}ren Preden and Leo Motus}, title = {Situation awareness via Internet of things and in-network data processing}, journal = {Int. J. Distributed Sens. Networks}, volume = {13}, number = {1}, year = {2017}, url = {https://doi.org/10.1177/1550147716686578}, doi = {10.1177/1550147716686578}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/EhalaKPARTPM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/XiaoZLTQ17, author = {Fuyuan Xiao and Cheng Zhan and Hong Lai and Li Tao and Zhiguo Qu}, title = {New parallel processing strategies in complex event processing systems with data streams}, journal = {Int. J. Distributed Sens. Networks}, volume = {13}, number = {8}, year = {2017}, url = {https://doi.org/10.1177/1550147717728626}, doi = {10.1177/1550147717728626}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/XiaoZLTQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijes/LaiGWL17, author = {Yongxuan Lai and Xing Gao and Tian Wang and Ziyu Lin}, title = {Efficient iceberg join processing in wireless sensor networks}, journal = {Int. J. Embed. Syst.}, volume = {9}, number = {4}, pages = {365--378}, year = {2017}, url = {https://doi.org/10.1504/IJES.2017.10007102}, doi = {10.1504/IJES.2017.10007102}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijes/LaiGWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/Huang17, author = {Yuan{-}Ko Huang}, title = {Within Skyline Query Processing in Dynamic Road Networks}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {6}, number = {5}, pages = {137}, year = {2017}, url = {https://doi.org/10.3390/ijgi6050137}, doi = {10.3390/IJGI6050137}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/Huang17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/JungK17, author = {HaRim Jung and Ung{-}Mo Kim}, title = {The SSP-Tree: {A} Method for Distributed Processing of Range Monitoring Queries in Road Networks}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {6}, number = {11}, pages = {322}, year = {2017}, url = {https://doi.org/10.3390/ijgi6110322}, doi = {10.3390/IJGI6110322}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/JungK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ZhuWD17, author = {Song Zhu and Lidan Wang and Shukai Duan}, title = {Memristive pulse coupled neural network with applications in medical image processing}, journal = {Neurocomputing}, volume = {227}, pages = {149--157}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2016.07.068}, doi = {10.1016/J.NEUCOM.2016.07.068}, timestamp = {Sat, 19 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ZhuWD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/YinZZGY17, author = {Bo Yin and Siwang Zhou and Shiwen Zhang and Ke Gu and Fei Yu}, title = {On Efficient Processing of Continuous Reverse Skyline Queries in Wireless Sensor Networks}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {4}, pages = {1931--1953}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.04.006}, doi = {10.3837/TIIS.2017.04.006}, timestamp = {Sat, 26 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itiis/YinZZGY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jco/HolzhauserKT17, author = {Michael Holzhauser and Sven O. Krumke and Clemens Thielen}, title = {Maximum flows in generalized processing networks}, journal = {J. Comb. Optim.}, volume = {33}, number = {4}, pages = {1226--1256}, year = {2017}, url = {https://doi.org/10.1007/s10878-016-0031-y}, doi = {10.1007/S10878-016-0031-Y}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jco/HolzhauserKT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/ChenZGX17, author = {Xiaoying Chen and Chong Zhang and Bin Ge and Weidong Xiao}, title = {Temporal Query Processing in Social Network}, journal = {J. Intell. Inf. Syst.}, volume = {49}, number = {2}, pages = {147--166}, year = {2017}, url = {https://doi.org/10.1007/s10844-016-0437-0}, doi = {10.1007/S10844-016-0437-0}, timestamp = {Thu, 12 Oct 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiis/ChenZGX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/AwadMCE17, author = {Alaa Awad and Amr Mohamed and Carla{-}Fabiana Chiasserini and Tarek M. El{-}Fouly}, title = {Distributed in-network processing and resource optimization over mobile-health systems}, journal = {J. Netw. Comput. Appl.}, volume = {82}, pages = {65--76}, year = {2017}, url = {https://doi.org/10.1016/j.jnca.2017.01.014}, doi = {10.1016/J.JNCA.2017.01.014}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/AwadMCE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jois/ShivappaM17, author = {Nagesha Shivappa and Sunilkumar S. Manvi}, title = {ANFIS-Based Resource Mapping for Query Processing in Wireless Multimedia Sensor Networks}, journal = {J. Intell. Syst.}, volume = {26}, number = {3}, pages = {505}, year = {2017}, url = {https://doi.org/10.1515/jisys-2015-0114}, doi = {10.1515/JISYS-2015-0114}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jois/ShivappaM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/KotenkoSK17, author = {Igor V. Kotenko and Igor Saenko and Alexey Kushnerevich}, title = {Parallel big data processing system for security monitoring in Internet of Things networks}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {8}, number = {4}, pages = {60--74}, year = {2017}, url = {https://doi.org/10.22667/JOWUA.2017.12.31.060}, doi = {10.22667/JOWUA.2017.12.31.060}, timestamp = {Thu, 10 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jowua/KotenkoSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsan/RehmanJMKG17, author = {Muhammad Habib Ur Rehman and Prem Prakash Jayaraman and Saif Ur Rehman Malik and Atta ur Rehman Khan and Mohamed Medhat Gaber}, title = {RedEdge: {A} Novel Architecture for Big Data Processing in Mobile Edge Computing Environments}, journal = {J. Sens. Actuator Networks}, volume = {6}, number = {3}, pages = {17}, year = {2017}, url = {https://doi.org/10.3390/jsan6030017}, doi = {10.3390/JSAN6030017}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsan/RehmanJMKG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/0003YYXH18, author = {Hua Dai and Qingqun Ye and Geng Yang and Fu Xiao and Ruiliang He}, title = {{\unicode{20004}}{\unicode{23618}}{\unicode{20256}}{\unicode{24863}}{\unicode{22120}}{\unicode{32593}}{\unicode{32476}}{\unicode{20013}}{\unicode{23433}}{\unicode{20840}}Top-k{\unicode{26597}}{\unicode{35810}}{\unicode{22788}}{\unicode{29702}}{\unicode{25216}}{\unicode{26415}}{\unicode{32508}}{\unicode{36848}} (Overview of Secure Top-k Query Processing in Two-tiered Wireless Sensor Networks)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {44}, number = {5}, pages = {6--13}, year = {2017}, url = {https://doi.org/10.11896/j.issn.1002-137X.2017.05.002}, doi = {10.11896/J.ISSN.1002-137X.2017.05.002}, timestamp = {Fri, 27 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/0003YYXH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/LiXWL18, author = {Qing Li and Yingyuan Xiao and Xiaoye Wang and Yukun Li}, title = {{\unicode{26080}}{\unicode{32447}}{\unicode{20256}}{\unicode{24863}}{\unicode{22120}}{\unicode{32593}}{\unicode{32476}}{\unicode{20013}}{\unicode{22522}}{\unicode{20110}}{\unicode{32858}}{\unicode{31751}}{\unicode{32467}}{\unicode{26500}}{\unicode{30340}}Skyline{\unicode{26597}}{\unicode{35810}}{\unicode{26041}}{\unicode{27861}} (Clustering Architecture-based Skyline Query Processing in Wireless Sensor Networks)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {44}, number = {10}, pages = {177--181}, year = {2017}, url = {https://doi.org/10.11896/j.issn.1002-137X.2017.10.033}, doi = {10.11896/J.ISSN.1002-137X.2017.10.033}, timestamp = {Fri, 20 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/LiXWL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/XueBH0Z018, author = {Zhongbin Xue and Liguang Bai and Ning He and Xuan Zhou and Xin Zhou and Shan Wang}, title = {{\unicode{36335}}{\unicode{32593}}{\unicode{20013}}{\unicode{39640}}{\unicode{21534}}{\unicode{21520}}{\unicode{37327}}{\unicode{31227}}{\unicode{21160}}{\unicode{23545}}{\unicode{35937}}{\unicode{23454}}{\unicode{26102}}{\unicode{26597}}{\unicode{35810}}{\unicode{31639}}{\unicode{27861}} (Throughput Oriented Real-time Query Processing Algorithm for Moving Objects in Road Network)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {44}, number = {3}, pages = {16--19}, year = {2017}, url = {https://doi.org/10.11896/j.issn.1002-137X.2017.03.004}, doi = {10.11896/J.ISSN.1002-137X.2017.03.004}, timestamp = {Fri, 20 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/XueBH0Z018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/FrossardDORR17, author = {Pascal Frossard and Pier Luigi Dragotti and Antonio Ortega and Michael G. Rabbat and Alejandro Ribeiro}, title = {Introduction to the {IEEE} Journal on Selected Topics in Signal Processing and {IEEE} Transactions on Signal and Information Processing Over Networks Joint Special Issue on Graph Signal Processing}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {11}, number = {6}, pages = {771--773}, year = {2017}, url = {https://doi.org/10.1109/JSTSP.2017.2733938}, doi = {10.1109/JSTSP.2017.2733938}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/FrossardDORR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kais/SanliKY17, author = {Ozgur Sanli and Ibrahim Korpeoglu and Adnan Yazici}, title = {Rule-based inference and decomposition for distributed in-network processing in wireless sensor networks}, journal = {Knowl. Inf. Syst.}, volume = {50}, number = {1}, pages = {231--264}, year = {2017}, url = {https://doi.org/10.1007/s10115-016-0942-z}, doi = {10.1007/S10115-016-0942-Z}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kais/SanliKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ZhuangJHCL17, author = {Yi Zhuang and Nan Jiang and Hua Hu and Dickson K. W. Chiu and Qing Li}, title = {Interactive transmission processing for large images in a resource-constraint mobile wireless network}, journal = {Multim. Tools Appl.}, volume = {76}, number = {22}, pages = {23539--23565}, year = {2017}, url = {https://doi.org/10.1007/s11042-016-3965-2}, doi = {10.1007/S11042-016-3965-2}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ZhuangJHCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/TettamantiVBCEA17, author = {Marco Tettamanti and Matilde M. Vaghi and Bruno G. Bara and Stefano F. Cappa and Ivan Enrici and Mauro Adenzato}, title = {Effective connectivity gateways to the Theory of Mind network in processing communicative intention}, journal = {NeuroImage}, volume = {155}, pages = {169--176}, year = {2017}, url = {https://doi.org/10.1016/j.neuroimage.2017.04.050}, doi = {10.1016/J.NEUROIMAGE.2017.04.050}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/TettamantiVBCEA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/CantwellRRA17, author = {George T. Cantwell and Maximilian Riesenhuber and Jessica L. Roeder and F. Gregory Ashby}, title = {Perceptual category learning and visual processing: An exercise in computational cognitive neuroscience}, journal = {Neural Networks}, volume = {89}, pages = {31--38}, year = {2017}, url = {https://doi.org/10.1016/j.neunet.2017.02.010}, doi = {10.1016/J.NEUNET.2017.02.010}, timestamp = {Wed, 21 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nn/CantwellRRA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/SelvendranR17, author = {S. Selvendran and A. Sivanantha Raja}, title = {New refractive index profiles of dispersion-flattened highly nonlinear fibers for future all-optical signal processing in wdm optical networks}, journal = {Photonic Netw. Commun.}, volume = {33}, number = {2}, pages = {217--230}, year = {2017}, url = {https://doi.org/10.1007/s11107-016-0635-2}, doi = {10.1007/S11107-016-0635-2}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/SelvendranR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/YangGAT17, author = {Pan Yang and Xiaolin Gui and Jian An and Feng Tian}, title = {An Efficient Secret Key Homomorphic Encryption Used in Image Processing Service}, journal = {Secur. Commun. Networks}, volume = {2017}, pages = {7695751:1--7695751:11}, year = {2017}, url = {https://doi.org/10.1155/2017/7695751}, doi = {10.1155/2017/7695751}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/YangGAT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/GuoCL17, author = {Peng Guo and Jiannong Cao and Xuefeng Liu}, title = {Lossless In-Network Processing in WSNs for Domain-Specific Monitoring Applications}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {13}, number = {5}, pages = {2130--2139}, year = {2017}, url = {https://doi.org/10.1109/TII.2017.2691586}, doi = {10.1109/TII.2017.2691586}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/GuoCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/SongLPPZ17, author = {Yong{-}Duan Song and Frank L. Lewis and Marios M. Polycarpou and Danil V. Prokhorov and Dongbin Zhao}, title = {Guest Editorial Special Issue on New Developments in Neural Network Structures for Signal Processing, Autonomous Decision, and Adaptive Control}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {28}, number = {3}, pages = {494--499}, year = {2017}, url = {https://doi.org/10.1109/TNNLS.2016.2617239}, doi = {10.1109/TNNLS.2016.2617239}, timestamp = {Mon, 09 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/SongLPPZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsipn/FrossardDORR17, author = {Pascal Frossard and Pier Luigi Dragotti and Antonio Ortega and Michael G. Rabbat and Alejandro Ribeiro}, title = {Cooperative Special Issue on Graph Signal Processing in the {IEEE} Journal of Selected Topics in Signal Processing and the {IEEE} Transactions on Signal and Information Processing Over Networks}, journal = {{IEEE} Trans. Signal Inf. Process. over Networks}, volume = {3}, number = {3}, pages = {448--450}, year = {2017}, url = {https://doi.org/10.1109/TSIPN.2017.2734178}, doi = {10.1109/TSIPN.2017.2734178}, timestamp = {Mon, 09 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsipn/FrossardDORR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsipn/ZhangTDWCH17, author = {Z. Zhang and Wee{-}Peng Tay and M. Draief and X. Wang and Edwin K. P. Chong and Alfred O. Hero III}, title = {Introduction to the Issue on Distributed Information Processing in Social Networks}, journal = {{IEEE} Trans. Signal Inf. Process. over Networks}, volume = {3}, number = {2}, pages = {219--221}, year = {2017}, url = {https://doi.org/10.1109/TSIPN.2017.2699868}, doi = {10.1109/TSIPN.2017.2699868}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsipn/ZhangTDWCH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/GuoLCT17, author = {Peng Guo and Xuefeng Liu and Jiannong Cao and Shaojie Tang}, title = {Lossless In-Network Processing and Its Routing Design in Wireless Sensor Networks}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {16}, number = {10}, pages = {6528--6542}, year = {2017}, url = {https://doi.org/10.1109/TWC.2017.2724516}, doi = {10.1109/TWC.2017.2724516}, timestamp = {Tue, 02 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/GuoLCT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/SinghSK17, author = {Vishal Krishna Singh and Vivek Kumar Singh and Manish Kumar}, title = {In-Network Data Processing Based on Compressed Sensing in {WSN:} {A} Survey}, journal = {Wirel. Pers. Commun.}, volume = {96}, number = {2}, pages = {2087--2124}, year = {2017}, url = {https://doi.org/10.1007/s11277-017-4288-y}, doi = {10.1007/S11277-017-4288-Y}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/SinghSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmicn/MarxerT17, author = {Claudio Marxer and Christian F. Tschudin}, editor = {Thomas C. Schmidt and Jan Seedorf}, title = {Improved content addressability through relational data modeling and in-network processing elements}, booktitle = {Proceedings of the 4th {ACM} Conference on Information-Centric Networking, {ICN} 2017, Berlin, Germany, September 26-28, 2017}, pages = {29--35}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3125719.3125735}, doi = {10.1145/3125719.3125735}, timestamp = {Tue, 06 Nov 2018 16:58:41 +0100}, biburl = {https://dblp.org/rec/conf/acmicn/MarxerT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africon/JueschkeF17, author = {Patrick Jueschke and Georg Fischer}, title = {Machine learning using neural networks in digital signal processing for {RF} transceivers}, booktitle = {{IEEE} {AFRICON} 2017, Cape Town, South Africa, September 18-20, 2017}, pages = {384--390}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/AFRCON.2017.8095513}, doi = {10.1109/AFRCON.2017.8095513}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/africon/JueschkeF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccscw/0005WHCTH17, author = {Tong Wang and Yunfeng Wang and Chong Han and Yue Cao and Xueling Tao and Azhar Hussain}, editor = {Zili Zhang and Ning Gu and Shaozi Li and Tun Lu and Li Li}, title = {A real-time Novel Distributed Cooperative Processing Strategy for Isomerism Networks in Internet of Things}, booktitle = {Proceedings of the 12th Chinese Conference on Computer Supported Cooperative Work and Social Computing, ChineseCSCW 2017, Chongqing, China, September 22-23, 2017}, pages = {122--128}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3127404.3127417}, doi = {10.1145/3127404.3127417}, timestamp = {Fri, 26 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccscw/0005WHCTH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/IchimP17, author = {Loretta Ichim and Dan Popescu}, title = {Image processing in hybrid wireless sensor network for small flooded areas evaluation}, booktitle = {4th International Conference on Control, Decision and Information Technologies, CoDIT 2017, Barcelona, Spain, April 5-7, 2017}, pages = {318--323}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CoDIT.2017.8102611}, doi = {10.1109/CODIT.2017.8102611}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codit/IchimP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cwsn/LiL17, author = {Yinglong Li and Mingqi Lv}, editor = {Jianzhong Li and Huadong Ma and Keqiu Li and Li Cui and Limin Sun and Zenghua Zhao and Xiaofei Wang}, title = {Fuzzy-Assisted Event-Based kNN Query Processing in Sensor Networks}, booktitle = {Wireless Sensor Networks - 11th China Wireless Sensor Network Conference, {CWSN} 2017, Tianjin, China, October 12-14, 2017, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {812}, pages = {33--43}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-8123-1\_4}, doi = {10.1007/978-981-10-8123-1\_4}, timestamp = {Thu, 16 Mar 2023 09:41:11 +0100}, biburl = {https://dblp.org/rec/conf/cwsn/LiL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexa/EkomieYLLL17, author = {Hermann B. Ekomie and Kai Yao and Jianjun Li and Guohui Li and Yanhong Li}, editor = {Djamal Benslimane and Ernesto Damiani and William I. Grosky and Abdelkader Hameurlain and Amit P. Sheth and Roland R. Wagner}, title = {Group Top-k Spatial Keyword Query Processing in Road Networks}, booktitle = {Database and Expert Systems Applications - 28th International Conference, {DEXA} 2017, Lyon, France, August 28-31, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10438}, pages = {395--408}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-64468-4\_30}, doi = {10.1007/978-3-319-64468-4\_30}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dexa/EkomieYLLL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecal/NoguchiIY17, author = {Wataru Noguchi and Hiroyuki Iizuka and Masahito Yamamoto}, editor = {Carole Knibbe and Guillaume Beslon and David P. Parsons and Dusan Misevic and Jonathan Rouzaud{-}Cornabas and Nicolas Bred{\`{e}}che and Salima Hassas and Olivier Simonin and H{\'{e}}di Soula}, title = {The development of spatial recognition and navigation in hierarchical recurrent neural network with convolution processing}, booktitle = {Proceedings of the Fourteenth European Conference Artificial Life, {ECAL} 2017, Lyon, France, September 4-8, 2017}, pages = {324--331}, publisher = {{MIT} Press}, year = {2017}, url = {https://doi.org/10.7551/ecal\_a\_055}, doi = {10.7551/ECAL\_A\_055}, timestamp = {Thu, 07 Jul 2022 14:54:16 +0200}, biburl = {https://dblp.org/rec/conf/ecal/NoguchiIY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/AttiqueKC17, author = {Muhammad Attique and Awais Khan and Tae{-}Sun Chung}, editor = {Yannis E. Ioannidis and Julia Stoyanovich and Giorgio Orsi}, title = {eSPAK: Top-K Spatial Keyword Query Processing in Directed Road Networks}, booktitle = {Proceedings of the Workshops of the {EDBT/ICDT} 2017 Joint Conference {(EDBT/ICDT} 2017), Venice, Italy, March 21-24, 2017}, series = {{CEUR} Workshop Proceedings}, volume = {1810}, publisher = {CEUR-WS.org}, year = {2017}, url = {https://ceur-ws.org/Vol-1810/KARS\_paper\_06.pdf}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/edbt/AttiqueKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/SimoesDLCFCCC17, author = {Marco Sim{\~{o}}es and Bruno Direito and Jo{\~{a}}o Lima and Jo{\~{a}}o Castelhano and Carlos Ferreira and Ricardo Couceiro and Paulo Carvalho and Miguel Castelo{-}Branco}, title = {Correlated alpha activity with the facial expression processing network in a simultaneous EEG-fMRI experiment}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {2562--2565}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037380}, doi = {10.1109/EMBC.2017.8037380}, timestamp = {Fri, 12 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/SimoesDLCFCCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/etfa/SaeyDTKNK17, author = {Philippe Saey and Frederic Depuydt and Mathieu Troch and Annemarie M. K{\"{o}}k{\"{o}}sy and Stijn Noppe and Jos Knockaert}, title = {Networked sensing architecture using oversampling techniques in {PROFINET} {IRT} devices and isochronous mode processing: Proof-of-principle and signal reconstruction at {IO} Controller side}, booktitle = {22nd {IEEE} International Conference on Emerging Technologies and Factory Automation, {ETFA} 2017, Limassol, Cyprus, September 12-15, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ETFA.2017.8247766}, doi = {10.1109/ETFA.2017.8247766}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/etfa/SaeyDTKNK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/BenacekPKK17, author = {Pavel Ben{\'{a}}cek and Viktor Pus and Jan Korenek and Michal Kekely}, editor = {Marco D. Santambrogio and Diana G{\"{o}}hringer and Dirk Stroobandt and Nele Mentens and Jari Nurmi}, title = {Line rate programmable packet processing in 100Gb networks}, booktitle = {27th International Conference on Field Programmable Logic and Applications, {FPL} 2017, Ghent, Belgium, September 4-8, 2017}, pages = {1}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/FPL.2017.8056835}, doi = {10.23919/FPL.2017.8056835}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/fpl/BenacekPKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/WuZBC17, author = {Ephrem Wu and Xiaoqian Zhang and David Berman and Inkeun Cho}, editor = {Marco D. Santambrogio and Diana G{\"{o}}hringer and Dirk Stroobandt and Nele Mentens and Jari Nurmi}, title = {A high-throughput reconfigurable processing array for neural networks}, booktitle = {27th International Conference on Field Programmable Logic and Applications, {FPL} 2017, Ghent, Belgium, September 4-8, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/FPL.2017.8056794}, doi = {10.23919/FPL.2017.8056794}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/WuZBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/HosseinalipourW17, author = {Seyyedali Hosseinalipour and Jie Wang and Huaiyu Dai and Wenye Wang}, title = {Detection of Infections Using Graph Signal Processing in Heterogeneous Networks}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8254487}, doi = {10.1109/GLOCOM.2017.8254487}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/HosseinalipourW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/WangMWJ17, author = {Yu Wang and Michael Conrad Meyer and Junbo Wang and Xiaohua Jia}, title = {Delay Minimization for Spatial Data Processing in Wireless Networked Disaster Areas}, booktitle = {2017 {IEEE} Global Communications Conference, {GLOBECOM} 2017, Singapore, December 4-8, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/GLOCOM.2017.8254983}, doi = {10.1109/GLOCOM.2017.8254983}, timestamp = {Mon, 23 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/WangMWJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icait/ChenSZ17, author = {Yichao Chen and Enchang Sun and Yanhua Zhang}, title = {Joint optimization of transmission and processing delay in fog computing access networks}, booktitle = {9th International Conference on Advanced Infocomm Technology, {ICAIT} 2017, Chengdu, China, November 22-24, 2017}, pages = {155--158}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICAIT.2017.8388906}, doi = {10.1109/ICAIT.2017.8388906}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/icait/ChenSZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HanWWZ17, author = {Chao Han and Wei Wang and Yitu Wang and Zhaoyang Zhang}, title = {Computational resource constrained multi-cell joint processing in cloud radio access networks}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2017, Paris, France, May 21-25, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICC.2017.7996789}, doi = {10.1109/ICC.2017.7996789}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/HanWWZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccsec/XiongLZ17, author = {Muzhou Xiong and Haixin Liu and Deze Zeng}, editor = {Xingming Sun and Han{-}Chieh Chao and Xingang You and Elisa Bertino}, title = {A Network Calculus Analysis for the Baseband Processing Capacity in Cloud Radio Access Network}, booktitle = {Cloud Computing and Security - Third International Conference, {ICCCS} 2017, Nanjing, China, June 16-18, 2017, Revised Selected Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10602}, pages = {239--250}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-68505-2\_21}, doi = {10.1007/978-3-319-68505-2\_21}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icccsec/XiongLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccip/DotsikaW17, author = {Fefie Dotsika and Andrew Watkins}, editor = {Jalel Ben{-}Othman and Feng Gang and Jain{-}Shing Liu and Masayuki Arai}, title = {Identifying trends and flows in communication and information processing by means of keyword network analysis}, booktitle = {Proceedings of the 3rd International Conference on Communication and Information Processing, {ICCIP} 2017, Tokyo, Japan, November 24-26, 2017}, pages = {287--291}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3162957.3162990}, doi = {10.1145/3162957.3162990}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccip/DotsikaW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceee/Morales-RomeroG17, author = {J. J. Morales{-}Romero and Felipe Gomez{-}Casta{\~{n}}eda and Jose A. Moreno{-}Cadenas and Mario Alfredo Reyes{-}Barranca and Luis M. Flores{-}Nava}, title = {Time-multiplexing cellular neural network in {FPGA} for image processing}, booktitle = {14th International Conference on Electrical Engineering, Computing Science and Automatic Control, {CCE} 2017, Mexico City, Mexico, October 20-22, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICEEE.2017.8108842}, doi = {10.1109/ICEEE.2017.8108842}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/iceee/Morales-RomeroG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimMH17, author = {Ki{-}Wook Kim and Sung{-}Gi Min and Youn{-}Hee Han}, title = {A programmable data plane to support in-network data processing in software-defined IoT}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {855--860}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8190798}, doi = {10.1109/ICTC.2017.8190798}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/WangLMQ17, author = {Qian Wang and Brian Lee and Niall Murray and Yuansong Qiao}, title = {IProIoT: An in-network processing framework for IoT using Information Centric Networking}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {93--98}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993754}, doi = {10.1109/ICUFN.2017.7993754}, timestamp = {Mon, 31 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/WangLMQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/idaacs/SudakovCM17, author = {Oleksandr O. Sudakov and Andrii I. Cherederchuk and Volodymyr L. Maistrenko}, title = {Simulation of large neuronal networks in cloud and grid with graphics processing units}, booktitle = {9th {IEEE} International Conference on Intelligent Data Acquisition and Advanced Computing Systems: Technology and Applications, {IDAACS} 2017, Bucharest, Romania, September 21-23, 2017}, pages = {311--316}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IDAACS.2017.8095096}, doi = {10.1109/IDAACS.2017.8095096}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/idaacs/SudakovCM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/DerhamyEDD17, author = {Hasan Derhamy and Jens Eliasson and Jerker Delsing and Jan van Deventer}, title = {In-network processing for context-aware SOA-based manufacturing systems}, booktitle = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, pages = {3460--3465}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IECON.2017.8216586}, doi = {10.1109/IECON.2017.8216586}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/DerhamyEDD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiki/TangZ17, author = {Jine Tang and Zhangbing Zhou}, editor = {Rongfang Bie and Yunchuan Sun and Jiguo Yu}, title = {A Priority-aware Multidimensional Top-k Query Processing in Wireless Sensor Networks}, booktitle = {2017 International Conference on Identification, Information and Knowledge in the Internet of Things, {IIKI} 2017, Shandong, China, October 19-21, 2017}, series = {Procedia Computer Science}, volume = {129}, pages = {149--158}, publisher = {Elsevier}, year = {2017}, url = {https://doi.org/10.1016/j.procs.2018.03.065}, doi = {10.1016/J.PROCS.2018.03.065}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiki/TangZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/VidyaratneAAI17, author = {Lasitha Vidyaratne and Mahbubul Alam and John K. Anderson and Khan M. Iftekharuddin}, title = {Constrained versus unconstrained learning in generalized recurrent network for image processing}, booktitle = {2017 International Joint Conference on Neural Networks, {IJCNN} 2017, Anchorage, AK, USA, May 14-19, 2017}, pages = {3310--3317}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IJCNN.2017.7966271}, doi = {10.1109/IJCNN.2017.7966271}, timestamp = {Fri, 01 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/VidyaratneAAI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotdcc/AlamH17, author = {Md. Fasiul Alam and Stathes Hadjiefthymiades}, editor = {Hani Hamdan and Djallel Eddine Boubiche and Homero Toral{-}Cruz and Sedat Akleylek and Hamid Mcheick}, title = {Advanced, hardware supported in-network processing for the internet of things}, booktitle = {Proceedings of the Second International Conference on Internet of things and Cloud Computing, {ICC} 2017, Cambridge, United Kingdom, March 22-23, 2017}, pages = {178:1--178:7}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3018896.3065840}, doi = {10.1145/3018896.3065840}, timestamp = {Tue, 06 Nov 2018 16:59:32 +0100}, biburl = {https://dblp.org/rec/conf/iotdcc/AlamH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/JiangKWW17, author = {Lei Jiang and Minje Kim and Wujie Wen and Danghui Wang}, title = {{XNOR-POP:} {A} processing-in-memory architecture for binary Convolutional Neural Networks in Wide-IO2 DRAMs}, booktitle = {2017 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2017, Taipei, Taiwan, July 24-26, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISLPED.2017.8009163}, doi = {10.1109/ISLPED.2017.8009163}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/islped/JiangKWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/LiuGCKHZS17, author = {Bicheng Liu and Shouzhen Gu and Mingsong Chen and Wang Kang and Jingtong Hu and Qingfeng Zhuge and Edwin Hsing{-}Mean Sha}, title = {An Efficient Racetrack Memory-Based Processing-in-Memory Architecture for Convolutional Neural Networks}, booktitle = {2017 {IEEE} International Symposium on Parallel and Distributed Processing with Applications and 2017 {IEEE} International Conference on Ubiquitous Computing and Communications (ISPA/IUCC), Guangzhou, China, December 12-15, 2017}, pages = {383--390}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISPA/IUCC.2017.00061}, doi = {10.1109/ISPA/IUCC.2017.00061}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/LiuGCKHZS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RettkowskiG17, author = {Jens Rettkowski and Diana G{\"{o}}hringer}, title = {Data Stream Processing in Networks-on-Chip}, booktitle = {2017 {IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2017, Bochum, Germany, July 3-5, 2017}, pages = {633--638}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISVLSI.2017.125}, doi = {10.1109/ISVLSI.2017.125}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/RettkowskiG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itbam/BursaL17, author = {Miroslav Bursa and Lenka Lhotsk{\'{a}}}, editor = {Miroslav Bursa and Andreas Holzinger and M. Elena Renda and Sami Khuri}, title = {The Use of Convolutional Neural Networks in Biomedical Data Processing}, booktitle = {Information Technology in Bio- and Medical Informatics - 8th International Conference, {ITBAM} 2017, Lyon, France, August 28-31, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10443}, pages = {100--119}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-64265-9\_9}, doi = {10.1007/978-3-319-64265-9\_9}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itbam/BursaL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwann/BlahutaSM17, author = {Jiri Blahuta and Tom{\'{a}}s Soukup and Jiri Martinu}, editor = {Ignacio Rojas and Gonzalo Joya and Andreu Catal{\`{a}}}, title = {An Expert System Based on Using Artificial Neural Network and Region-Based Image Processing to Recognition Substantia Nigra and Atherosclerotic Plaques in B-Images: {A} Prospective Study}, booktitle = {Advances in Computational Intelligence - 14th International Work-Conference on Artificial Neural Networks, {IWANN} 2017, Cadiz, Spain, June 14-16, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10305}, pages = {236--245}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-59153-7\_21}, doi = {10.1007/978-3-319-59153-7\_21}, timestamp = {Sun, 25 Oct 2020 22:36:07 +0100}, biburl = {https://dblp.org/rec/conf/iwann/BlahutaSM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/ZhangMBYLPXCDQS17, author = {Shenglin Zhang and Weibin Meng and Jiahao Bu and Sen Yang and Ying Liu and Dan Pei and Jun Xu and Yu Chen and Hui Dong and Xianping Qu and Lei Song}, title = {Syslog processing for switch failure diagnosis and prediction in datacenter networks}, booktitle = {25th {IEEE/ACM} International Symposium on Quality of Service, IWQoS 2017, Vilanova i la Geltr{\'{u}}, Spain, June 14-16, 2017}, pages = {1--10}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IWQoS.2017.7969130}, doi = {10.1109/IWQOS.2017.7969130}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwqos/ZhangMBYLPXCDQS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kivs/NguyenMNBGMS17, author = {The An Binh Nguyen and Christian Meurisch and Stefan Niemczyk and Doreen B{\"{o}}hnstedt and Kurt Geihs and Max M{\"{u}}hlh{\"{a}}user and Ralf Steinmetz}, title = {Adaptive task-oriented message template for in-network processing}, booktitle = {2017 International Conference on Networked Systems, NetSys 2017, G{\"{o}}ttingen, Germany, March 13-16, 2017}, pages = {1--8}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NetSys.2017.7903952}, doi = {10.1109/NETSYS.2017.7903952}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kivs/NguyenMNBGMS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kivs/NguyenMNKBS17, author = {The An Binh Nguyen and Christian Meurisch and Stefan Niemczyk and Christian Klos and Doreen B{\"{o}}hnstedt and Ralf Steinmetz}, title = {Facilitating volunteer computing resources for in-network processing through message template}, booktitle = {2017 International Conference on Networked Systems, NetSys 2017, G{\"{o}}ttingen, Germany, March 13-16, 2017}, pages = {1--2}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NetSys.2017.7931515}, doi = {10.1109/NETSYS.2017.7931515}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kivs/NguyenMNKBS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lctrts/WangZY17, author = {Yi Wang and Mingxu Zhang and Jing Yang}, editor = {Vijay Nagarajan and Zili Shao}, title = {Towards memory-efficient processing-in-memory architecture for convolutional neural networks}, booktitle = {Proceedings of the 18th {ACM} {SIGPLAN/SIGBED} Conference on Languages, Compilers, and Tools for Embedded Systems, {LCTES} 2017, Barcelona, Spain, June 21-22, 2017}, pages = {81--90}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3078633.3081032}, doi = {10.1145/3078633.3081032}, timestamp = {Wed, 23 Jun 2021 15:34:31 +0200}, biburl = {https://dblp.org/rec/conf/lctrts/WangZY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/BhatiaRHZZ17, author = {Parmeet S. Bhatia and Fitsum A. Reda and Martin Harder and Yiqiang Zhan and Xiang Sean Zhou}, editor = {Martin A. Styner and Elsa D. Angelini}, title = {Real time coarse orientation detection in {MR} scans using multi-planar deep convolutional neural networks}, booktitle = {Medical Imaging 2017: Image Processing, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10133}, pages = {1013303}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2254647}, doi = {10.1117/12.2254647}, timestamp = {Sat, 30 Dec 2017 17:22:35 +0100}, biburl = {https://dblp.org/rec/conf/miip/BhatiaRHZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/KorezILPV17, author = {Robert Korez and Bulat Ibragimov and Bostjan Likar and Franjo Pernus and Tomaz Vrtovec}, editor = {Martin A. Styner and Elsa D. Angelini}, title = {Intervertebral disc segmentation in {MR} images with 3D convolutional networks}, booktitle = {Medical Imaging 2017: Image Processing, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10133}, pages = {1013306}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2254069}, doi = {10.1117/12.2254069}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/KorezILPV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LiefersVTHGS17, author = {Bart Liefers and Freerk G. Venhuizen and Thomas Theelen and Carel B. Hoyng and Bram van Ginneken and Clara I. S{\'{a}}nchez}, editor = {Martin A. Styner and Elsa D. Angelini}, title = {Fovea detection in optical coherence tomography using convolutional neural networks}, booktitle = {Medical Imaging 2017: Image Processing, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10133}, pages = {1013302}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2254301}, doi = {10.1117/12.2254301}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/LiefersVTHGS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/SpremVJVI17, author = {Jurica Sprem and Bob D. de Vos and Pim A. de Jong and Max A. Viergever and Ivana Isgum}, editor = {Martin A. Styner and Elsa D. Angelini}, title = {Classification of coronary artery calcifications according to motion artifacts in chest {CT} using a convolutional neural network}, booktitle = {Medical Imaging 2017: Image Processing, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10133}, pages = {101330R}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2253669}, doi = {10.1117/12.2253669}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/SpremVJVI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/UmeharaOIOOSSI17, author = {Kensuke Umehara and Junko Ota and Naoki Ishimaru and Shunsuke Ohno and Kentaro Okamoto and Takanori Suzuki and Naoki Shirai and Takayuki Ishida}, editor = {Martin A. Styner and Elsa D. Angelini}, title = {Super-resolution convolutional neural network for the improvement of the image quality of magnified images in chest radiographs}, booktitle = {Medical Imaging 2017: Image Processing, Orlando, Florida, United States, 11-16 February 2017}, series = {{SPIE} Proceedings}, volume = {10133}, pages = {101331P}, publisher = {{SPIE}}, year = {2017}, url = {https://doi.org/10.1117/12.2249969}, doi = {10.1117/12.2249969}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/UmeharaOIOOSSI17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmm/DaiLW17, author = {Yuanying Dai and Dong Liu and Feng Wu}, editor = {Laurent Amsaleg and Gylfi {\TH}{\'{o}}r Gu{\dh}mundsson and Cathal Gurrin and Bj{\"{o}}rn {\TH}{\'{o}}r J{\'{o}}nsson and Shin'ichi Satoh}, title = {A Convolutional Neural Network Approach for Post-Processing in {HEVC} Intra Coding}, booktitle = {MultiMedia Modeling - 23rd International Conference, {MMM} 2017, Reykjavik, Iceland, January 4-6, 2017, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {10132}, pages = {28--39}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-51811-4\_3}, doi = {10.1007/978-3-319-51811-4\_3}, timestamp = {Wed, 21 Jul 2021 17:12:29 +0200}, biburl = {https://dblp.org/rec/conf/mmm/DaiLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/MerzougBM17, author = {Mohammed Amine Merzoug and Azzedine Boukerche and Ahmed Mostefaoui}, editor = {Antonio A. F. Loureiro and Hsiao{-}Chun Wu and F. Richard Yu}, title = {Serial In-network Processing for Large Stationary Wireless Sensor Networks}, booktitle = {Proceedings of the 20th {ACM} International Conference on Modelling, Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2017, Miami, FL, USA, November 21 - 25, 2017}, pages = {153--160}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3127540.3127568}, doi = {10.1145/3127540.3127568}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mswim/MerzougBM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netsoft/MorrisonS17, author = {Corey Morrison and Alex Sprintson}, title = {An in-network packet processing architecture for distributed data storage}, booktitle = {2017 {IEEE} Conference on Network Softwarization, NetSoft 2017, Bologna, Italy, July 3-7, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NETSOFT.2017.8004201}, doi = {10.1109/NETSOFT.2017.8004201}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/netsoft/MorrisonS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/ScherbMST17, author = {Christopher Scherb and Claudio Marxer and Urs Schnurrenberger and Christian F. Tschudin}, title = {In-network live stream processing with named functions}, booktitle = {2017 {IFIP} Networking Conference, {IFIP} Networking 2017 and Workshops, Stockholm, Sweden, June 12-16, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.23919/IFIPNetworking.2017.8264868}, doi = {10.23919/IFIPNETWORKING.2017.8264868}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/networking/ScherbMST17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nof/AlahmadiLEE17, author = {Amal A. Alahmadi and Ahmed Q. Lawey and Taisir E. H. El{-}Gorashi and Jaafar M. H. Elmirghani}, editor = {Toktam Mahmoodi and Stefano Secci and Antonio Cianfrani and Filip Idzikowski}, title = {Distributed processing in vehicular cloud networks}, booktitle = {8th International Conference on the Network of the Future, {NOF} 2017, London, United Kingdom, November 22-24, 2017}, pages = {22--26}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NOF.2017.8251215}, doi = {10.1109/NOF.2017.8251215}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nof/AlahmadiLEE17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/0001BT17, author = {Francesco Musumeci and Giuseppe Belgiovine and Massimo Tornatore}, title = {Dynamic placement of baseband processing in 5G WDM-based aggregation networks}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2017, Los Angeles, CA, USA, March 19-23, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7936815}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/0001BT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/DandachiCETF17, author = {Ghina Dandachi and Tijani Chahed and Salah{-}Eddine Elayoubi and Nada Chendeb Taher and Ziad Fawal}, title = {Joint allocation strategies for radio and processing resources in Virtual Radio Access Networks {(V-RAN)}}, booktitle = {28th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2017, Montreal, QC, Canada, October 8-13, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PIMRC.2017.8292512}, doi = {10.1109/PIMRC.2017.8292512}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/DandachiCETF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prni/VilamalaMH17, author = {Albert Vilamala and Kristoffer Hougaard Madsen and Lars Kai Hansen}, title = {Adaptive smoothing in fMRI data processing neural networks}, booktitle = {2017 International Workshop on Pattern Recognition in Neuroimaging, {PRNI} 2017, Toronto, ON, Canada, June 21-23, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/PRNI.2017.7981499}, doi = {10.1109/PRNI.2017.7981499}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/prni/VilamalaMH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/HoeflerGTGB17, author = {Torsten Hoefler and Salvatore Di Girolamo and Konstantin Taranov and Ryan E. Grant and Ron Brightwell}, editor = {Bernd Mohr and Padma Raghavan}, title = {sPIN: high-performance streaming processing in the network}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2017, Denver, CO, USA, November 12 - 17, 2017}, pages = {59}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3126908.3126970}, doi = {10.1145/3126908.3126970}, timestamp = {Tue, 08 Nov 2022 16:03:02 +0100}, biburl = {https://dblp.org/rec/conf/sc/HoeflerGTGB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensornets/Wongse-ammatHTA17, author = {Panitan Wongse{-}ammat and Muhammed Mas{-}ud Hussain and Goce Trajcevski and Besim Avci and Ashfaq Khokhar}, editor = {Eric Fleury and Andreas Ahrens and C{\'{e}}sar Benavente{-}Peces}, title = {Distributed In-Network Processing of k-MaxRS in Wireless Sensor Networks}, booktitle = {Proceedings of the 6th International Conference on Sensor Networks {(SENSORNETS} 2017), Porto, Portugal, February 19-21, 2017}, pages = {108--117}, publisher = {SciTePress}, year = {2017}, url = {https://doi.org/10.5220/0006210701080117}, doi = {10.5220/0006210701080117}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensornets/Wongse-ammatHTA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/GongXLGY0S17, author = {Yu Gong and Tingting Xu and Bo Liu and Wei Ge and Jinjiang Yang and Jun Yang and Longxing Shi}, title = {Processing {LSTM} in memory using hybrid network expansion model}, booktitle = {2017 {IEEE} International Workshop on Signal Processing Systems, SiPS 2017, Lorient, France, October 3-5, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SiPS.2017.8110011}, doi = {10.1109/SIPS.2017.8110011}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/GongXLGY0S17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaccs/KuiZLZMD17, author = {Xiaoyan Kui and Shigeng Zhang and Wei Li and Ping Zhong and Xingpo Ma and Huakun Du}, editor = {Guojun Wang and Mohammed Atiquzzaman and Zheng Yan and Kim{-}Kwang Raymond Choo}, title = {A Weight-Bind-Based Safe Top-k Query Processing Scheme in Two-Tiered Sensor Networks}, booktitle = {Security, Privacy, and Anonymity in Computation, Communication, and Storage - SpaCCS 2017 International Workshops, Guangzhou, China, December 12-15, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10658}, pages = {653--666}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-72395-2\_59}, doi = {10.1007/978-3-319-72395-2\_59}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spaccs/KuiZLZMD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spawc/ShinPYD17, author = {Ban{-}Sok Shin and Henning Paul and Masahiro Yukawa and Armin Dekorsy}, title = {Distributed nonlinear regression using in-network processing with multiple Gaussian kernels}, booktitle = {18th {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2017, Sapporo, Japan, July 3-6, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SPAWC.2017.8227645}, doi = {10.1109/SPAWC.2017.8227645}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/spawc/ShinPYD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/ZengCLLLW17, author = {Juru Zeng and Hong Chen and Weiling Lai and Ying Liu and Cuiping Li and Shan Wang}, title = {Privacy-Preserving and Collusion-aware k-NN Query Processing in Two-tiered Sensor Networks}, booktitle = {2017 {IEEE} Trustcom/BigDataSE/ICESS, Sydney, Australia, August 1-4, 2017}, pages = {480--486}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/Trustcom/BigDataSE/ICESS.2017.274}, doi = {10.1109/TRUSTCOM/BIGDATASE/ICESS.2017.274}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/trustcom/ZengCLLLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wons/TranPHP17, author = {Tuyen X. Tran and Parul Pandey and Abolfazl Hajisami and Dario Pompili}, title = {Collaborative multi-bitrate video caching and processing in Mobile-Edge Computing networks}, booktitle = {13th Annual Conference on Wireless On-demand Network Systems and Services, {WONS} 2017, Jackson, WY, USA, February 21-24, 2017}, pages = {165--172}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WONS.2017.7888772}, doi = {10.1109/WONS.2017.7888772}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/wons/TranPHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wwic/GuessousZ17, author = {Mehdi Guessous and Lahbib Zenkouar}, editor = {Yevgeni Koucheryavy and Lefteris Mamatas and Ibrahim Matta and Aleksandr Ometov and Panagiotis Papadimitriou}, title = {A {NURBS} Based Technique for an Optimized Transmit Opportunity Map Processing in {WLAN} Networks}, booktitle = {Wired/Wireless Internet Communications - 15th {IFIP} {WG} 6.2 International Conference, {WWIC} 2017, St. Petersburg, Russia, June 21-23, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10372}, pages = {143--154}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61382-6\_12}, doi = {10.1007/978-3-319-61382-6\_12}, timestamp = {Sat, 05 Sep 2020 17:55:33 +0200}, biburl = {https://dblp.org/rec/conf/wwic/GuessousZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/SohailTZP17, author = {Ammar Sohail and David Taniar and Andreas Z{\"{u}}fle and Jeong{-}Ho Park}, editor = {Rick Barrett and Rick Cummings and Eugene Agichtein and Evgeniy Gabrilovich}, title = {Query Processing in Location-Based Social Networks}, booktitle = {Proceedings of the 26th International Conference on World Wide Web Companion, Perth, Australia, April 3-7, 2017}, pages = {1379--1381}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3041021.3051145}, doi = {10.1145/3041021.3051145}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/SohailTZP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/17/SainathWWNBLVSSCMK17, author = {Tara N. Sainath and Ron J. Weiss and Kevin W. Wilson and Arun Narayanan and Michiel Bacchiani and Bo Li and Ehsan Variani and Izhak Shafran and Andrew W. Senior and Kean K. Chin and Ananya Misra and Chanwoo Kim}, editor = {Shinji Watanabe and Marc Delcroix and Florian Metze and John R. Hershey}, title = {Raw Multichannel Processing Using Deep Neural Networks}, booktitle = {New Era for Robust Speech Recognition, Exploiting Deep Learning}, pages = {105--133}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-64680-0\_5}, doi = {10.1007/978-3-319-64680-0\_5}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/17/SainathWWNBLVSSCMK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/acvpr/Summers17, author = {Ronald M. Summers}, editor = {Le Lu and Yefeng Zheng and Gustavo Carneiro and Lin Yang}, title = {Deep Learning and Computer-Aided Diagnosis for Medical Image Processing: {A} Personal Perspective}, booktitle = {Deep Learning and Convolutional Neural Networks for Medical Image Computing - Precision Medicine, High Performance and Large-Scale Datasets}, series = {Advances in Computer Vision and Pattern Recognition}, pages = {3--10}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-42999-1\_1}, doi = {10.1007/978-3-319-42999-1\_1}, timestamp = {Tue, 18 Apr 2023 21:52:50 +0200}, biburl = {https://dblp.org/rec/series/acvpr/Summers17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ipsn/2017, editor = {Pei Zhang and Prabal Dutta and Guoliang Xing}, title = {Proceedings of the 16th {ACM/IEEE} International Conference on Information Processing in Sensor Networks, {IPSN} 2017, Pittsburgh, PA, USA, April 18-21, 2017}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3055031}, doi = {10.1145/3055031}, isbn = {978-1-4503-4890-4}, timestamp = {Thu, 19 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipsn/2017.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/gis/X17xxaf, editor = {Shashi Shekhar and Hui Xiong and Xun Zhou}, title = {Voronoi-Based Query Processing in Road Network Databases}, booktitle = {Encyclopedia of {GIS}}, pages = {2454}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-17885-1\_101471}, doi = {10.1007/978-3-319-17885-1\_101471}, timestamp = {Fri, 03 Apr 2020 17:07:19 +0200}, biburl = {https://dblp.org/rec/reference/gis/X17xxaf.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/BammerD17, author = {Roswitha Bammer and Monika D{\"{o}}rfler}, title = {Gabor frames and deep scattering networks in audio processing}, journal = {CoRR}, volume = {abs/1706.08818}, year = {2017}, url = {http://arxiv.org/abs/1706.08818}, eprinttype = {arXiv}, eprint = {1706.08818}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/BammerD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HoNMD17, author = {Chung Duc Ho and Hien Quoc Ngo and Michail Matthaiou and Trung Quang Duong}, title = {On the Performance of Zero-Forcing Processing in Multi-Way Massive {MIMO} Relay Networks}, journal = {CoRR}, volume = {abs/1701.00645}, year = {2017}, url = {http://arxiv.org/abs/1701.00645}, eprinttype = {arXiv}, eprint = {1701.00645}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HoNMD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/KhademianH17, author = {Mahdi Khademian and Mohammad Mehdi Homayounpour}, title = {Feature Joint-State Posterior Estimation in Factorial Speech Processing Models using Deep Neural Networks}, journal = {CoRR}, volume = {abs/1707.02661}, year = {2017}, url = {http://arxiv.org/abs/1707.02661}, eprinttype = {arXiv}, eprint = {1707.02661}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/KhademianH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1709-05483, author = {Torsten Hoefler and Salvatore Di Girolamo and Konstantin Taranov and Ryan E. Grant and Ron Brightwell}, title = {sPIN: High-performance streaming Processing in the Network}, journal = {CoRR}, volume = {abs/1709.05483}, year = {2017}, url = {http://arxiv.org/abs/1709.05483}, eprinttype = {arXiv}, eprint = {1709.05483}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1709-05483.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1710-00629, author = {Albert Vilamala and Kristoffer Hougaard Madsen and Lars Kai Hansen}, title = {Adaptive Smoothing in fMRI Data Processing Neural Networks}, journal = {CoRR}, volume = {abs/1710.00629}, year = {2017}, url = {http://arxiv.org/abs/1710.00629}, eprinttype = {arXiv}, eprint = {1710.00629}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1710-00629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-01763, author = {Zijie Zheng and Lingyang Song and Zhu Han and Geoffrey Ye Li and H. Vincent Poor}, title = {Game Theoretic Approaches to Massive Data Processing in Wireless Networks}, journal = {CoRR}, volume = {abs/1711.01763}, year = {2017}, url = {http://arxiv.org/abs/1711.01763}, eprinttype = {arXiv}, eprint = {1711.01763}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-01763.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1711-04459, title = {Multilayer Nonlinear Processing for Information Privacy in Sensor Networks}, journal = {CoRR}, volume = {abs/1711.04459}, year = {2017}, note = {Withdrawn.}, url = {http://arxiv.org/abs/1711.04459}, eprinttype = {arXiv}, eprint = {1711.04459}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1711-04459.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Engstrom16, author = {Christopher Engstr{\"{o}}m}, title = {PageRank in Evolving Networks and Applications of Graphs in Natural Language Processing and Biology}, school = {M{\"{a}}lardalen University College, V{\"{a}}ster{\aa}s, Eskilstuna, Sweden}, year = {2016}, url = {https://nbn-resolving.org/urn:nbn:se:mdh:diva-33459}, urn = {urn:nbn:se:mdh:diva-33459}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Engstrom16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Finger16, author = {Holger Finger}, title = {Information processing in neural networks: learning of structural connectivity and dynamics of functional activation}, school = {University of Osnabr{\"{u}}ck, Germany}, year = {2016}, url = {https://d-nb.info/1132592747}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Finger16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Josse16, author = {Gregor Joss{\'{e}}}, title = {Query processing in complex modern traffic networks}, school = {Ludwig Maximilian University of Munich, Germany}, year = {2016}, url = {https://edoc.ub.uni-muenchen.de/20030/}, urn = {urn:nbn:de:bvb:19-200306}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Josse16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Gelyan16, author = {Sepideh Nazemi Gelyan}, title = {Distributed optimisation framework for in-network data processing}, school = {Imperial College London, {UK}}, year = {2016}, url = {https://ethos.bl.uk/OrderDetails.do?uin=uk.bl.ethos.700689}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Gelyan16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/air/SidibeDLML16, author = {Yaya Sidib{\'{e}} and Fabrice Druaux and Dimitri Lefebvre and G{\'{e}}rard Maze and Fernand Leon}, title = {Signal processing and Gaussian neural networks for the edge and damage detection in immersed metal plate-like structures}, journal = {Artif. Intell. Rev.}, volume = {46}, number = {3}, pages = {289--305}, year = {2016}, url = {https://doi.org/10.1007/s10462-016-9464-z}, doi = {10.1007/S10462-016-9464-Z}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/air/SidibeDLML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/brain/WeberLIH16, author = {Kirsten Weber and Lisa Luther and Peter Indefrey and Peter Hagoort}, title = {Overlap and Differences in Brain Networks Underlying the Processing of Complex Sentence Structures in Second Language Users Compared with Native Speakers}, journal = {Brain Connect.}, volume = {6}, number = {4}, pages = {345--355}, year = {2016}, url = {https://doi.org/10.1089/brain.2015.0383}, doi = {10.1089/BRAIN.2015.0383}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/brain/WeberLIH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/EspinozaVTLM16, author = {Karlos Espinoza and Diego L. Valera and Jos{\'{e}} Antonio Torres and Alejandro L{\'{o}}pez and Francisco D. Molina{-}Aiz}, title = {Combination of image processing and artificial neural networks as a novel approach for the identification of Bemisia tabaci and Frankliniella occidentalis on sticky traps in greenhouse agriculture}, journal = {Comput. Electron. Agric.}, volume = {127}, pages = {495--505}, year = {2016}, url = {https://doi.org/10.1016/j.compag.2016.07.008}, doi = {10.1016/J.COMPAG.2016.07.008}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/EspinozaVTLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/YangCK16, author = {Heejung Yang and Chin{-}Wan Chung and Myoung Ho Kim}, title = {An efficient top-k query processing framework in mobile sensor networks}, journal = {Data Knowl. Eng.}, volume = {102}, pages = {78--95}, year = {2016}, url = {https://doi.org/10.1016/j.datak.2016.02.001}, doi = {10.1016/J.DATAK.2016.02.001}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dke/YangCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/YangCK16a, author = {Heejung Yang and Chin{-}Wan Chung and Myoung Ho Kim}, title = {Erratum to 'An efficient top-k query processing framework in mobile sensor networks' [Data Knowl. Eng. 102(2016) 78-95]}, journal = {Data Knowl. Eng.}, volume = {103}, pages = {60}, year = {2016}, url = {https://doi.org/10.1016/j.datak.2016.03.002}, doi = {10.1016/J.DATAK.2016.03.002}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dke/YangCK16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/Hadzi-VelkovNCZ16, author = {Zoran Hadzi{-}Velkov and Ivana Nikoloska and Hristina Chingoska and Nikola Zlatanov}, title = {Proportional Fair Scheduling in Wireless Networks With {RF} Energy Harvesting and Processing Cost}, journal = {{IEEE} Commun. Lett.}, volume = {20}, number = {10}, pages = {2107--2110}, year = {2016}, url = {https://doi.org/10.1109/LCOMM.2016.2593730}, doi = {10.1109/LCOMM.2016.2593730}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/Hadzi-VelkovNCZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/AkhlaghiDE16, author = {Parand Akhlaghi and Mehdi Dehghan and Mohammad Mehdi Ebadzadeh}, title = {Imprecise query processing in wireless sensor networks: a fuzzy-based approach}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {22}, number = {1}, pages = {14--34}, year = {2016}, url = {https://doi.org/10.1504/IJAHUC.2016.076590}, doi = {10.1504/IJAHUC.2016.076590}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/AkhlaghiDE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/OztarakAYB16, author = {Hakan {\"{O}}ztarak and Kemal Akkaya and Adnan Yazici and Pinar Sarisaray B{\"{o}}l{\"{u}}k}, title = {Efficient active rule processing in wireless multimedia sensor networks}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {21}, number = {1}, pages = {64--77}, year = {2016}, url = {https://doi.org/10.1504/IJAHUC.2016.074390}, doi = {10.1504/IJAHUC.2016.074390}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/OztarakAYB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/DaiYYHYP16, author = {Hua Dai and Qingqun Ye and Xun Yi and Ruiliang He and Geng Yang and Jinji Pan}, title = {VP\({}^{\mbox{2}}\)RQ: Efficient verifiable privacy-preserving range query processing in two-tiered wireless sensor networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {12}, number = {11}, year = {2016}, url = {https://doi.org/10.1177/1550147716675627}, doi = {10.1177/1550147716675627}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/DaiYYHYP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/DingTZ16, author = {Nan Ding and Guozhen Tan and Wei Zhang}, title = {IA\({}^{\mbox{2}}\)P: Intrusion-Tolerant Malicious Data Injection Attack Analysis and Processing in Traffic Flow Data Collection Based on VANETs}, journal = {Int. J. Distributed Sens. Networks}, volume = {12}, number = {5}, pages = {5159739:1--5159739:10}, year = {2016}, url = {https://doi.org/10.1155/2016/5159739}, doi = {10.1155/2016/5159739}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/DingTZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/WangZAZLX16, author = {Dong Wang and Mingquan Zhou and Sajid Ali and Pengbo Zhou and Yusong Liu and Xuesong Wang}, title = {A Novel Complex Event Processing Engine for Intelligent Data Analysis in Integrated Information Systems}, journal = {Int. J. Distributed Sens. Networks}, volume = {12}, pages = {6741401:1--6741401:14}, year = {2016}, url = {https://doi.org/10.1155/2016/6741401}, doi = {10.1155/2016/6741401}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/WangZAZLX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/AttiqueCJC16a, author = {Muhammad Attique and Hyung{-}Ju Cho and Rize Jin and Tae{-}Sun Chung}, title = {Efficient Processing of Continuous Reverse \emph{k} Nearest Neighbor on Moving Objects in Road Networks}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {5}, number = {12}, pages = {247}, year = {2016}, url = {https://doi.org/10.3390/ijgi5120247}, doi = {10.3390/IJGI5120247}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/AttiqueCJC16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/LiuWZ16a, author = {Qingshan Liu and Jun Wang and Zhigang Zeng}, title = {Advances in Neural Networks, Intelligent Control and Information Processing}, journal = {Neurocomputing}, volume = {198}, pages = {1--3}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2016.01.085}, doi = {10.1016/J.NEUCOM.2016.01.085}, timestamp = {Thu, 05 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/LiuWZ16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/MaZJW16, author = {Zheng Ma and Jin Zheng and Weijia Jia and Guojun Wang}, title = {An efficient spatial query processing algorithm in multi-sink wireless sensor networks}, journal = {Int. J. Sens. Networks}, volume = {22}, number = {4}, pages = {274--282}, year = {2016}, url = {https://doi.org/10.1504/IJSNET.2016.10001243}, doi = {10.1504/IJSNET.2016.10001243}, timestamp = {Mon, 23 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsnet/MaZJW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/DaiWHXY16, author = {Hua Dai and Tianyi Wei and Yue Huang and Jia Xu and Geng Yang}, title = {Random Secure Comparator Selection Based Privacy-Preserving {MAX/MIN} Query Processing in Two-Tiered Sensor Networks}, journal = {J. Sensors}, volume = {2016}, pages = {6301404:1--6301404:13}, year = {2016}, url = {https://doi.org/10.1155/2016/6301404}, doi = {10.1155/2016/6301404}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/DaiWHXY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/LiuC00C16a, author = {Huaijin Liu and Yonghong Chen and Hui Tian and Tian Wang and Yiqiao Cai}, title = {{\unicode{20004}}{\unicode{23618}}{\unicode{26080}}{\unicode{32447}}{\unicode{20256}}{\unicode{24863}}{\unicode{32593}}{\unicode{20013}}{\unicode{33410}}{\unicode{33021}}{\unicode{30340}}{\unicode{23433}}{\unicode{20840}}{\unicode{33539}}{\unicode{22260}}{\unicode{26597}}{\unicode{35810}}{\unicode{26041}}{\unicode{27861}} (Privacy and Integrity Protection Range Query Processing in Two-tiered Wireless Sensor Networks)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {43}, number = {{Z11}}, pages = {393--397}, year = {2016}, url = {https://doi.org/10.11896/j.issn.1002-137X.2016.11A.090}, doi = {10.11896/J.ISSN.1002-137X.2016.11A.090}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/LiuC00C16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/ChoJ16, author = {Hyung{-}Ju Cho and Rize Jin}, title = {Efficient Processing of Moving \emph{k}-Range Nearest Neighbor Queries in Directed and Dynamic Spatial Networks}, journal = {Mob. Inf. Syst.}, volume = {2016}, pages = {2406142:1--2406142:17}, year = {2016}, url = {https://doi.org/10.1155/2016/2406142}, doi = {10.1155/2016/2406142}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/ChoJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ojwt/MeghiniA16, author = {Carlo Meghini and Anastasia Analyti}, title = {Query Processing in a {P2P} Network of Taxonomy-based Information Sources}, journal = {Open J. Web Technol.}, volume = {3}, number = {1}, pages = {1--25}, year = {2016}, url = {https://nbn-resolving.org/urn:nbn:de:101:1-201705291402}, urn = {urn:nbn:de:101:1-201705291402}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ojwt/MeghiniA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pik/GerhardSM16a, author = {Tim Gerhard and Dennis Schwerdel and Paul M{\"{u}}ller}, title = {Providing Information Centricity in Today's Internet via Software-Defined Networking and In-Network Processing}, journal = {Prax. Inf.verarb. Kommun.}, volume = {39}, number = {3-4}, pages = {71}, year = {2016}, url = {https://doi.org/10.1515/pik-2017-0002}, doi = {10.1515/PIK-2017-0002}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pik/GerhardSM16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pnc/PaulBMN16, author = {Sibendu Paul and Bitan Banerjee and Amitava Mukherjee and Mrinal K. Naskar}, title = {Priority-based content processing with Q-routing in information-centric networking {(ICN)}}, journal = {Photonic Netw. Commun.}, volume = {32}, number = {3}, pages = {348--358}, year = {2016}, url = {https://doi.org/10.1007/s11107-016-0665-9}, doi = {10.1007/S11107-016-0665-9}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pnc/PaulBMN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppna/PadhariyaMM16, author = {Nilesh Padhariya and Anirban Mondal and Sanjay Kumar Madria}, title = {Top-k query processing in mobile-P2P networks using economic incentive schemes}, journal = {Peer-to-Peer Netw. Appl.}, volume = {9}, number = {4}, pages = {731--751}, year = {2016}, url = {https://doi.org/10.1007/s12083-015-0391-6}, doi = {10.1007/S12083-015-0391-6}, timestamp = {Mon, 04 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppna/PadhariyaMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/VermaFPK16, author = {Arjun Verma and Brian E. Fratto and Vladimir Privman and Evgeny Katz}, title = {Design of Flow Systems for Improved Networking and Reduced Noise in Biomolecular Signal Processing in Biocomputing and Biosensing Applications}, journal = {Sensors}, volume = {16}, number = {7}, pages = {1042}, year = {2016}, url = {https://doi.org/10.3390/s16071042}, doi = {10.3390/S16071042}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/VermaFPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JingMQCZM16, author = {Ya{-}Qi Jing and Qing{-}Hao Meng and Pei{-}Feng Qi and Meng{-}Li Cao and Ming Zeng and Shu{-}Gen Ma}, title = {A Bioinspired Neural Network for Data Processing in an Electronic Nose}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {65}, number = {10}, pages = {2369--2380}, year = {2016}, url = {https://doi.org/10.1109/TIM.2016.2578618}, doi = {10.1109/TIM.2016.2578618}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JingMQCZM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/GeraciWQ16, author = {Giovanni Geraci and Matthias Wildemeersch and Tony Q. S. Quek}, title = {Energy Efficiency of Distributed Signal Processing in Wireless Networks: {A} Cross-Layer Analysis}, journal = {{IEEE} Trans. Signal Process.}, volume = {64}, number = {4}, pages = {1034--1047}, year = {2016}, url = {https://doi.org/10.1109/TSP.2015.2494865}, doi = {10.1109/TSP.2015.2494865}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/GeraciWQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LeeS16, author = {Ta{-}Sung Lee and Hsuan{-}Jung Su}, title = {Guest Editorial: Signal Processing for Ultra High Performance and High Efficiency in 5G Mobile Networks}, journal = {J. Signal Process. Syst.}, volume = {83}, number = {2}, pages = {129--131}, year = {2016}, url = {https://doi.org/10.1007/s11265-016-1109-6}, doi = {10.1007/S11265-016-1109-6}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LeeS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/BithasR16, author = {Petros S. Bithas and Athanasios A. Rontogiannis}, title = {User selection scheme with limited feedback processing and outdated {CSI} in multiuser relay networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {16}, number = {16}, pages = {2700--2713}, year = {2016}, url = {https://doi.org/10.1002/wcm.2719}, doi = {10.1002/WCM.2719}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/BithasR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acmicn/MarxerST16, author = {Claudio Marxer and Christopher Scherb and Christian F. Tschudin}, editor = {Tohru Asami and Xiaoming Fu and Jeff Burke and Dario Rossi and Ioannis Psaras}, title = {Access-Controlled In-Network Processing of Named Data}, booktitle = {Proceedings of the 3rd {ACM} Conference on Information-Centric Networking, {ICN} '16, Kyoto, Japan, September 26-28, 2016}, pages = {77--82}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2984356.2984366}, doi = {10.1145/2984356.2984366}, timestamp = {Fri, 24 Feb 2023 10:11:52 +0100}, biburl = {https://dblp.org/rec/conf/acmicn/MarxerST16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apscc/LiLLL16, author = {Fangfang Li and Siwei Luo and Xiyao Liu and Jianbin Li}, editor = {Guojun Wang and Yanbo Han and Gregorio Mart{\'{\i}}nez P{\'{e}}rez}, title = {Appropriate Feature Selection and Post-processing for the Recognition of Artificial Pornographic Images in Social Networks}, booktitle = {Advances in Services Computing - 10th Asia-Pacific Services Computing Conference, {APSCC} 2016, Zhangjiajie, China, November 16-18, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10065}, pages = {504--516}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49178-3\_38}, doi = {10.1007/978-3-319-49178-3\_38}, timestamp = {Mon, 23 Jan 2023 10:33:27 +0100}, biburl = {https://dblp.org/rec/conf/apscc/LiLLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atsip/AbkariM16, author = {Safae El Abkari and Jamal El Mhamdi}, title = {The nervous system modeling algorithm for signal processing and communication in intelligent networks}, booktitle = {2nd International Conference on Advanced Technologies for Signal and Image Processing, {ATSIP} 2016, Monastir, Tunisia, March 21-23, 2016}, pages = {703--709}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ATSIP.2016.7523182}, doi = {10.1109/ATSIP.2016.7523182}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/atsip/AbkariM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/MusslickDOPWC16, author = {Sebastian Musslick and Biswadip Dey and Kayhan {\"{O}}zcimder and Md. Mostofa Ali Patwary and Theodore L. Willke and Jonathan D. Cohen}, editor = {Anna Papafragou and Daniel Grodner and Daniel Mirman and John C. Trueswell}, title = {Controlled vs. Automatic Processing: {A} Graph-Theoretic Approach to the Analysis of Serial vs. Parallel Processing in Neural Network Architectures}, booktitle = {Proceedings of the 38th Annual Meeting of the Cognitive Science Society, Recognizing and Representing Events, CogSci 2016, Philadelphia, PA, USA, August 10-13, 2016}, publisher = {cognitivesciencesociety.org}, year = {2016}, url = {https://mindmodeling.org/cogsci2016/papers/0273/index.html}, timestamp = {Thu, 18 Apr 2024 13:03:08 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/MusslickDOPWC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/TakacK16a, author = {Martin Tak{\'{a}}c and Alistair Knott}, editor = {Anna Papafragou and Daniel Grodner and Daniel Mirman and John C. Trueswell}, title = {Working memory encoding of events and their participants: a neural network model with applications in sensorimotor processing and sentence generation}, booktitle = {Proceedings of the 38th Annual Meeting of the Cognitive Science Society, Recognizing and Representing Events, CogSci 2016, Philadelphia, PA, USA, August 10-13, 2016}, publisher = {cognitivesciencesociety.org}, year = {2016}, url = {https://mindmodeling.org/cogsci2016/papers/0406/index.html}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/TakacK16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csndsp/UlbrichtW16, author = {Marian Ulbricht and Jens Wagner}, title = {Accelerated processing delay optimization in hierarchical networks using low cost hardware}, booktitle = {10th International Symposium on Communication Systems, Networks and Digital Signal Processing, {CSNDSP} 2016, Prague, Czech Republic, July 20-22, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CSNDSP.2016.7573903}, doi = {10.1109/CSNDSP.2016.7573903}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csndsp/UlbrichtW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edb/LaiAL16, author = {Chuan{-}Chi Lai and Zulhaydar Fairozal Akbar and Chuan{-}Ming Liu}, editor = {Carson K. Leung and Jinho Kim and Young{-}Kuk Kim and James Geller and Wonik Choi and Young{-}Ho Park}, title = {A cooperative method for processing range-skyline queries in mobile wireless sensor networks}, booktitle = {Proceedings of the Sixth International Conference on Emerging Databases: Technologies, Applications, and Theory, {EDB} 2016, Jeju Island, Republic of Korea, October 17-19, 2016}, pages = {1--8}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/3007818.3007820}, doi = {10.1145/3007818.3007820}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edb/LaiAL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edb/LimBY16, author = {Jongtae Lim and Kyoung Soo Bok and Jaesoo Yoo}, editor = {Carson K. Leung and Jinho Kim and Young{-}Kuk Kim and James Geller and Wonik Choi and Young{-}Ho Park}, title = {Processing a continuous range query in mobile {P2P} network environments}, booktitle = {Proceedings of the Sixth International Conference on Emerging Databases: Technologies, Applications, and Theory, {EDB} 2016, Jeju Island, Republic of Korea, October 17-19, 2016}, pages = {102--105}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/3007818.3007834}, doi = {10.1145/3007818.3007834}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edb/LimBY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewdts/FedorenkoLKY16, author = {N. Fedorenko and L. Lutay and Vyacheslav S. Kharchenko and Ye. Yehorova}, title = {The processing of the diagnostic data in a medical information-analytical system using a network of neuro modules with relearning}, booktitle = {2016 {IEEE} East-West Design {\&} Test Symposium, {EWDTS} 2016, Yerevan, Armenia, October 14-17, 2016}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/EWDTS.2016.7807653}, doi = {10.1109/EWDTS.2016.7807653}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ewdts/FedorenkoLKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/SertYD16, author = {Seyyit Alper Sert and Adnan Yazici and Tansel D{\"{o}}keroglu}, title = {Fuzzy processing in surveillance wireless sensor networks}, booktitle = {2016 {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {1509--1515}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FUZZ-IEEE.2016.7737869}, doi = {10.1109/FUZZ-IEEE.2016.7737869}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/SertYD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globalsip/FelembanLPC16, author = {Noor Felemban and Zongqing Lu and Thomas La Porta and Kevin S. Chan}, title = {Video processing of complex activity detection in resource-constrained networks}, booktitle = {2016 {IEEE} Global Conference on Signal and Information Processing, GlobalSIP 2016, Washington, DC, USA, December 7-9, 2016}, pages = {1345--1348}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/GlobalSIP.2016.7906060}, doi = {10.1109/GLOBALSIP.2016.7906060}, timestamp = {Wed, 10 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globalsip/FelembanLPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ShiHSCX16, author = {Qingjiang Shi and Mingyi Hong and Enbin Song and Yunlong Cai and WeiQiang Xu}, title = {A penalty-BSUM approach for rate optimization in full-duplex {MIMO} relay networks with relay processing delay}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {3646--3650}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICASSP.2016.7472357}, doi = {10.1109/ICASSP.2016.7472357}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ShiHSCX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ZhangLYM16, author = {Wenhao Zhang and Hanyu Li and Minda Yang and Nima Mesgarani}, title = {Synaptic depression in deep neural networks for speech processing}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {5865--5869}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICASSP.2016.7472802}, doi = {10.1109/ICASSP.2016.7472802}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ZhangLYM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccst/MaldonadoAMP16, author = {Miguel Maldonado and Darwin Alulema and Derlin Morocho and Marida Proano}, title = {System for monitoring natural disasters using natural language processing in the social network Twitter}, booktitle = {{IEEE} International Carnahan Conference on Security Technology, {ICCST} 2016, Orlando, FL, USA, October 24-27, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CCST.2016.7815686}, doi = {10.1109/CCST.2016.7815686}, timestamp = {Wed, 09 Mar 2022 16:39:53 +0100}, biburl = {https://dblp.org/rec/conf/iccst/MaldonadoAMP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/LeeKH16, author = {Chanhee Lee and Taehun Kim and Soon J. Hyun}, title = {A sensor network query processing system for healthcare data acquisition in Dr. {M}}, booktitle = {32nd {IEEE} International Conference on Data Engineering Workshops, {ICDE} Workshops 2016, Helsinki, Finland, May 16-20, 2016}, pages = {78--83}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICDEW.2016.7495621}, doi = {10.1109/ICDEW.2016.7495621}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/LeeKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdsc/JafarizadehY16, author = {Mehdi Jafarizadeh and Naeeme YadollahPour}, title = {Simulation of Parallel Processing for Distributed Multi-Target Tracking in Smart Camera Networks}, booktitle = {Proceedings of the 10th International Conference on Distributed Smart Camera, Paris, France, September 12-15, 2016}, pages = {196--201}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2967413.2967436}, doi = {10.1145/2967413.2967436}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdsc/JafarizadehY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/LuCUP16, author = {Zongqing Lu and Kevin S. Chan and Rahul Urgaonkar and Thomas La Porta}, title = {On-demand video processing in wireless networks}, booktitle = {24th {IEEE} International Conference on Network Protocols, {ICNP} 2016, Singapore, November 8-11, 2016}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICNP.2016.7784438}, doi = {10.1109/ICNP.2016.7784438}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnp/LuCUP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/SongDLP16, author = {Yang Song and Enqing Dong and Wei Liu and Xue Peng}, title = {An iterative method of processing node flip ambiguity in wireless sensor networks node localization}, booktitle = {2016 International Conference on Information Networking, {ICOIN} 2016, Kota Kinabalu, Malaysia, January 13-15, 2016}, pages = {92--97}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICOIN.2016.7427094}, doi = {10.1109/ICOIN.2016.7427094}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/SongDLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/KimuraNYMKN16, author = {Mutsumi Kimura and Nao Nakamura and Tomoharu Yokoyama and Tokiyoshi Matsuda and Tomoya Kameda and Yasuhiko Nakashima}, editor = {Akira Hirose and Seiichi Ozawa and Kenji Doya and Kazushi Ikeda and Minho Lee and Derong Liu}, title = {Simplification of Processing Elements in Cellular Neural Networks - Working Confirmation Using Circuit Simulation}, booktitle = {Neural Information Processing - 23rd International Conference, {ICONIP} 2016, Kyoto, Japan, October 16-21, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9948}, pages = {309--317}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-46672-9\_35}, doi = {10.1007/978-3-319-46672-9\_35}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/KimuraNYMKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/YangTCMM16, author = {Shusen Yang and Yad Tahir and Po{-}Yu Chen and Alan Marshall and Julie A. McCann}, title = {Distributed optimization in energy harvesting sensor networks with dynamic in-network data processing}, booktitle = {35th Annual {IEEE} International Conference on Computer Communications, {INFOCOM} 2016, San Francisco, CA, USA, April 10-14, 2016}, pages = {1--9}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/INFOCOM.2016.7524475}, doi = {10.1109/INFOCOM.2016.7524475}, timestamp = {Fri, 08 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/YangTCMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iot/KolcunBM16, author = {Roman Kolcun and David E. Boyle and Julie A. McCann}, editor = {Stefan Schneegass and Albrecht Schmidt and Florian Michahelles and Mareike Kritzler and Alexander Ilic and Kai Kunze}, title = {Efficient In-Network Processing for a Hardware-Heterogeneous IoT}, booktitle = {Proceedings of the 6th International Conference on the Internet of Things, {IOT} 2016, Stuttgart, Germany, November 7-9, 2016}, pages = {93--101}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=2991568}, timestamp = {Tue, 06 Nov 2018 16:59:08 +0100}, biburl = {https://dblp.org/rec/conf/iot/KolcunBM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/ChiLXZZLWX16, author = {Ping Chi and Shuangchen Li and Cong Xu and Tao Zhang and Jishen Zhao and Yongpan Liu and Yu Wang and Yuan Xie}, title = {{PRIME:} {A} Novel Processing-in-Memory Architecture for Neural Network Computation in ReRAM-Based Main Memory}, booktitle = {43rd {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2016, Seoul, South Korea, June 18-22, 2016}, pages = {27--39}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCA.2016.13}, doi = {10.1109/ISCA.2016.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/ChiLXZZLWX16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/AkininaATSN16, author = {Natalya V. Akinina and Maxim V. Akinin and Alexandr I. Taganov and Alexandra V. Sokolova and Michael B. Nikiforov}, title = {Neural network implementation of a principal component analysis tasks on board the unmanned aerial vehicle information processing in real time}, booktitle = {5th Mediterranean Conference on Embedded Computing, {MECO} 2016, Bar, Montenegro, June 12-16, 2016}, pages = {326--330}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MECO.2016.7525773}, doi = {10.1109/MECO.2016.7525773}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/meco/AkininaATSN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/DwarakanathKS16, author = {Rahul Chini Dwarakanath and Boris Koldehofe and Ralf Steinmetz}, title = {Operator Migration for Distributed Complex Event Processing in Device-to-Device Based Networks}, booktitle = {Proceedings of the 3rd Workshop on Middleware for Context-Aware Applications in the IoT, M4IoT@Middleware 2016, Trento, Italy, December 12-13, 2016}, pages = {13--18}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/3008631.3008634}, doi = {10.1145/3008631.3008634}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/middleware/DwarakanathKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/milcom/JungLCP16, author = {Byungkwan Jung and Sunho Lim and Jinseok Chae and Cong Pu}, editor = {Jerry Brand and Matthew C. Valenti and Akinwale Akinpelu and Bharat T. Doshi and Bonnie L. Gorsic}, title = {Validity region sensitive query processing strategies in mobile ad hoc networks}, booktitle = {2016 {IEEE} Military Communications Conference, {MILCOM} 2016, Baltimore, MD, USA, November 1-3, 2016}, pages = {1022--1027}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/MILCOM.2016.7795464}, doi = {10.1109/MILCOM.2016.7795464}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/milcom/JungLCP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ntms/MahmoodA16, author = {Ali M. Mahmood and Adil Al{-}Yasiri}, editor = {Mohamad Badra and Giovanni Pau and Vasos Vassiliou}, title = {Scalable Processing in 5G Cloud-RAN Networks Using MapReduce Framework}, booktitle = {8th {IFIP} International Conference on New Technologies, Mobility and Security, {NTMS} 2016, Larnaca, Cyprus, November 21-23, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NTMS.2016.7792474}, doi = {10.1109/NTMS.2016.7792474}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ntms/MahmoodA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/MarquezanDKPH16, author = {Clarissa Cassales Marquezan and Zoran Despotovic and Ramin Khalili and David P{\'{e}}rez{-}Caparr{\'{o}}s and Artur Hecker}, title = {Understanding processing latency of {SDN} based mobility management in mobile core networks}, booktitle = {27th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2016, Valencia, Spain, September 4-8, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/PIMRC.2016.7794937}, doi = {10.1109/PIMRC.2016.7794937}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/MarquezanDKPH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.