Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "hsiang tseng"
@article{DBLP:journals/cbm/TsengCHYS24, author = {Wen{-}Hsuan Tseng and Hsiang{-}Ling Chiu and Tzu{-}Yu Hsiao and Tsung{-}Lin Yang and Po{-}Jen Shih}, title = {Identification and analysis of Nonlinear behaviors of vocal fold biomechanics during phonation to assess efficacy of surgery for benign laryngeal Diseases}, journal = {Comput. Biol. Medicine}, volume = {169}, pages = {107946}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.107946}, doi = {10.1016/J.COMPBIOMED.2024.107946}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/TsengCHYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/WangCKTL24, author = {Chun Wang and Pin{-}Chun Chiu and Chun{-}Lin Ko and Sheng{-}Hsiang Tseng and Chun{-}Hsing Li}, title = {A 340-GHz THz Amplifier-Frequency-Multiplier Chain With 360{\textdegree} Phase-Shifting Range and its Phase Characterization}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {14}, number = {1}, pages = {52--66}, year = {2024}, url = {https://doi.org/10.1109/JETCAS.2023.3345358}, doi = {10.1109/JETCAS.2023.3345358}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/WangCKTL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tamd/TsengLCLTLC24, author = {Yi{-}Li Tseng and Hong{-}Hsiang Liu and Yen{-}Nan Chiu and Chia{-}Hsin Lee and Wen{-}Che Tsai and Yang{-}Min Lin and Yi{-}Ling Chien}, title = {Electroencephalography Connectivity Assesses Cognitive Disorders of Autistic Children During Game-Based Social Interaction}, journal = {{IEEE} Trans. Cogn. Dev. Syst.}, volume = {16}, number = {2}, pages = {782--793}, year = {2024}, url = {https://doi.org/10.1109/TCDS.2023.3297609}, doi = {10.1109/TCDS.2023.3297609}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tamd/TsengLCLTLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/KulkarniTMPYB24, author = {Atharva Kulkarni and Bo{-}Hsiang Tseng and Joel Ruben Antony Moniz and Dhivya Piraviperumal and Hong Yu and Shruti Bhargava}, editor = {Yvette Graham and Matthew Purver}, title = {SynthDST: Synthetic Data is All You Need for Few-Shot Dialog State Tracking}, booktitle = {Proceedings of the 18th Conference of the European Chapter of the Association for Computational Linguistics, {EACL} 2024 - Volume 1: Long Papers, St. Julian's, Malta, March 17-22, 2024}, pages = {1988--2001}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.eacl-long.120}, timestamp = {Tue, 02 Apr 2024 16:32:10 +0200}, biburl = {https://dblp.org/rec/conf/eacl/KulkarniTMPYB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/ZhuMBLPLZYT24, author = {Yilun Zhu and Joel Ruben Antony Moniz and Shruti Bhargava and Jiarui Lu and Dhivya Piraviperumal and Site Li and Yuan Zhang and Hong Yu and Bo{-}Hsiang Tseng}, editor = {Yvette Graham and Matthew Purver}, title = {Can Large Language Models Understand Context?}, booktitle = {Findings of the Association for Computational Linguistics: {EACL} 2024, St. Julian's, Malta, March 17-22, 2024}, pages = {2004--2018}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.findings-eacl.135}, timestamp = {Tue, 02 Apr 2024 16:32:10 +0200}, biburl = {https://dblp.org/rec/conf/eacl/ZhuMBLPLZYT24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispd/TsengCJ24, author = {Wei{-}Hsiang Tseng and Yao{-}Wen Chang and Jie{-}Hong Roland Jiang}, editor = {Iris Hui{-}Ru Jiang and Gracieli Posser}, title = {Satisfiability Modulo Theories-Based Qubit Mapping for Trapped-Ion Quantum Computing Systems}, booktitle = {Proceedings of the 2024 International Symposium on Physical Design, {ISPD} 2024, Taipei, Taiwan, March 12-15, 2024}, pages = {245--253}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3626184.3633329}, doi = {10.1145/3626184.3633329}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispd/TsengCJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-09758, author = {Shu{-}Kai Hsieh and Yu{-}Hsiang Tseng and Hsin{-}Yu Chou and Ching{-}Wen Yang and Yu{-}Yun Chang}, title = {Resolving Regular Polysemy in Named Entities}, journal = {CoRR}, volume = {abs/2401.09758}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.09758}, doi = {10.48550/ARXIV.2401.09758}, eprinttype = {arXiv}, eprint = {2401.09758}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-09758.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-00858, author = {Yilun Zhu and Joel Ruben Antony Moniz and Shruti Bhargava and Jiarui Lu and Dhivya Piraviperumal and Site Li and Yuan Zhang and Hong Yu and Bo{-}Hsiang Tseng}, title = {Can Large Language Models Understand Context?}, journal = {CoRR}, volume = {abs/2402.00858}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.00858}, doi = {10.48550/ARXIV.2402.00858}, eprinttype = {arXiv}, eprint = {2402.00858}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-00858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-02285, author = {Atharva Kulkarni and Bo{-}Hsiang Tseng and Joel Ruben Antony Moniz and Dhivya Piraviperumal and Hong Yu and Shruti Bhargava}, title = {SynthDST: Synthetic Data is All You Need for Few-Shot Dialog State Tracking}, journal = {CoRR}, volume = {abs/2402.02285}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.02285}, doi = {10.48550/ARXIV.2402.02285}, eprinttype = {arXiv}, eprint = {2402.02285}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-02285.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TsengCT23, author = {Hsin{-}Hsiang Tseng and Yung{-}Fang Chen and Shu{-}Ming Tseng}, title = {Hybrid Beamforming and Resource Allocation Designs for mmWave Multi-User Massive {MIMO-OFDM} Systems on Uplink}, journal = {{IEEE} Access}, volume = {11}, pages = {133070--133085}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3335278}, doi = {10.1109/ACCESS.2023.3335278}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/TsengCT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SuT23, author = {Wei{-}Jiun Su and Chu{-}Hsiang Tseng}, title = {Design and Analysis of an Extended Simply Supported Beam Piezoelectric Energy Harvester}, journal = {Sensors}, volume = {23}, number = {13}, pages = {5895}, year = {2023}, url = {https://doi.org/10.3390/s23135895}, doi = {10.3390/S23135895}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SuT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiajcis/HuangWTCCDL23, author = {Jheng{-}Jia Huang and Wei{-}Hsueh Wang and Yi{-}Fan Tseng and Guan{-}Yu Chen and Hao{-}Hsiang Chang Chien and Wen{-}Yan Dai and Nai{-}Wei Lo}, title = {Anonymous and Unlinkable Identity Scheme in Open Data Environment}, booktitle = {18th Asia Joint Conference on Information Security, AsiaJCIS 2023, Koganei, Japan, August 15-16, 2023}, pages = {73--80}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AsiaJCIS60284.2023.00022}, doi = {10.1109/ASIAJCIS60284.2023.00022}, timestamp = {Mon, 22 Jan 2024 19:57:57 +0100}, biburl = {https://dblp.org/rec/conf/asiajcis/HuangWTCCDL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChenCTCLC23, author = {Yan{-}Jen Chen and Yan{-}Syuan Chen and Wei{-}Che Tseng and Cheng{-}Yu Chiang and Yu{-}Hsiang Lo and Yao{-}Wen Chang}, title = {Late Breaking Results: Analytical Placement for 3D ICs with Multiple Manufacturing Technologies}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247864}, doi = {10.1109/DAC56929.2023.10247864}, timestamp = {Sun, 24 Sep 2023 13:31:06 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChenCTCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsengC23, author = {Wei{-}Hsiang Tseng and Yao{-}Wen Chang}, title = {Late Breaking Results: An Efficient Bridge-based Compression Algorithm for Topologically Quantum Error Corrected Circuits}, booktitle = {60th {ACM/IEEE} Design Automation Conference, {DAC} 2023, San Francisco, CA, USA, July 9-13, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DAC56929.2023.10247656}, doi = {10.1109/DAC56929.2023.10247656}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/TsengC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/CocaTLB23, author = {Alexandru Coca and Bo{-}Hsiang Tseng and Weizhe Lin and Bill Byrne}, editor = {Andreas Vlachos and Isabelle Augenstein}, title = {More Robust Schema-Guided Dialogue State Tracking via Tree-Based Paraphrase Ranking}, booktitle = {Findings of the Association for Computational Linguistics: {EACL} 2023, Dubrovnik, Croatia, May 2-6, 2023}, pages = {1413--1424}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-eacl.106}, doi = {10.18653/V1/2023.FINDINGS-EACL.106}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eacl/CocaTLB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChuangCLSLCTT23, author = {Tse{-}Min Chuang and Yi{-}Ling Chien and Sin{-}Huei Lin and Yu{-}Kai Su and Hong{-}Hsiang Liu and Yen{-}Nan Chiu and Wen{-}Che Tsai and Yi{-}Li Tseng}, title = {Social Brain Activation and Connectivity in Autism Spectrum Disorders: An Electroencephalogram Study of Jigsaw Puzzle Solving}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10341166}, doi = {10.1109/EMBC40787.2023.10341166}, timestamp = {Thu, 11 Jan 2024 15:01:18 +0100}, biburl = {https://dblp.org/rec/conf/embc/ChuangCLSLCTT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/BimoCTCHL23, author = {Fransiscus Asisi Bimo and Ray{-}Guang Cheng and Chien{-}Chao Tseng and Cheng{-}Rong Chiang and Chih{-}Hsiang Huang and Xiu{-}Wei Lin}, title = {Design and Implementation of Next-Generation Research Platforms}, booktitle = {{IEEE} Globecom Workshops 2023, Kuala Lumpur, Malaysia, December 4-8, 2023}, pages = {1777--1782}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/GCWkshps58843.2023.10464635}, doi = {10.1109/GCWKSHPS58843.2023.10464635}, timestamp = {Tue, 26 Mar 2024 22:14:40 +0100}, biburl = {https://dblp.org/rec/conf/globecom/BimoCTCHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WuWCLHT23, author = {Ming{-}Yen Wu and Sz{-}Hsien Wu and Yu{-}En Chang and Yu{-}Hsiang Lin and Sin{-}Jie Huang and Hsiao{-}Ting Tseng}, title = {Intrusion Detection with Radio Frequency Sensing based on Wi-Fi Mesh Network for Home Security}, booktitle = {International Conference on Consumer Electronics - Taiwan, ICCE-Taiwan 2023, PingTung, Taiwan, July 17-19, 2023}, pages = {329--330}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE-Taiwan58799.2023.10226838}, doi = {10.1109/ICCE-TAIWAN58799.2023.10226838}, timestamp = {Fri, 08 Sep 2023 15:28:17 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/WuWCLHT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/TsengXC23, author = {Pin{-}Hsiang Tseng and Chen{-}Yin Xu and Chi{-}Cheng Chang}, editor = {Yueh{-}Min Huang and T{\^{a}}nia Rocha}, title = {The Impact of Hands-on Activities Integrating Design Thinking on the Creative Self-efficacy and Learning Performance of Junior High School Students: {A} Case of Producing Solar Battery Charger}, booktitle = {Innovative Technologies and Learning - 6th International Conference, {ICITL} 2023, Porto, Portugal, August 28-30, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14099}, pages = {163--173}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-40113-8\_16}, doi = {10.1007/978-3-031-40113-8\_16}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitl/TsengXC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/ChenWCTH23, author = {Pin{-}Er Chen and Po{-}Ya Angela Wang and Hsin{-}Yu Chou and Yu{-}Hsiang Tseng and Shu{-}Kai Hsieh}, editor = {Chu{-}Ren Huang and Yasunari Harada and Jong{-}Bok Kim and Si Chen and Yu{-}Yin Hsu and Emmanuele Chersoni and Pranav A and Winnie Huiheng Zeng and Bo Peng and Yuxi Li and Junlin Li}, title = {Exploring Affordance and Situated Meaning in Image Captions: {A} Multimodal Analysis}, booktitle = {Proceedings of the 37th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2023, The Hong Kong Polytechnic University, Hong Kong, SAR, China, 2-4 December 2023}, pages = {293--302}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/2023.paclic-1.30}, timestamp = {Thu, 15 Feb 2024 16:12:31 +0100}, biburl = {https://dblp.org/rec/conf/paclic/ChenWCTH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/TsengKCCH23, author = {Yu{-}Hsiang Tseng and Mao{-}Chang Ku and Wei{-}Ling Chen and Yu{-}Lin Chang and Shu{-}Kai Hsieh}, editor = {Chu{-}Ren Huang and Yasunari Harada and Jong{-}Bok Kim and Si Chen and Yu{-}Yin Hsu and Emmanuele Chersoni and Pranav A and Winnie Huiheng Zeng and Bo Peng and Yuxi Li and Junlin Li}, title = {Vec2Gloss: definition modeling leveraging contextualized vectors with Wordnet gloss}, booktitle = {Proceedings of the 37th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2023, The Hong Kong Polytechnic University, Hong Kong, SAR, China, 2-4 December 2023}, pages = {679--690}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://aclanthology.org/2023.paclic-1.68}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/paclic/TsengKCCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigdial/CocaTCLZAB23, author = {Alexandru Coca and Bo{-}Hsiang Tseng and Jinghong Chen and Weizhe Lin and Weixuan Zhang and Tisha Anders and Bill Byrne}, editor = {David Schlangen and Svetlana Stoyanchev and Shafiq Joty and Ondrej Dusek and Casey Kennington and Malihe Alikhani}, title = {Grounding Description-Driven Dialogue State Trackers with Knowledge-Seeking Turns}, booktitle = {Proceedings of the 24th Meeting of the Special Interest Group on Discourse and Dialogue, {SIGDIAL} 2023, Prague, Czechia, September 11 - 15, 2023}, pages = {444--456}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.sigdial-1.42}, doi = {10.18653/V1/2023.SIGDIAL-1.42}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigdial/CocaTCLZAB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/TsengW023, author = {Andy Yu{-}Hsiang Tseng and Wen{-}Fan Wang and Bing{-}Yu Chen}, editor = {Erik Brunvand and James Tompkin}, title = {SegAnimeChara: Segmenting Anime Characters Generated by {AI}}, booktitle = {{ACM} {SIGGRAPH} 2023 Posters, {SIGGRAPH} 2023, Los Angeles, CA, USA, August 6-10, 2023}, pages = {35:1--35:2}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3588028.3603685}, doi = {10.1145/3588028.3603685}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/TsengW023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-09905, author = {Alexandru Coca and Bo{-}Hsiang Tseng and Weizhe Lin and Bill Byrne}, title = {More Robust Schema-Guided Dialogue State Tracking via Tree-Based Paraphrase Ranking}, journal = {CoRR}, volume = {abs/2303.09905}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.09905}, doi = {10.48550/ARXIV.2303.09905}, eprinttype = {arXiv}, eprint = {2303.09905}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-09905.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-14616, author = {Pin{-}Er Chen and Hsin{-}Yu Chou and Po{-}Ya Angela Wang and Yu{-}Hsiang Tseng and Shu{-}Kai Hsieh}, title = {Exploring the Grounding Issues in Image Caption}, journal = {CoRR}, volume = {abs/2305.14616}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.14616}, doi = {10.48550/ARXIV.2305.14616}, eprinttype = {arXiv}, eprint = {2305.14616}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-14616.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17663, author = {Po{-}Ya Angela Wang and Pin{-}Er Chen and Hsin{-}Yu Chou and Yu{-}Hsiang Tseng and Shu{-}Kai Hsieh}, title = {Lexical Retrieval Hypothesis in Multimodal Context}, journal = {CoRR}, volume = {abs/2305.17663}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17663}, doi = {10.48550/ARXIV.2305.17663}, eprinttype = {arXiv}, eprint = {2305.17663}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-17855, author = {Yu{-}Hsiang Tseng and Mao{-}Chang Ku and Wei{-}Ling Chen and Yu{-}Lin Chang and Shu{-}Kai Hsieh}, title = {Vec2Gloss: definition modeling leveraging contextualized vectors with Wordnet gloss}, journal = {CoRR}, volume = {abs/2305.17855}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.17855}, doi = {10.48550/ARXIV.2305.17855}, eprinttype = {arXiv}, eprint = {2305.17855}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-17855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-01855, author = {Jiarui Lu and Bo{-}Hsiang Tseng and Joel Ruben Antony Moniz and Site Li and Xueyun Zhu and Hong Yu and Murat Akbacak}, title = {5IDER: Unified Query Rewriting for Steering, Intent Carryover, Disfluencies, Entity Carryover and Repair}, journal = {CoRR}, volume = {abs/2306.01855}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.01855}, doi = {10.48550/ARXIV.2306.01855}, eprinttype = {arXiv}, eprint = {2306.01855}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-01855.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10787, author = {Yuan Tseng and Layne Berry and Yi{-}Ting Chen and I{-}Hsiang Chiu and Hsuan{-}Hao Lin and Max Liu and Puyuan Peng and Yi{-}Jen Shih and Hung{-}Yu Wang and Haibin Wu and Po{-}Yao Huang and Chun{-}Mao Lai and Shang{-}Wen Li and David Harwath and Yu Tsao and Shinji Watanabe and Abdelrahman Mohamed and Chi{-}Luen Feng and Hung{-}yi Lee}, title = {{AV-SUPERB:} {A} Multi-Task Evaluation Benchmark for Audio-Visual Representation Models}, journal = {CoRR}, volume = {abs/2309.10787}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10787}, doi = {10.48550/ARXIV.2309.10787}, eprinttype = {arXiv}, eprint = {2309.10787}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10787.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-13448, author = {Alexandru Coca and Bo{-}Hsiang Tseng and Jinghong Chen and Weizhe Lin and Weixuan Zhang and Tisha Anders and Bill Byrne}, title = {Grounding Description-Driven Dialogue State Trackers with Knowledge-Seeking Turns}, journal = {CoRR}, volume = {abs/2309.13448}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.13448}, doi = {10.48550/ARXIV.2309.13448}, eprinttype = {arXiv}, eprint = {2309.13448}, timestamp = {Wed, 27 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-13448.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-01650, author = {Halim Cagri Ates and Shruti Bhargava and Site Li and Jiarui Lu and Siddhardha Maddula and Joel Ruben Antony Moniz and Anil Kumar Nalamalapu and Roman Hoang Nguyen and Melis {\"{O}}zyildirim and Alkesh Patel and Dhivya Piraviperumal and Vincent Renkens and Ankit Samal and Thy Tran and Bo{-}Hsiang Tseng and Hong Yu and Yuan Zhang and Rong Zou}, title = {{MARRS:} Multimodal Reference Resolution System}, journal = {CoRR}, volume = {abs/2311.01650}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.01650}, doi = {10.48550/ARXIV.2311.01650}, eprinttype = {arXiv}, eprint = {2311.01650}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-01650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-12867, author = {Kuo{-}Chun Tseng and Wei{-}Chieh Lai and I{-}Chia Chen and Yun{-}Hsiang Hsiao and Jr{-}Yu Chiue and Wei{-}Chun Huang}, title = {Amplitude-Ensemble Quantum-Inspired Tabu Search Algorithm for Solving 0/1 Knapsack Problems}, journal = {CoRR}, volume = {abs/2311.12867}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.12867}, doi = {10.48550/ARXIV.2311.12867}, eprinttype = {arXiv}, eprint = {2311.12867}, timestamp = {Wed, 29 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-12867.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bc/TsaiLT22, author = {Chung{-}Chieh Tsai and Hong{-}Hsiang Liu and Yi{-}Li Tseng}, title = {Comparison of event-related modulation index and traditional methods for evaluating phase-amplitude coupling using simulated brain signals}, journal = {Biol. Cybern.}, volume = {116}, number = {5}, pages = {569--583}, year = {2022}, url = {https://doi.org/10.1007/s00422-022-00944-7}, doi = {10.1007/S00422-022-00944-7}, timestamp = {Fri, 02 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bc/TsaiLT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/ShuTLYHC22, author = {Yu{-}Hsin Shu and Yu{-}Chen Tseng and Yu{-}Hsiang Lai and Yan{-}Ting Yu and Kai{-}Feng Huang and Yung{-}Fu Chen}, title = {Exploring the Origin of Maximum Entropy States Relevant to Resonant Modes in Modern Chladni Plates}, journal = {Entropy}, volume = {24}, number = {2}, pages = {215}, year = {2022}, url = {https://doi.org/10.3390/e24020215}, doi = {10.3390/E24020215}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/ShuTLYHC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/PengLHHLJT22, author = {Pen{-}Jui Peng and Po{-}Lin Lee and Hsiang{-}En Huang and Wei{-}Jian Huang and Ming{-}Wei Lin and Ying{-}Zong Juang and Sheng{-}Hsiang Tseng}, title = {A 56-Gb/s {PAM-4} Transmitter/Receiver Chipset With Nonlinear {FFE} for VCSEL-Based Optical Links in 40-nm {CMOS}}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {10}, pages = {3025--3035}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3192711}, doi = {10.1109/JSSC.2022.3192711}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/PengLHHLJT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/ChenCTY22, author = {Bo{-}Hao Chen and Hsiang{-}Yin Cheng and Yi{-}Syuan Tseng and Jia{-}Li Yin}, title = {Two-Pass Bilateral Smooth Filtering for Remote Sensing Imagery}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2020.3048488}, doi = {10.1109/LGRS.2020.3048488}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/ChenCTY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TsengHLC22, author = {Wei{-}Hsiang Tseng and Chen{-}Hao Hsu and Wan{-}Hsuan Lin and Yao{-}Wen Chang}, title = {A Bridge-Based Compression Algorithm for Topological Quantum Circuits}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {41}, number = {12}, pages = {5582--5595}, year = {2022}, url = {https://doi.org/10.1109/TCAD.2022.3161597}, doi = {10.1109/TCAD.2022.3161597}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/TsengHLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ChiCTYL22, author = {Hsing{-}Chen Chi and Wu{-}Hsien Chang and Min{-}Chi Tseng and Yu{-}Ping Yu and Kuo{-}Hsiang Lai}, title = {SDN-enabled Framework for Resilient Traffic Routing in 5G Networks}, booktitle = {23rd Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2022, Takamatsu, Japan, September 28-30, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/APNOMS56106.2022.9919952}, doi = {10.23919/APNOMS56106.2022.9919952}, timestamp = {Wed, 16 Nov 2022 21:55:14 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/ChiCTYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/TsengH22, author = {Yu{-}Hsiang Tseng and Shu{-}Kai Hsieh}, editor = {Nicoletta Calzolari and Chu{-}Ren Huang and Hansaem Kim and James Pustejovsky and Leo Wanner and Key{-}Sun Choi and Pum{-}Mo Ryu and Hsin{-}Hsi Chen and Lucia Donatelli and Heng Ji and Sadao Kurohashi and Patrizia Paggio and Nianwen Xue and Seokhwan Kim and Younggyun Hahm and Zhong He and Tony Kyungil Lee and Enrico Santus and Francis Bond and Seung{-}Hoon Na}, title = {Character Jacobian: Modeling Chinese Character Meanings with Deep Learning Model}, booktitle = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, pages = {152--162}, publisher = {International Committee on Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/2022.coling-1.14}, timestamp = {Thu, 13 Oct 2022 17:29:38 +0200}, biburl = {https://dblp.org/rec/conf/coling/TsengH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsengC22, author = {Wei{-}Hsiang Tseng and Yao{-}Wen Chang}, editor = {Rob Oshana}, title = {A bridge-based algorithm for simultaneous primal and dual defects compression on topologically quantum-error-corrected circuits}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {535--540}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530483}, doi = {10.1145/3489517.3530483}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/TsengC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovSTTXYCKCCG22, author = {Andrey Ignatov and Anastasia Sycheva and Radu Timofte and Yu Tseng and Yu{-}Syuan Xu and Po{-}Hsiang Yu and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Min{-}Hung Chen and Chia{-}Ming Cheng and Luc Van Gool}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {MicroISP: Processing 32MP Photos on Mobile Devices with Deep Learning}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13802}, pages = {729--746}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25063-7\_46}, doi = {10.1007/978-3-031-25063-7\_46}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovSTTXYCKCCG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/YenHCCYCL22, author = {Jui{-}Nan Yen and Yao{-}Ching Hsieh and Cheng{-}Yu Chen and Tseng{-}Yi Chen and Chia{-}Lin Yang and Hsiang{-}Yun Cheng and Yixin Luo}, title = {Efficient Bad Block Management with Cluster Similarity}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2022, Seoul, South Korea, April 2-6, 2022}, pages = {503--513}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HPCA53966.2022.00044}, doi = {10.1109/HPCA53966.2022.00044}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/YenHCCYCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ickii/LiuCTST22, author = {Guan{-}Yang Liu and Yen{-}Cheng Chuo and Yeh{-}Hsiang Tseng and Ching{-}Kai Shen and Jui{-}Che Tsai}, title = {Multiple-Beam Two-Dimensional Scanning Apparatus}, booktitle = {5th {IEEE} International Conference on Knowledge Innovation and Invention, {ICKII} 2022, Hualien, Taiwan, July 22-24, 2022}, pages = {244--246}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICKII55100.2022.9983605}, doi = {10.1109/ICKII55100.2022.9983605}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ickii/LiuCTST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/IgnatovMTTXYCKC22, author = {Andrey Ignatov and Grigory Malivenko and Radu Timofte and Yu Tseng and Yu{-}Syuan Xu and Po{-}Hsiang Yu and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Min{-}Hung Chen and Chia{-}Ming Cheng and Luc Van Gool}, title = {PyNet-V2 Mobile: Efficient On-Device Photo Processing With Neural Networks}, booktitle = {26th International Conference on Pattern Recognition, {ICPR} 2022, Montreal, QC, Canada, August 21-25, 2022}, pages = {677--684}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICPR56361.2022.9956598}, doi = {10.1109/ICPR56361.2022.9956598}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/IgnatovMTTXYCKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/HsiangLLLLLCLTW22, author = {K.{-}Y. Hsiang and C.{-}Y. Liao and Y.{-}Y. Lin and Z.{-}F. Lou and C.{-}Y. Lin and J.{-}Y. Lee and F.{-}S. Chang and Z.{-}X. Li and H.{-}C. Tseng and C.{-}C. Wang and W.{-}C. Ray and T.{-}H. Hou and T.{-}C. Chen and C.{-}S. Chang and Min{-}Hung Lee}, title = {Correlation between Access Polarization and High Endurance ({\textasciitilde} 10\({}^{\mbox{12}}\) cycling) of Ferroelectric and Anti-Ferroelectric HfZrO2}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {9--1}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764533}, doi = {10.1109/IRPS48227.2022.9764533}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/HsiangLLLLLCLTW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/TsengSCCKH22, author = {Yu{-}Hsiang Tseng and Cing{-}Fang Shih and Pin{-}Er Chen and Hsin{-}Yu Chou and Mao{-}Chang Ku and Shu{-}Kai Hsieh}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {CxLM: {A} Construction and Context-aware Language Model}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {6361--6369}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.683}, timestamp = {Mon, 10 Oct 2022 16:57:52 +0200}, biburl = {https://dblp.org/rec/conf/lrec/TsengSCCKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ChenTWYH22, author = {Pin{-}Er Chen and Yu{-}Hsiang Tseng and Chi{-}Wei Wang and Fang{-}Chi Yeh and Shu{-}Kai Hsieh}, editor = {Yung{-}Chun Chang and Yi{-}Chin Huang}, title = {Analyzing discourse functions with acoustic features and phone embeddings: non-lexical items in Taiwan Mandarin}, booktitle = {Proceedings of the 34th Conference on Computational Linguistics and Speech Processing, {ROCLING} 2022, Taipei, Taiwan, November 21-22, 2022}, pages = {136--146}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2022}, url = {https://aclanthology.org/2022.rocling-1.18}, timestamp = {Mon, 21 Nov 2022 17:08:17 +0100}, biburl = {https://dblp.org/rec/conf/rocling/ChenTWYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LiaoHLTLLHWCRTC22, author = {C.{-}Y. Liao and K.{-}Y. Hsiang and Z.{-}F. Lou and H.{-}C. Tseng and C.{-}Y. Lin and Z.{-}X. Li and F.{-}C. Hsieh and C. C. Wang and F.{-}S. Chang and W.{-}C. Ray and Y.{-}Y. Tseng and Shu{-}Tong Chang and T. C. Chen and Min{-}Hung Lee}, title = {Endurance {\textgreater} 10\({}^{\mbox{11}}\) Cycling of 3D {GAA} Nanosheet Ferroelectric {FET} with Stacked HfZrO2 to Homogenize Corner Field Toward Mitigate Dead Zone for High-Density eNVM}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830345}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830345}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LiaoHLTLLHWCRTC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06263, author = {Andrey Ignatov and Grigory Malivenko and Radu Timofte and Yu Tseng and Yu{-}Syuan Xu and Po{-}Hsiang Yu and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Min{-}Hung Chen and Chia{-}Ming Cheng and Luc Van Gool}, title = {PyNet-V2 Mobile: Efficient On-Device Photo Processing With Neural Networks}, journal = {CoRR}, volume = {abs/2211.06263}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06263}, doi = {10.48550/ARXIV.2211.06263}, eprinttype = {arXiv}, eprint = {2211.06263}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06263.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-06770, author = {Andrey Ignatov and Anastasia Sycheva and Radu Timofte and Yu Tseng and Yu{-}Syuan Xu and Po{-}Hsiang Yu and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Min{-}Hung Chen and Chia{-}Ming Cheng and Luc Van Gool}, title = {MicroISP: Processing 32MP Photos on Mobile Devices with Deep Learning}, journal = {CoRR}, volume = {abs/2211.06770}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.06770}, doi = {10.48550/ARXIV.2211.06770}, eprinttype = {arXiv}, eprint = {2211.06770}, timestamp = {Tue, 15 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-06770.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LinPORHHST21, author = {Albert S. Lin and Sparsh Pratik and Jun Ota and Tejender Singh Rawat and Tzu{-}Hsiang Huang and Chun{-}Ling Hsu and Wei{-}Ming Su and Tseung{-}Yuen Tseng}, title = {A Process-Aware Memory Compact-Device Model Using Long-Short Term Memory}, journal = {{IEEE} Access}, volume = {9}, pages = {3126--3139}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3047491}, doi = {10.1109/ACCESS.2020.3047491}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LinPORHHST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/LinWT21, author = {Chih{-}Yu Lin and Chih{-}Hsiang Wang and Yu{-}Chee Tseng}, title = {A {TDMA} protocol with reinforcement learning slot selection for MANETs}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {37}, number = {1}, pages = {16--25}, year = {2021}, url = {https://doi.org/10.1504/IJAHUC.2021.115123}, doi = {10.1504/IJAHUC.2021.115123}, timestamp = {Fri, 25 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijahuc/LinWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijshc/TsengC21, author = {Hsiang{-}Lin Tseng and Chiu Chen}, title = {The application of fuzzy set concept to assess service quality in tourist hotel settings}, journal = {Int. J. Soc. Humanist. Comput.}, volume = {4}, number = {1}, pages = {53--69}, year = {2021}, url = {https://doi.org/10.1504/IJSHC.2021.116908}, doi = {10.1504/IJSHC.2021.116908}, timestamp = {Fri, 03 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijshc/TsengC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/TsengCC21, author = {Yi{-}Ju Tseng and Hsiang{-}Ju Chiu and Chun Ju Chen}, title = {\emph{dxpr}: an {R} package for generating analysis-ready data from electronic health records - diagnoses and procedures}, journal = {PeerJ Comput. Sci.}, volume = {7}, pages = {e520}, year = {2021}, url = {https://doi.org/10.7717/peerj-cs.520}, doi = {10.7717/PEERJ-CS.520}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/TsengCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/TsengC21, author = {Ching{-}Hsiang Tseng and Jen{-}Ho Cheng}, title = {Nonlinear Channel Estimation for Minimally Sampled Baseband {OFDM} Systems}, journal = {{IEEE} Trans. Commun.}, volume = {69}, number = {11}, pages = {7706--7721}, year = {2021}, url = {https://doi.org/10.1109/TCOMM.2021.3104031}, doi = {10.1109/TCOMM.2021.3104031}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/TsengC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgcn/HsuTLCT21, author = {Chia{-}An Hsu and Chung{-}Hsiang Tsai and Frank Y. Li and Chiuyuan Chen and Yu{-}Chee Tseng}, title = {Receiver-Initiated Data Collection in Wake-Up Radio Enabled mIoT Networks: Achieving Collision-Free Transmissions by Hashing and Partitioning}, journal = {{IEEE} Trans. Green Commun. Netw.}, volume = {5}, number = {2}, pages = {868--883}, year = {2021}, url = {https://doi.org/10.1109/TGCN.2021.3057418}, doi = {10.1109/TGCN.2021.3057418}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgcn/HsuTLCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/TsengDKB20, author = {Bo{-}Hsiang Tseng and Yinpei Dai and Florian Kreyssig and Bill Byrne}, editor = {Chengqing Zong and Fei Xia and Wenjie Li and Roberto Navigli}, title = {Transferable Dialogue Systems and User Simulators}, booktitle = {Proceedings of the 59th Annual Meeting of the Association for Computational Linguistics and the 11th International Joint Conference on Natural Language Processing, {ACL/IJCNLP} 2021, (Volume 1: Long Papers), Virtual Event, August 1-6, 2021}, pages = {152--166}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.acl-long.13}, doi = {10.18653/V1/2021.ACL-LONG.13}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/TsengDKB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/PengHHLLJT21, author = {Pen{-}Jui Peng and Hsiang{-}En Huang and Wei{-}Chien Huang and Po{-}Lin Lee and Ming{-}Wei Lin and Ying{-}Zong Juang and Sheng{-}Hsiang Tseng}, title = {A 56-Gb/s {PAM-4} Optical Transceiver with Nonlinear {FFE} for {VCSEL} Driver in 40nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634783}, doi = {10.1109/A-SSCC53895.2021.9634783}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/PengHHLLJT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/KongLLCTJ21, author = {Bowen Kong and Rung{-}Huei Liang and MengChi Liu and Shu{-}Hsiang Chang and Hsiu{-}Chen Tseng and Chian{-}Huei Ju}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {Neuromancer Workshop: Towards Designing Experiential Entanglement with Science Fiction}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {625:1--625:17}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445273}, doi = {10.1145/3411764.3445273}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/KongLLCTJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HsuLTC21, author = {Chen{-}Hao Hsu and Wan{-}Hsuan Lin and Wei{-}Hsiang Tseng and Yao{-}Wen Chang}, title = {A Bridge-based Compression Algorithm for Topological Quantum Circuits}, booktitle = {58th {ACM/IEEE} Design Automation Conference, {DAC} 2021, San Francisco, CA, USA, December 5-9, 2021}, pages = {457--462}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/DAC18074.2021.9586322}, doi = {10.1109/DAC18074.2021.9586322}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/HsuLTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/LinTB21, author = {Weizhe Lin and Bo{-}Hsiang Tseng and Bill Byrne}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {Knowledge-Aware Graph-Enhanced {GPT-2} for Dialogue State Tracking}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {7871--7881}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.620}, doi = {10.18653/V1/2021.EMNLP-MAIN.620}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/LinTB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/TsengBLMPLY21, author = {Bo{-}Hsiang Tseng and Shruti Bhargava and Jiarui Lu and Joel Ruben Antony Moniz and Dhivya Piraviperumal and Lin Li and Hong Yu}, editor = {Kristina Toutanova and Anna Rumshisky and Luke Zettlemoyer and Dilek Hakkani{-}T{\"{u}}r and Iz Beltagy and Steven Bethard and Ryan Cotterell and Tanmoy Chakraborty and Yichao Zhou}, title = {{CREAD:} Combined Resolution of Ellipses and Anaphora in Dialogues}, booktitle = {Proceedings of the 2021 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL-HLT} 2021, Online, June 6-11, 2021}, pages = {3390--3406}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.naacl-main.265}, doi = {10.18653/V1/2021.NAACL-MAIN.265}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/TsengBLMPLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/YangLTC21, author = {Ya{-}Hui Yang and Yu{-}Pei Liang and Cheng{-}Hsiang Tseng and Shuo{-}Han Chen}, title = {Exploring Skyrmion Racetrack Memory for High Performance Full-Nonvolatile {FTL}}, booktitle = {10th {IEEE} Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2021, Beijing, China, August 18-20, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/NVMSA53655.2021.9628788}, doi = {10.1109/NVMSA53655.2021.9628788}, timestamp = {Mon, 13 Dec 2021 16:21:41 +0100}, biburl = {https://dblp.org/rec/conf/nvmsa/YangLTC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/HsiehT21, author = {Shu{-}Kai Hsieh and Yu{-}Hsiang Tseng}, editor = {Kaibao Hu and Jong{-}Bok Kim and Chengqing Zong and Emmanuele Chersoni}, title = {Exploring sentiment constructions: connecting deep learning models with linguistic construction}, booktitle = {Proceedings of the 35th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2021, Shanghai International Studies University, Shanghai, China, 5-7 November 2021}, pages = {32--39}, publisher = {Association for Computational Lingustics}, year = {2021}, url = {https://aclanthology.org/2021.paclic-1.4}, timestamp = {Mon, 30 May 2022 16:48:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/HsiehT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rocling/ShihTYCCTLWH21, author = {Cing{-}Fang Shih and Yu{-}Hsiang Tseng and Ching{-}Wen Yang and Pin{-}Er Chen and Hsin{-}Yu Chou and Lian{-}Hui Tan and Tzu{-}Ju Lin and Chun{-}Wei Wang and Shu{-}Kai Hsieh}, editor = {Lung{-}Hao Lee and Chia{-}Hui Chang and Kuan{-}Yu Chen}, title = {What confuses BERT? Linguistic Evaluation of Sentiment Analysis on Telecom Customer Opinion}, booktitle = {Proceedings of the 33rd Conference on Computational Linguistics and Speech Processing, {ROCLING} 2021, Taoyuan, Taiwan, October 15-16, 2021}, pages = {271--279}, publisher = {The Association for Computational Linguistics and Chinese Language Processing {(ACLCLP)}}, year = {2021}, url = {https://aclanthology.org/2021.rocling-1.35}, timestamp = {Tue, 26 Oct 2021 14:09:04 +0200}, biburl = {https://dblp.org/rec/conf/rocling/ShihTYCCTLWH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-04466, author = {Weizhe Lin and Bo{-}Hsiang Tseng and Bill Byrne}, title = {Knowledge-Aware Graph-Enhanced {GPT-2} for Dialogue State Tracking}, journal = {CoRR}, volume = {abs/2104.04466}, year = {2021}, url = {https://arxiv.org/abs/2104.04466}, eprinttype = {arXiv}, eprint = {2104.04466}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-04466.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09914, author = {Bo{-}Hsiang Tseng and Shruti Bhargava and Jiarui Lu and Joel Ruben Antony Moniz and Dhivya Piraviperumal and Lin Li and Hong Yu}, title = {{CREAD:} Combined Resolution of Ellipses and Anaphora in Dialogues}, journal = {CoRR}, volume = {abs/2105.09914}, year = {2021}, url = {https://arxiv.org/abs/2105.09914}, eprinttype = {arXiv}, eprint = {2105.09914}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09914.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-11904, author = {Bo{-}Hsiang Tseng and Yinpei Dai and Florian Kreyssig and Bill Byrne}, title = {Transferable Dialogue Systems and User Simulators}, journal = {CoRR}, volume = {abs/2107.11904}, year = {2021}, url = {https://arxiv.org/abs/2107.11904}, eprinttype = {arXiv}, eprint = {2107.11904}, timestamp = {Thu, 29 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-11904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/YehHTWTJ20, author = {Chih{-}Yuan Yeh and Jung{-}Tang Huang and Sheng{-}Hsiang Tseng and Po{-}Chang Wu and Hann{-}Huei Tsai and Ying{-}Zong Juang}, title = {A Low-Power Low-Noise Monolithic Accelerometer with Automatic Sensor Offset Calibration}, journal = {Microelectron. J.}, volume = {105}, pages = {104910}, year = {2020}, url = {https://doi.org/10.1016/j.mejo.2020.104910}, doi = {10.1016/J.MEJO.2020.104910}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/YehHTWTJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TsengHJCW20, author = {Kuang{-}Wen Tseng and Yu{-}Ping Hsiao and Chun{-}Ping Jen and Tsung{-}Shun Chang and Hsiang{-}Chen Wang}, title = {Cu2O/PEDOT: PSS/ZnO Nanocomposite Material Biosensor for Esophageal Cancer Detection}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2455}, year = {2020}, url = {https://doi.org/10.3390/s20092455}, doi = {10.3390/S20092455}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TsengHJCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/TsengS20, author = {Huai{-}En Tseng and Shan{-}Hsiang Shen}, title = {A low latency service function chain with {SR-I/OV} in software defined networks}, journal = {Wirel. Networks}, volume = {26}, number = {6}, pages = {4459--4475}, year = {2020}, url = {https://doi.org/10.1007/s11276-020-02339-7}, doi = {10.1007/S11276-020-02339-7}, timestamp = {Wed, 15 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/TsengS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/TsengCFV20, author = {Bo{-}Hsiang Tseng and Jianpeng Cheng and Yimai Fang and David Vandyke}, editor = {Dan Jurafsky and Joyce Chai and Natalie Schluter and Joel R. Tetreault}, title = {A Generative Model for Joint Natural Language Understanding and Generation}, booktitle = {Proceedings of the 58th Annual Meeting of the Association for Computational Linguistics, {ACL} 2020, Online, July 5-10, 2020}, pages = {1795--1807}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.acl-main.163}, doi = {10.18653/V1/2020.ACL-MAIN.163}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/TsengCFV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/TsengHCC20, author = {Yu{-}Hsiang Tseng and Shu{-}Kai Hsieh and Pei{-}Yi Chen and Sara Court}, editor = {Donia Scott and N{\'{u}}ria Bel and Chengqing Zong}, title = {Computational Modeling of Affixoid Behavior in Chinese Morphology}, booktitle = {Proceedings of the 28th International Conference on Computational Linguistics, {COLING} 2020, Barcelona, Spain (Online), December 8-13, 2020}, pages = {2879--2888}, publisher = {International Committee on Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.coling-main.258}, doi = {10.18653/V1/2020.COLING-MAIN.258}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/TsengHCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/educon/MayLTS20, author = {Ming{-}Der May and Hsiang{-}Yuan Lin and Ying{-}Tzu Tseng and Cheng{-}Min Sung}, title = {Application of {PBL} in {ERP} Textbook Design and Technical University Students Learning Effectiveness Study}, booktitle = {2020 {IEEE} Global Engineering Education Conference, {EDUCON} 2020, Porto, Portugal, April 27-30, 2020}, pages = {878--884}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EDUCON45650.2020.9125177}, doi = {10.1109/EDUCON45650.2020.9125177}, timestamp = {Mon, 20 Jul 2020 16:00:05 +0200}, biburl = {https://dblp.org/rec/conf/educon/MayLTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/WuTG20, author = {Yen{-}Chen Wu and Bo{-}Hsiang Tseng and Milica Gasic}, editor = {Trevor Cohn and Yulan He and Yang Liu}, title = {Actor-Double-Critic: Incorporating Model-Based Critic for Task-Oriented Dialogue Systems}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2020, Online Event, 16-20 November 2020}, series = {Findings of {ACL}}, volume = {{EMNLP} 2020}, pages = {854--863}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.findings-emnlp.75}, doi = {10.18653/V1/2020.FINDINGS-EMNLP.75}, timestamp = {Wed, 23 Mar 2022 10:11:55 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/WuTG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/WuTR20, author = {Yen{-}Chen Wu and Bo{-}Hsiang Tseng and Carl Edward Rasmussen}, title = {Improving Sample-Efficiency in Reinforcement Learning for Dialogue Systems by Using Trainable-Action-Mask}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {8024--8028}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053235}, doi = {10.1109/ICASSP40776.2020.9053235}, timestamp = {Thu, 23 Jul 2020 16:19:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/WuTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LinLTT20, author = {Shu{-}Yen Lin and Kuan{-}Han Lin and Chun{-}Kuan Tsai and Po{-}Hsiang Tseng}, title = {Reconfigurable {MAC} Systolic Array Architecture Design for Three-Dimensional Convolution Neural Network}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2020, Taoyuan, Taiwan, September 28-30, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE-Taiwan49838.2020.9258069}, doi = {10.1109/ICCE-TAIWAN49838.2020.9258069}, timestamp = {Wed, 24 Nov 2021 09:22:55 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LinLTT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/YehNYTJF20, author = {Sheng{-}Kai Yeh and Chao{-}Chun Ning and Chih{-}Yuan Yeh and Sheng{-}Hsiang Tseng and Ying{-}Zong Juang and Weileun Fang}, title = {{CMOS} Chip for Solid-State Tactile Force Sensor}, booktitle = {2020 {IEEE} Sensors, Rotterdam, The Netherlands, October 25-28, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SENSORS47125.2020.9278689}, doi = {10.1109/SENSORS47125.2020.9278689}, timestamp = {Tue, 13 Dec 2022 08:56:31 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/YehNYTJF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intcompsymp/HsiangYWHC20, author = {Huang Yu Hsiang and Tseng Sheng Yuan and Ping Wang and Wen{-}Hui Lin and Lin Hsiao Chung}, title = {A Case Study on Experiment Site Selection for {PV} Energy Generation Forecast}, booktitle = {International Computer Symposium, {ICS} 2020, Tainan, Taiwan, December 17-19, 2020}, pages = {468--472}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICS51289.2020.00098}, doi = {10.1109/ICS51289.2020.00098}, timestamp = {Wed, 15 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/intcompsymp/HsiangYWHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lifetech/HuangCCT20, author = {Hsiang{-}Cheh Huang and Yueh{-}Hong Chen and Feng{-}Cheng Chang and Chi{-}Tuan Tseng}, title = {Multi-Purpose Watermarking with {QR} Code Applications}, booktitle = {2nd {IEEE} Global Conference on Life Sciences and Technologies, LifeTech 2020, Kyoto, Japan, March 10-12, 2020}, pages = {42--45}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/LifeTech48969.2020.1570618978}, doi = {10.1109/LIFETECH48969.2020.1570618978}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lifetech/HuangCCT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsp/TsengH20, author = {Yung{-}Yuan Tseng and Tien{-}Ruey Hsiang}, title = {A Multi-Patch Aggregated Aesthetic Rating System Based on Eyefixation}, booktitle = {30th {IEEE} International Workshop on Machine Learning for Signal Processing, {MLSP} 2020, Espoo, Finland, September 21-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/MLSP49062.2020.9231937}, doi = {10.1109/MLSP49062.2020.9231937}, timestamp = {Mon, 26 Oct 2020 17:35:16 +0100}, biburl = {https://dblp.org/rec/conf/mlsp/TsengH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/HsuTYLK20, author = {Chih{-}Chung Hsu and Wen{-}Hai Tseng and Hao{-}Ting Yang and Chia{-}Hsiang Lin and Chi{-}Hung Kao}, editor = {Chang Wen Chen and Rita Cucchiara and Xian{-}Sheng Hua and Guo{-}Jun Qi and Elisa Ricci and Zhengyou Zhang and Roger Zimmermann}, title = {Rethinking Relation between Model Stacking and Recurrent Neural Networks for Social Media Prediction}, booktitle = {{MM} '20: The 28th {ACM} International Conference on Multimedia, Virtual Event / Seattle, WA, USA, October 12-16, 2020}, pages = {4585--4589}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3394171.3417332}, doi = {10.1145/3394171.3417332}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/HsuTYLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LinYYT20, author = {Chiao{-}Chi Lin and Bo{-}Ju You and Yu{-}Xuan Yang and I{-}Hsiang Tseng}, title = {A Module-level Weathering and Durability Testing on Silver Nanowire Transparent Conductors}, booktitle = {15th {IEEE} International Conference on Nano/Micro Engineered and Molecular System, {NEMS} 2020, San Diego, CA, USA, September 27-30, 2020}, pages = {9--13}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/NEMS50311.2020.9265599}, doi = {10.1109/NEMS50311.2020.9265599}, timestamp = {Thu, 03 Dec 2020 09:59:15 +0100}, biburl = {https://dblp.org/rec/conf/nems/LinYYT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/ChungSST20, author = {Siaw{-}Fong Chung and Meng{-}Hsien Shih and Yu{-}Hsiang Shen and Wei{-}Ting Tseng}, editor = {Minh Le Nguyen and Mai Chi Luong and Sanghoun Song}, title = {Metaphoricity Rating of Chinese {KIND} Metaphor Expressions}, booktitle = {Proceedings of the 34th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2020, Hanoi, Vietnam, October 24-26, 2020}, pages = {61--69}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://aclanthology.org/2020.paclic-1.7/}, timestamp = {Tue, 18 Oct 2022 10:42:25 +0200}, biburl = {https://dblp.org/rec/conf/paclic/ChungSST20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/HsiehTCLLKS20, author = {Shu{-}Kai Hsieh and Yu{-}Hsiang Tseng and Chiung{-}Yu Chiang and Richard Lian and Yong{-}fu Liao and Mao{-}Chang Ku and Ching{-}Fang Shih}, editor = {Minh Le Nguyen and Mai Chi Luong and Sanghoun Song}, title = {From Sense to Action: {A} Word-Action Disambiguation Task in {NLP}}, booktitle = {Proceedings of the 34th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2020, Hanoi, Vietnam, October 24-26, 2020}, pages = {107--112}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://aclanthology.org/2020.paclic-1.13/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/HsiehTCLLKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taai/TsengHLCCCH20, author = {Yu{-}Hsiang Tseng and Shu{-}Kai Hsieh and Richard Lian and Chiung{-}Yu Chiang and Yu{-}Lin Chang and Li{-}Ping Chang and Ji{-}Lung Hsieh}, title = {MatDC: {A} Multi-turn Multi-domain Annotated Task-oriented Dialogue Dataset in Chinese}, booktitle = {International Conference on Technologies and Applications of Artificial Intelligence, {TAAI} 2020, Taipei, Taiwan, December 3-5, 2020}, pages = {165--170}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/TAAI51410.2020.00038}, doi = {10.1109/TAAI51410.2020.00038}, timestamp = {Mon, 12 Apr 2021 12:34:07 +0200}, biburl = {https://dblp.org/rec/conf/taai/TsengHLCCCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-07499, author = {Bo{-}Hsiang Tseng and Jianpeng Cheng and Yimai Fang and David Vandyke}, title = {A Generative Model for Joint Natural Language Understanding and Generation}, journal = {CoRR}, volume = {abs/2006.07499}, year = {2020}, url = {https://arxiv.org/abs/2006.07499}, eprinttype = {arXiv}, eprint = {2006.07499}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-07499.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChangLCTT19, author = {Yu{-}Hao Chang and Chien{-}Sheng Liu and I{-}Wei Chen and Meng{-}Shiun Tsai and Hsiang{-}Chun Tseng}, title = {Open-Loop Control of Voice Coil Motor With Magnetic Restoring Force Using High-Low Frequency Composite Signals}, journal = {{IEEE} Access}, volume = {7}, pages = {146258--146263}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2945882}, doi = {10.1109/ACCESS.2019.2945882}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChangLCTT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCT19, author = {Pi{-}Yun Chen and Kuei{-}Hsiang Chao and Yu{-}Cheng Tseng}, title = {A Motor Fault Diagnosis System Based on Cerebellar Model Articulation Controller}, journal = {{IEEE} Access}, volume = {7}, pages = {120326--120336}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2937103}, doi = {10.1109/ACCESS.2019.2937103}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/TsengLCLSWLHHL19, author = {Chi{-}Ho Tseng and Chen Lin and Hsiang{-}Chih Chang and Cyuan{-}Cin Liu and Bess Ma F. Serafico and Li{-}Ching Wu and Chih{-}Ting Lin and Tien Hsu and Chun{-}Yao Huang and Men{-}Tzung Lo}, title = {Cloud-Based Artificial Intelligence System for Large-Scale Arrhythmia Screening}, journal = {Computer}, volume = {52}, number = {11}, pages = {40--51}, year = {2019}, url = {https://doi.org/10.1109/MC.2019.2933195}, doi = {10.1109/MC.2019.2933195}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/TsengLCLSWLHHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/HsiaCHCT19, author = {Chih{-}Hsien Hsia and Chin{-}Hsiang Chien and Hao{-}Wei Hsu and Jen{-}Shiun Chiang and Hsien{-}Wei Tseng}, title = {Sports science: The correction of a sportsperson's pose using a knowledge-based method}, journal = {J. Intell. Fuzzy Syst.}, volume = {36}, number = {2}, pages = {1171--1181}, year = {2019}, url = {https://doi.org/10.3233/JIFS-169891}, doi = {10.3233/JIFS-169891}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jifs/HsiaCHCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/YehHTWTJ19, author = {Chih{-}Yuan Yeh and Jung{-}Tang Huang and Sheng{-}Hsiang Tseng and Po{-}Chang Wu and Hann{-}Huei Tsai and Ying{-}Zong Juang}, title = {A low-power monolithic three-axis accelerometer with automatically sensor offset compensated and interface circuit}, journal = {Microelectron. J.}, volume = {86}, pages = {150--160}, year = {2019}, url = {https://doi.org/10.1016/j.mejo.2019.03.005}, doi = {10.1016/J.MEJO.2019.03.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/YehHTWTJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/TsengCH19, author = {Wen{-}Yen Tseng and Kai{-}Hsiang Chen and Jen{-}Wei Huang}, title = {Crowdsourced object-labeling based on a game-based mobile application}, journal = {Multim. Tools Appl.}, volume = {78}, number = {13}, pages = {18137--18168}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6944-y}, doi = {10.1007/S11042-018-6944-Y}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/TsengCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChengTH19, author = {Chin{-}Chi Cheng and Yen{-}Hsiang Tseng and Shih{-}Chang Huang}, title = {An Innovative Ultrasonic Apparatus and Technology for Diagnosis of Freeze-Drying Process}, journal = {Sensors}, volume = {19}, number = {9}, pages = {2181}, year = {2019}, url = {https://doi.org/10.3390/s19092181}, doi = {10.3390/S19092181}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChengTH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/LeeLWLFHT19, author = {Chia{-}Hsuan Lee and Hung{-}yi Lee and Szu{-}Lin Wu and Chi{-}Liang Liu and Wei Fang and Juei{-}Yang Hsu and Bo{-}Hsiang Tseng}, title = {Machine Comprehension of Spoken Content: {TOEFL} Listening Test and Spoken SQuAD}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {27}, number = {9}, pages = {1469--1480}, year = {2019}, url = {https://doi.org/10.1109/TASLP.2019.2913499}, doi = {10.1109/TASLP.2019.2913499}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/LeeLWLFHT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/HsuWLCJIPWTHC19, author = {Tzu{-}Han Hsu and Chih{-}Chuan Wang and Yuan{-}Hsiang Lin and Ching{-}Hsuan Chen and Nyan Ping Ju and Ts{\`{\i}}{-}U{\'{\i}} Ik and Wen{-}Chih Peng and Yu{-}Shuen Wang and Yu{-}Chee Tseng and Jiun{-}Long Huang and Yu{-}Tai Ching}, title = {CoachAI: {A} Project for Microscopic Badminton Match Data Collection and Tactical Analysis}, booktitle = {20th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2019, Matsue, Japan, September 18-20, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/APNOMS.2019.8893039}, doi = {10.23919/APNOMS.2019.8893039}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/HsuWLCJIPWTHC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/TsengH19, author = {Yu{-}Hsiang Tseng and Shu{-}Kai Hsieh}, editor = {Aditya Mogadala and Dietrich Klakow and Sandro Pezzelle and Marie{-}Francine Moens}, title = {Eigencharacter: An Embedding of Chinese Character Orthography}, booktitle = {Proceedings of the Beyond Vision and LANguage: inTEgrating Real-world kNowledge, LANTERN@EMNLP-IJCNLP 2019, Hong Kong, China, November 3, 2019}, pages = {24--28}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/D19-6404}, doi = {10.18653/V1/D19-6404}, timestamp = {Fri, 06 Aug 2021 00:40:22 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/TsengH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/TsengRBTBK19, author = {Bo{-}Hsiang Tseng and Marek Rei and Pawel Budzianowski and Richard E. Turner and Bill Byrne and Anna Korhonen}, editor = {Kentaro Inui and Jing Jiang and Vincent Ng and Xiaojun Wan}, title = {Semi-Supervised Bootstrapping of Dialogue State Trackers for Task-Oriented Modelling}, booktitle = {Proceedings of the 2019 Conference on Empirical Methods in Natural Language Processing and the 9th International Joint Conference on Natural Language Processing, {EMNLP-IJCNLP} 2019, Hong Kong, China, November 3-7, 2019}, pages = {1273--1278}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/D19-1125}, doi = {10.18653/V1/D19-1125}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/TsengRBTBK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/LeeLTCJCH19, author = {Chang{-}Chi Lee and Yu{-}Sung Liu and Chun{-}Hsiang Tseng and Jeng{-}Yue Chen and Fuh{-}Shyang Juang and Yung{-}Ying Chang and Hung{-}Chih Hsu}, title = {Pesticide Residue Testing System for Fruits and Vegetables by Color Identification Technology}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2019, Yilan, Taiwan, May 20-22, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE-TW46550.2019.8991943}, doi = {10.1109/ICCE-TW46550.2019.8991943}, timestamp = {Thu, 25 Nov 2021 08:23:53 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/LeeLTCJCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitl/TsengCCYT19, author = {Hsiao{-}Chien Tseng and Tzu{-}Hui Chiang and Hsiang{-}Jen Chung and Chung{-}Han Yeh and I{-}Chang Tsai}, editor = {Lisbet R{\o}nningsbakk and Ting{-}Ting Wu and Frode Eika Sandnes and Yueh{-}Min Huang}, title = {A Case Study of Taiwan - {AI} Talent Cultivation Strategies}, booktitle = {Innovative Technologies and Learning - Second International Conference, {ICITL} 2019, Troms{\o}, Norway, December 2-5, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11937}, pages = {392--397}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-35343-8\_42}, doi = {10.1007/978-3-030-35343-8\_42}, timestamp = {Wed, 27 Nov 2019 18:12:10 +0100}, biburl = {https://dblp.org/rec/conf/icitl/TsengCCYT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/TsengLLSL19, author = {Hsiao{-}Ting Tseng and Hsiao{-}Chi Li and Chia{-}Lun Lo and Tai{-}Hsiang Shen and Shu{-}Chiung Lin}, title = {Predicting Dementia Risk to Depressive Disorder Patients: {A} classification Approach}, booktitle = {2019 International Conference on Machine Learning and Cybernetics, {ICMLC} 2019, Kobe, Japan, July 7-10, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLC48188.2019.8949191}, doi = {10.1109/ICMLC48188.2019.8949191}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/TsengLLSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmlc/TsengYY19, author = {Po{-}Hsiang Tseng and Fu{-}Yi Yang and Meng{-}Han Yang}, title = {Using Non-Parametric Regression Methods to Analyze the Impact of air Pollutants on Psychiatric {\&} Neurological Illnesses}, booktitle = {2019 International Conference on Machine Learning and Cybernetics, {ICMLC} 2019, Kobe, Japan, July 7-10, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICMLC48188.2019.8949326}, doi = {10.1109/ICMLC48188.2019.8949326}, timestamp = {Tue, 14 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmlc/TsengYY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WangLBZT19, author = {Chia{-}Hsiang Wang and Chia{-}Hsiang Lin and Jos{\'{e}} M. Bioucas{-}Dias and Wei{-}Cheng Zheng and Kuo{-}Hsin Tseng}, title = {Panchromatic Sharpening of Multispectral Satellite Imagery Via an Explicitly Defined Convex Self-Similarity Regularization}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {3129--3132}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8900610}, doi = {10.1109/IGARSS.2019.8900610}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/WangLBZT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZhengLTHLWC19, author = {Wei{-}Cheng Zheng and Chia{-}Hsiang Lin and Kuo{-}Hsin Tseng and Chih{-}Yuan Huang and Tang{-}Huang Lin and Chia{-}Hsiang Wang and Chong{-}Yung Chi}, title = {Unsupervised Change Detection in Multitemporal Multispectral Satellite Images: {A} Convex Relaxation Approach}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {1546--1549}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8898598}, doi = {10.1109/IGARSS.2019.8898598}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ZhengLTHLWC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/YangCYTHCL19, author = {Tzu{-}Hsien Yang and Hsiang{-}Yun Cheng and Chia{-}Lin Yang and I{-}Ching Tseng and Han{-}Wen Hu and Hung{-}Sheng Chang and Hsiang{-}Pang Li}, editor = {Srilatha Bobbie Manne and Hillery C. Hunter and Erik R. Altman}, title = {Sparse ReRAM engine: joint exploration of activation and weight sparsity in compressed neural networks}, booktitle = {Proceedings of the 46th International Symposium on Computer Architecture, {ISCA} 2019, Phoenix, AZ, USA, June 22-26, 2019}, pages = {236--249}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307650.3322271}, doi = {10.1145/3307650.3322271}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/YangCYTHCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/ZhangWKWT19, author = {Ling{-}Yan Zhang and Kun{-}Ru Wu and Ting{-}Yuan Ke and Chih{-}Hsiang Wang and Yu{-}Chee Tseng}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Demo: {A} ROS-based Robot with Distributed Sensors for Seamless People Tracking}, booktitle = {The 25th Annual International Conference on Mobile Computing and Networking, MobiCom 2019, Los Cabos, Mexico, October 21-25, 2019}, pages = {75:1--75:3}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3300061.3343369}, doi = {10.1145/3300061.3343369}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobicom/ZhangWKWT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ps/HsuTLC19, author = {Shih{-}Hsiang Hsu and Yi{-}Hsuan Tseng and Chi{-}Ting Lin and Hong{-}Shen Chen}, title = {Optical Splitter with Wavelength Insensitive Power and Phase through Phase Compensated Mach-Zehnder Directional Coupler}, booktitle = {2019 24th OptoElectronics and Communications Conference {(OECC)} and 2019 International Conference on Photonics in Switching and Computing (PSC), Fukuoka, Japan, July 7-11, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/PS.2019.8818037}, doi = {10.23919/PS.2019.8818037}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/ps/HsuTLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigdial/TsengBWG19, author = {Bo{-}Hsiang Tseng and Pawel Budzianowski and Yen{-}Chen Wu and Milica Gasic}, editor = {Satoshi Nakamura and Milica Gasic and Ingrid Zuckerman and Gabriel Skantze and Mikio Nakano and Alexandros Papangelis and Stefan Ultes and Koichiro Yoshino}, title = {Tree-Structured Semantic Encoder with Knowledge Sharing for Domain Adaptation in Natural Language Generation}, booktitle = {Proceedings of the 20th Annual SIGdial Meeting on Discourse and Dialogue, SIGdial 2019, Stockholm, Sweden, September 11-13, 2019}, pages = {155--164}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/W19-5920}, doi = {10.18653/V1/W19-5920}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigdial/TsengBWG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wordnet/TsengH19, author = {Yu{-}Hsiang Tseng and Shu{-}Kai Hsieh}, editor = {Piek Vossen and Christiane Fellbaum}, title = {Augmenting Chinese WordNet semantic relations with contextualized embeddings}, booktitle = {Proceedings of the 10th Global Wordnet Conference, {GWC} 2019, Wroclaw, Poland, July 23-27, 2019}, pages = {151--159}, publisher = {Global Wordnet Association}, year = {2019}, url = {https://aclanthology.org/2019.gwc-1.19/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wordnet/TsengH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-01466, author = {Stefan Ultes and Pawel Budzianowski and I{\~{n}}igo Casanueva and Lina Maria Rojas{-}Barahona and Bo{-}Hsiang Tseng and Yen{-}Chen Wu and Steve J. Young and Milica Gasic}, title = {Addressing Objects and Their Relations: The Conversational Entity Dialogue Model}, journal = {CoRR}, volume = {abs/1901.01466}, year = {2019}, url = {http://arxiv.org/abs/1901.01466}, eprinttype = {arXiv}, eprint = {1901.01466}, timestamp = {Thu, 31 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-01466.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-12888, author = {Tzu{-}Han Hsu and Ching{-}Hsuan Chen and Nyan Ping Ju and Tsi{-}Ui Ik and Wen{-}Chih Peng and Chih{-}Chuan Wang and Yu{-}Shuen Wang and Yuan{-}Hsiang Lin and Yu{-}Chee Tseng and Jiun{-}Long Huang and Yu{-}Tai Ching}, title = {CoachAI: {A} Project for Microscopic Badminton Match Data Collection and Tactical Analysis}, journal = {CoRR}, volume = {abs/1907.12888}, year = {2019}, url = {http://arxiv.org/abs/1907.12888}, eprinttype = {arXiv}, eprint = {1907.12888}, timestamp = {Fri, 02 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-12888.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-06719, author = {Bo{-}Hsiang Tseng and Pawel Budzianowski and Yen{-}Chen Wu and Milica Gasic}, title = {Tree-Structured Semantic Encoder with Knowledge Sharing for Domain Adaptation in Natural Language Generation}, journal = {CoRR}, volume = {abs/1910.06719}, year = {2019}, url = {http://arxiv.org/abs/1910.06719}, eprinttype = {arXiv}, eprint = {1910.06719}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-06719.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-11672, author = {Bo{-}Hsiang Tseng and Marek Rei and Pawel Budzianowski and Richard E. Turner and Bill Byrne and Anna Korhonen}, title = {Semi-supervised Bootstrapping of Dialogue State Trackers for Task Oriented Modelling}, journal = {CoRR}, volume = {abs/1911.11672}, year = {2019}, url = {http://arxiv.org/abs/1911.11672}, eprinttype = {arXiv}, eprint = {1911.11672}, timestamp = {Tue, 03 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-11672.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-louhi/Rojas-BarahonaT18, author = {Lina Maria Rojas{-}Barahona and Bo{-}Hsiang Tseng and Yinpei Dai and Clare Mansfield and Osman Ramadan and Stefan Ultes and Michael Crawford and Milica Gasic}, editor = {Alberto Lavelli and Anne{-}Lyse Minard and Fabio Rinaldi}, title = {Deep learning for language understanding of mental health concepts derived from Cognitive Behavioural Therapy}, booktitle = {Proceedings of the Ninth International Workshop on Health Text Mining and Information Analysis, Louhi@EMNLP 2018, Brussels, Belgium, October 31, 2018}, pages = {44--54}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/w18-5606}, doi = {10.18653/V1/W18-5606}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-louhi/Rojas-BarahonaT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LaiCWLTWWSCLOCC18, author = {Chien{-}An Lai and Chung{-}Cheng Chou and Chi{-}Hsiang Weng and Zheng{-}Jun Lin and Pei{-}Ling Tseng and Chien{-}Fan Wang and Chih{-}Chen Wang and Chin{-}I Su and Wei{-}Chi Chen and Yu{-}Cheng Lin and Tong{-}Chern Ong and Chi Chang and Yu{-}Der Chih and Tsung{-}Yung Jonathan Chang}, title = {Logic Process Compatible 40nm 256K{\texttimes}144 Embedded {RRAM} with Low Voltage Current Limiter and Ambient Compensation Scheme to Improve the Read Window}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {13--16}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579345}, doi = {10.1109/ASSCC.2018.8579345}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LaiCWLTWWSCLOCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/BudzianowskiWTC18, author = {Pawel Budzianowski and Tsung{-}Hsien Wen and Bo{-}Hsiang Tseng and I{\~{n}}igo Casanueva and Stefan Ultes and Osman Ramadan and Milica Gasic}, editor = {Ellen Riloff and David Chiang and Julia Hockenmaier and Jun'ichi Tsujii}, title = {MultiWOZ - {A} Large-Scale Multi-Domain Wizard-of-Oz Dataset for Task-Oriented Dialogue Modelling}, booktitle = {Proceedings of the 2018 Conference on Empirical Methods in Natural Language Processing, Brussels, Belgium, October 31 - November 4, 2018}, pages = {5016--5026}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://aclanthology.org/D18-1547/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/BudzianowskiWTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/LinCLYTYHCLC18, author = {Meng{-}Yao Lin and Hsiang{-}Yun Cheng and Wei{-}Ting Lin and Tzu{-}Hsien Yang and I{-}Ching Tseng and Chia{-}Lin Yang and Han{-}Wen Hu and Hung{-}Sheng Chang and Hsiang{-}Pang Li and Meng{-}Fan Chang}, editor = {Iris Bahar}, title = {{DL-RSIM:} a simulation framework to enable reliable ReRAM-based accelerators for deep learning}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {31}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240800}, doi = {10.1145/3240765.3240800}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/LinCLYTYHCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/HuangTCWYCJ18, author = {Hsiang{-}Yu Huang and Kai{-}Sheng Tseng and Yu{-}Lun Chiang and Jen{-}Cheng Wang and Yu{-}Cheng Yang and Cheng{-}Ying Chou and Joe{-}Air Jiang}, title = {A LoRa-Based Optimal Path Routing Algorithm for Smart Grid}, booktitle = {12th International Conference on Sensing Technology, {ICST} 2018, Limerick, Ireland, December 4-6, 2018}, pages = {71--76}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICSensT.2018.8603641}, doi = {10.1109/ICSENST.2018.8603641}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/HuangTCWYCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChangCCHHHHLLSS18, author = {Andy R. K. Chang and Yu{-}Ling Chen and Po{-}Yu Chou and Yen{-}Zhou Huang and Hung{-}Chang Hsiao and Tsung{-}Ting Hsieh and Michael Hsu and Chia{-}Chee Lee and Hsin{-}Yin Lee and Yun{-}Chi Shih and Wei{-}An Shih and Chien{-}Hsiang Tang and Chia{-}Ping Tsai and Kuan{-}Po Tseng}, title = {The Case of Big Data Platform Services for Semiconductor Wafer Fabrication Foundries}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {41--45}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539541}, doi = {10.1109/ICTC.2018.8539541}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChangCCHHHHLLSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChouTLCCYOTC18, author = {Li{-}Der Chou and Chia{-}Wei Tseng and Meng{-}Sheng Lai and Wei{-}Yu Chen and Kuo{-}Chung Chen and Chia{-}Kuan Yen and Tsung{-}Fu Ou and Wei{-}Hsiang Tsai and Yi{-}Hsuan Chiu}, title = {Classification of Malicious Traffic Using TensorFlow Machine Learning}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {186--190}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539685}, doi = {10.1109/ICTC.2018.8539685}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChouTLCCYOTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChouTXCLYTS18, author = {Li{-}Der Chou and Chia{-}Wei Tseng and Shun{-}Yu Xie and Pin{-}Hao Chen and Yu{-}zhe Lee and Chia{-}Kuan Yen and Wei{-}Hsiang Tsai and Sen Su}, title = {Design of {SFC} Management System based on {SDN} and {NFV}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {391--395}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539693}, doi = {10.1109/ICTC.2018.8539693}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChouTXCLYTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/HsiehTLC18, author = {Shu{-}Kai Hsieh and Yu{-}Hsiang Tseng and Chih{-}yao Lee and Chiung{-}Yu Chiang}, editor = {Nicoletta Calzolari and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and K{\^{o}}iti Hasida and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis and Takenobu Tokunaga}, title = {Fluid Annotation: {A} Granularity-aware Annotation Tool for Chinese Word Fluidity}, booktitle = {Proceedings of the Eleventh International Conference on Language Resources and Evaluation, {LREC} 2018, Miyazaki, Japan, May 7-12, 2018}, publisher = {European Language Resources Association {(ELRA)}}, year = {2018}, url = {http://www.lrec-conf.org/proceedings/lrec2018/summaries/716.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/HsiehTLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/CasanuevaBSURTG18, author = {I{\~{n}}igo Casanueva and Pawel Budzianowski and Pei{-}Hao Su and Stefan Ultes and Lina Maria Rojas{-}Barahona and Bo{-}Hsiang Tseng and Milica Gasic}, editor = {Marilyn A. Walker and Heng Ji and Amanda Stent}, title = {Feudal Reinforcement Learning for Dialogue Management in Large Domains}, booktitle = {Proceedings of the 2018 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, NAACL-HLT, New Orleans, Louisiana, USA, June 1-6, 2018, Volume 2 (Short Papers)}, pages = {714--719}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/n18-2112}, doi = {10.18653/V1/N18-2112}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/CasanuevaBSURTG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/WuLTHHY18, author = {Ming{-}Yen Wu and Yu{-}Hsiang Lin and Tse{-}Hsiang Tseng and Chen{-}Min Hsu and Kai{-}Sheng Hsu and Hey{-}Chyi Young}, title = {A QoS monitoring system for {LTE} small cells}, booktitle = {2018 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2018, Taipei, Taiwan, April 23-27, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NOMS.2018.8406308}, doi = {10.1109/NOMS.2018.8406308}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/WuLTHHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigdial/CasanuevaBUKTWG18, author = {I{\~{n}}igo Casanueva and Pawel Budzianowski and Stefan Ultes and Florian Kreyssig and Bo{-}Hsiang Tseng and Yen{-}Chen Wu and Milica Gasic}, editor = {Kazunori Komatani and Diane J. Litman and Kai Yu and Lawrence Cavedon and Mikio Nakano and Alex Papangelis}, title = {Feudal Dialogue Management with Jointly Learned Feature Extractors}, booktitle = {Proceedings of the 19th Annual SIGdial Meeting on Discourse and Dialogue, Melbourne, Australia, July 12-14, 2018}, pages = {332--337}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/w18-5038}, doi = {10.18653/V1/W18-5038}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigdial/CasanuevaBUKTWG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigdial/TsengKBCWUG18, author = {Bo{-}Hsiang Tseng and Florian Kreyssig and Pawel Budzianowski and I{\~{n}}igo Casanueva and Yen{-}Chen Wu and Stefan Ultes and Milica Gasic}, editor = {Kazunori Komatani and Diane J. Litman and Kai Yu and Lawrence Cavedon and Mikio Nakano and Alex Papangelis}, title = {Variational Cross-domain Natural Language Generation for Spoken Dialogue Systems}, booktitle = {Proceedings of the 19th Annual SIGdial Meeting on Discourse and Dialogue, Melbourne, Australia, July 12-14, 2018}, pages = {338--343}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/w18-5039}, doi = {10.18653/V1/W18-5039}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigdial/TsengKBCWUG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigdial/UltesBCRTWYG18, author = {Stefan Ultes and Pawel Budzianowski and I{\~{n}}igo Casanueva and Lina Maria Rojas{-}Barahona and Bo{-}Hsiang Tseng and Yen{-}Chen Wu and Steve J. Young and Milica Gasic}, editor = {Kazunori Komatani and Diane J. Litman and Kai Yu and Lawrence Cavedon and Mikio Nakano and Alex Papangelis}, title = {Addressing Objects and Their Relations: The Conversational Entity Dialogue Model}, booktitle = {Proceedings of the 19th Annual SIGdial Meeting on Discourse and Dialogue, Melbourne, Australia, July 12-14, 2018}, pages = {273--283}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/w18-5032}, doi = {10.18653/V1/W18-5032}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigdial/UltesBCRTWYG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wocc/HsuCTS18, author = {Shih{-}Hsiang Hsu and Hong{-}Shen Chen and Yi{-}Hsuan Tseng and Meng{-}Hui Shen}, title = {Maximally uncoupled delay length for broadband Mach-Zehnder directional coupler}, booktitle = {27th Wireless and Optical Communication Conference, {WOCC} 2018, Hualien, Taiwan, April 30 - May 1, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WOCC.2018.8372721}, doi = {10.1109/WOCC.2018.8372721}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/wocc/HsuCTS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-03232, author = {I{\~{n}}igo Casanueva and Pawel Budzianowski and Pei{-}Hao Su and Stefan Ultes and Lina Maria Rojas{-}Barahona and Bo{-}Hsiang Tseng and Milica Gasic}, title = {Feudal Reinforcement Learning for Dialogue Management in Large Domains}, journal = {CoRR}, volume = {abs/1803.03232}, year = {2018}, url = {http://arxiv.org/abs/1803.03232}, eprinttype = {arXiv}, eprint = {1803.03232}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-03232.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1806-05484, author = {Lina Maria Rojas{-}Barahona and Stefan Ultes and Pawel Budzianowski and I{\~{n}}igo Casanueva and Milica Gasic and Bo{-}Hsiang Tseng and Steve J. Young}, title = {Nearly Zero-Shot Learning for Semantic Decoding in Spoken Dialogue Systems}, journal = {CoRR}, volume = {abs/1806.05484}, year = {2018}, url = {http://arxiv.org/abs/1806.05484}, eprinttype = {arXiv}, eprint = {1806.05484}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1806-05484.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-00640, author = {Lina Maria Rojas{-}Barahona and Bo{-}Hsiang Tseng and Yinpei Dai and Clare Mansfield and Osman Ramadan and Stefan Ultes and Michael Crawford and Milica Gasic}, title = {Deep learning for language understanding of mental health concepts derived from Cognitive Behavioural Therapy}, journal = {CoRR}, volume = {abs/1809.00640}, year = {2018}, url = {http://arxiv.org/abs/1809.00640}, eprinttype = {arXiv}, eprint = {1809.00640}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-00640.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-00278, author = {Pawel Budzianowski and Tsung{-}Hsien Wen and Bo{-}Hsiang Tseng and I{\~{n}}igo Casanueva and Stefan Ultes and Osman Ramadan and Milica Gasic}, title = {MultiWOZ - {A} Large-Scale Multi-Domain Wizard-of-Oz Dataset for Task-Oriented Dialogue Modelling}, journal = {CoRR}, volume = {abs/1810.00278}, year = {2018}, url = {http://arxiv.org/abs/1810.00278}, eprinttype = {arXiv}, eprint = {1810.00278}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-00278.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-08879, author = {Bo{-}Hsiang Tseng and Florian Kreyssig and Pawel Budzianowski and I{\~{n}}igo Casanueva and Yen{-}Chen Wu and Stefan Ultes and Milica Gasic}, title = {Variational Cross-domain Natural Language Generation for Spoken Dialogue Systems}, journal = {CoRR}, volume = {abs/1812.08879}, year = {2018}, url = {http://arxiv.org/abs/1812.08879}, eprinttype = {arXiv}, eprint = {1812.08879}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-08879.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/TsengCCC17, author = {Shu{-}Ming Tseng and Yung{-}Fang Chen and Po{-}Hsiang Chiu and Hung{-}Chang Chi}, title = {Jamming Resilient Cross-Layer Resource Allocation in Uplink HARQ-Based {SIMO} {OFDMA} Video Transmission Systems}, journal = {{IEEE} Access}, volume = {5}, pages = {24908--24919}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2764914}, doi = {10.1109/ACCESS.2017.2764914}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/TsengCCC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/TsengHS17, author = {Ting{-}hsiang Tseng and Hazel H. Huang and Adilina Setiawan}, title = {How do motivations for commitment in online brand communities evolve? The distinction between knowledge- and entertainment-seeking motivations}, journal = {Comput. Hum. Behav.}, volume = {77}, pages = {326--335}, year = {2017}, url = {https://doi.org/10.1016/j.chb.2017.09.016}, doi = {10.1016/J.CHB.2017.09.016}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/TsengHS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/TsengCKSBWC17, author = {Yu{-}Ting Tseng and Elizabeth H. Chang and Li{-}Na Kuo and Wan{-}Chen Shen and Kuan{-}Jen Bai and Chih{-}Chi Wang and Hsiang{-}Yin Chen}, title = {Preliminary physician and pharmacist survey of the National Health Insurance PharmaCloud system in Taiwan}, journal = {Comput. Methods Programs Biomed.}, volume = {149}, pages = {69--77}, year = {2017}, url = {https://doi.org/10.1016/j.cmpb.2017.07.004}, doi = {10.1016/J.CMPB.2017.07.004}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/TsengCKSBWC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jits/AlbousefiYFSKTY17, author = {Alhadi Ali Albousefi and Hao Ying and Dimitar P. Filev and Fazal U. Syed and Kwaku O. Prakah{-}Asante and Finn Tseng and Hsin{-}Hsiang Yang}, title = {A two-stage-training support vector machine approach to predicting unintentional vehicle lane departure}, journal = {J. Intell. Transp. Syst.}, volume = {21}, number = {1}, pages = {41--51}, year = {2017}, url = {https://doi.org/10.1080/15472450.2016.1196141}, doi = {10.1080/15472450.2016.1196141}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jits/AlbousefiYFSKTY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/TsengHH17, author = {Hsiang{-}chi Tseng and Wei{-}neng Huang and Ding{-}wei Huang}, title = {Modified Benford's law for two-exponent distributions}, journal = {Scientometrics}, volume = {110}, number = {3}, pages = {1403--1413}, year = {2017}, url = {https://doi.org/10.1007/s11192-016-2217-6}, doi = {10.1007/S11192-016-2217-6}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/TsengHH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TakahashiHSWGHT17, author = {Seiji Takahashi and Yi{-}Min Huang and Jhy{-}Jyi Sze and Tung{-}Ting Wu and Fu{-}Sheng Guo and Wei{-}Cheng Hsu and Tung{-}Hsiung Tseng and King Liao and Chin{-}Chia Kuo and Tzu{-}Hsiang Chen and Wei{-}Chieh Chiang and Chun{-}Hao Chuang and Keng{-}Yu Chou and Chi{-}Hsien Chung and Kuo{-}Yu Chou and Chien{-}Hsien Tseng and Chuan{-}Joung Wang and Dun{-}Nien Yaung}, title = {A 45 nm Stacked {CMOS} Image Sensor Process Technology for Submicron Pixel}, journal = {Sensors}, volume = {17}, number = {12}, pages = {2816}, year = {2017}, url = {https://doi.org/10.3390/s17122816}, doi = {10.3390/S17122816}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TakahashiHSWGHT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/LeeTWT17, author = {Hung{-}yi Lee and Bo{-}Hsiang Tseng and Tsung{-}Hsien Wen and Yu Tsao}, title = {Personalizing Recurrent-Neural-Network-Based Language Model by Social Network}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {25}, number = {3}, pages = {519--530}, year = {2017}, url = {https://doi.org/10.1109/TASLP.2016.2635445}, doi = {10.1109/TASLP.2016.2635445}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/LeeTWT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TsengLYJTLS17, author = {Tsun{-}Ming Tseng and Bing Li and Ching{-}Feng Yeh and Hsiang{-}Chieh Jhan and Zuo{-}Min Tsai and Mark Po{-}Hung Lin and Ulf Schlichtmann}, title = {An Efficient Two-Phase ILP-Based Algorithm for Precise {CMOS} {RFIC} Layout Generation}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {36}, number = {8}, pages = {1313--1326}, year = {2017}, url = {https://doi.org/10.1109/TCAD.2016.2629445}, doi = {10.1109/TCAD.2016.2629445}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/TsengLYJTLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/TsengYL17, author = {Yen{-}Hsiang Tseng and Che{-}Wei Yeh and Shen{-}Iuan Liu}, title = {A 2.25-2.7 GHz Area-Efficient Subharmonically Injection-Locked Fractional-N Frequency Synthesizer With a Fast-Converging Correlation Loop}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {4}, pages = {811--822}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2016.2620151}, doi = {10.1109/TCSI.2016.2620151}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/TsengYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clsw/HsiehCTWCL17, author = {Shu{-}Kai Hsieh and Chiung{-}Yu Chiang and Yu{-}Hsiang Tseng and Bo{-}Ya Wang and Tai{-}Li Chou and Chia{-}Lin Lee}, editor = {Yunfang Wu and Jia{-}Fei Hong and Qi Su}, title = {Entrenchment and Creativity in Chinese Quadrasyllabic Idiomatic Expressions}, booktitle = {Chinese Lexical Semantics - 18th Workshop, {CLSW} 2017, Leshan, China, May 18-20, 2017, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10709}, pages = {576--585}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-73573-3\_52}, doi = {10.1007/978-3-319-73573-3\_52}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clsw/HsiehCTWCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KoTL17, author = {Wei{-}Jen Ko and Bo{-}Hsiang Tseng and Hung{-}yi Lee}, title = {Recurrent Neural Network based language modeling with controllable external Memory}, booktitle = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, pages = {5705--5709}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICASSP.2017.7953249}, doi = {10.1109/ICASSP.2017.7953249}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KoTL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LinWTLCL17, author = {Wen{-}Bin Lin and Shun{-}Yuan Wang and Chwan{-}Lu Tseng and Foun{-}Yuan Liu and Jen{-}Hsiang Chou and Ching{-}Yin Lee}, title = {Design of an adaptive output recurrent cerebellar model articulation controller for direct torque control system}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {2968--2973}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8123079}, doi = {10.1109/SMC.2017.8123079}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LinWTLCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TsengWJWCL17, author = {Chwan{-}Lu Tseng and Shun{-}Yuan Wang and Fu{-}Rong Jean and Tung{-}Yu Wu and Jen{-}Hsiang Chou and Foun{-}Yuan Liu}, title = {Type-2 {T-S} fuzzy multiple feedback-loop guaranteed cost controller design for uncertain singular time-delay system}, booktitle = {2017 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2017, Banff, AB, Canada, October 5-8, 2017}, pages = {3002--3007}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SMC.2017.8123085}, doi = {10.1109/SMC.2017.8123085}, timestamp = {Tue, 05 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/TsengWJWCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/TsengLYJTLS17, author = {Tsun{-}Ming Tseng and Bing Li and Ching{-}Feng Yeh and Hsiang{-}Chieh Jhan and Zuo{-}Min Tsai and Mark Po{-}Hung Lin and Ulf Schlichtmann}, title = {Novel {CMOS} {RFIC} Layout Generation with Concurrent Device Placement and Fixed-Length Microstrip Routing}, journal = {CoRR}, volume = {abs/1705.04991}, year = {2017}, url = {http://arxiv.org/abs/1705.04991}, eprinttype = {arXiv}, eprint = {1705.04991}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/TsengLYJTLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/HuangHCC16, author = {Sy{-}Ruen Huang and Kuo{-}Hua Huang and Kuei{-}Hsiang Chao and Wei{-}Tseng Chiang}, title = {Fault analysis and diagnosis system for induction motors}, journal = {Comput. Electr. Eng.}, volume = {54}, pages = {195--209}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2016.01.028}, doi = {10.1016/J.COMPELECENG.2016.01.028}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/HuangHCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/ChenCT16, author = {Lien{-}Wu Chen and Jen{-}Hsiang Cheng and Yu{-}Chee Tseng}, title = {Distributed Emergency Guiding with Evacuation Time Optimization Based on Wireless Sensor Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {2}, pages = {419--427}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2500722}, doi = {10.1109/TPDS.2015.2500722}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/ChenCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/YanCLLCZCT16, author = {Siao{-}Jie Yan and Jung{-}Chuan Chou and Yi{-}Hung Liao and Chih{-}Hsien Lai and Jian{-}Syun Chen and Bo{-}Yang Zhuang and Hsiang{-}Yi Chen and Ting{-}Wei Tseng}, title = {Analysis of non-ideal effects and electrochemical impedance spectroscopy of arrayed flexible NiO-based pH sensor}, booktitle = {2016 {IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2016, Jeju, South Korea, October 25-28, 2016}, pages = {670--673}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APCCAS.2016.7804086}, doi = {10.1109/APCCAS.2016.7804086}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/YanCLLCZCT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/TsengLYJTLS16, author = {Tsun{-}Ming Tseng and Bing Li and Ching{-}Feng Yeh and Hsiang{-}Chieh Jhan and Zuo{-}Min Tsai and Mark Po{-}Hung Lin and Ulf Schlichtmann}, title = {Novel {CMOS} {RFIC} layout generation with concurrent device placement and fixed-length microstrip routing}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {101:1--101:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898052}, doi = {10.1145/2897937.2898052}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/TsengLYJTLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/TsengCSLW16, author = {Yen{-}Lun Tseng and Guey{-}Yun Chang and Chun{-}Chung Shih and Yue{-}Xin Liu and Tien{-}Hsiang Wu}, title = {Range Encoding-Based Network Verification in {SDN}}, booktitle = {2016 {IEEE} 14th Intl Conf on Dependable, Autonomic and Secure Computing, 14th Intl Conf on Pervasive Intelligence and Computing, 2nd Intl Conf on Big Data Intelligence and Computing and Cyber Science and Technology Congress, DASC/PiCom/DataCom/CyberSciTech 2016, Auckland, New Zealand, August 8-12, 2016}, pages = {400--405}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DASC-PICom-DataCom-CyberSciTec.2016.82}, doi = {10.1109/DASC-PICOM-DATACOM-CYBERSCITEC.2016.82}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/TsengCSLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwsm/HsuLT16, author = {Kai{-}Hsiang Hsu and Cheng{-}Te Li and Chien{-}Lin Tseng}, title = {Who Will Respond to Your Requests for Instant Trouble-Shooting?}, booktitle = {Proceedings of the Tenth International Conference on Web and Social Media, Cologne, Germany, May 17-20, 2016}, pages = {591--594}, publisher = {{AAAI} Press}, year = {2016}, url = {http://www.aaai.org/ocs/index.php/ICWSM/ICWSM16/paper/view/13116}, timestamp = {Fri, 05 Feb 2021 11:07:46 +0100}, biburl = {https://dblp.org/rec/conf/icwsm/HsuLT16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/TsengSLL16, author = {Bo{-}Hsiang Tseng and Sheng{-}syun Shen and Hung{-}yi Lee and Lin{-}Shan Lee}, editor = {Nelson Morgan}, title = {Towards Machine Comprehension of Spoken Content: Initial {TOEFL} Listening Comprehension Test by Machine}, booktitle = {Interspeech 2016, 17th Annual Conference of the International Speech Communication Association, San Francisco, CA, USA, September 8-12, 2016}, pages = {2731--2735}, publisher = {{ISCA}}, year = {2016}, url = {https://doi.org/10.21437/Interspeech.2016-876}, doi = {10.21437/INTERSPEECH.2016-876}, timestamp = {Mon, 26 Jun 2023 16:43:56 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/TsengSLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WangTLCHL16, author = {Shun{-}Yuan Wang and Chwan{-}Lu Tseng and Foun{-}Yuan Liu and Jen{-}Hsiang Chou and Ying{-}Chung Hong and Ching{-}Yin Lee}, title = {An adaptive sliding self-organizing fuzzy controller for switched reluctance motor drive systems}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {383--388}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844271}, doi = {10.1109/SMC.2016.7844271}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/WangTLCHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/TsengSLL16, author = {Bo{-}Hsiang Tseng and Sheng{-}syun Shen and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Towards Machine Comprehension of Spoken Content: Initial {TOEFL} Listening Comprehension Test by Machine}, journal = {CoRR}, volume = {abs/1608.06378}, year = {2016}, url = {http://arxiv.org/abs/1608.06378}, eprinttype = {arXiv}, eprint = {1608.06378}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/TsengSLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/PingTLCLLHY15, author = {Xiao{-}Ou Ping and Yi{-}Ju Tseng and Yan{-}Po Lin and Hsiang{-}Ju Chiu and Feipei Lai and Ja{-}Der Liang and Guan{-}Tarn Huang and Pei{-}Ming Yang}, title = {A multiple measurements case-based reasoning method for predicting recurrent status of liver cancer patients}, journal = {Comput. Ind.}, volume = {69}, pages = {12--21}, year = {2015}, url = {https://doi.org/10.1016/j.compind.2015.01.007}, doi = {10.1016/J.COMPIND.2015.01.007}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cii/PingTLCLLHY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/TsaiHHT15, author = {Chia{-}Hung Tsai and Shu{-}Chiung Hu and Chung{-}Hsiang Huang and Yu{-}Chee Tseng}, title = {A sensor-based sun-tracking energy harvest system}, journal = {Int. J. Sens. Networks}, volume = {19}, number = {2}, pages = {104--113}, year = {2015}, url = {https://doi.org/10.1504/IJSNET.2015.071631}, doi = {10.1504/IJSNET.2015.071631}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsnet/TsaiHHT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TsengCCL15, author = {Yen{-}Hsiang Tseng and Chin{-}Chi Cheng and Hong{-}Ping Cheng and Dasheng Lee}, title = {Novel Real-Time Diagnosis of the Freezing Process Using an Ultrasonic Transducer}, journal = {Sensors}, volume = {15}, number = {5}, pages = {10332--10349}, year = {2015}, url = {https://doi.org/10.3390/s150510332}, doi = {10.3390/S150510332}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/TsengCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WangTLCC15, author = {Shun{-}Yuan Wang and Chwan{-}Lu Tseng and Shou{-}Chuang Lin and Chun{-}Jung Chiu and Jen{-}Hsiang Chou}, title = {An Adaptive Supervisory Sliding Fuzzy Cerebellar Model Articulation Controller for Sensorless Vector-Controlled Induction Motor Drive Systems}, journal = {Sensors}, volume = {15}, number = {4}, pages = {7323--7348}, year = {2015}, url = {https://doi.org/10.3390/s150407323}, doi = {10.3390/S150407323}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/WangTLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/ChenCT15, author = {Lien{-}Wu Chen and Jen{-}Hsiang Cheng and Yu{-}Chee Tseng}, title = {Optimal Path Planning With Spatial-Temporal Mobility Modeling for Individual-Based Emergency Guiding}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {45}, number = {12}, pages = {1491--1501}, year = {2015}, url = {https://doi.org/10.1109/TSMC.2015.2445875}, doi = {10.1109/TSMC.2015.2445875}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/ChenCT15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/WuYCCTC15, author = {Tsung{-}Hsiang Wu and Chih{-}Wei Yi and Ching{-}Yao Chan and Ya{-}Lan Chang and Chien{-}Chao Tseng and Chun{-}Fu Chung}, title = {A preliminary study on SPC-crowd pavement indexing}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {336--339}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275353}, doi = {10.1109/APNOMS.2015.7275353}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/WuYCCTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ChienCTLSC15, author = {Shao{-}Yi Chien and Wei{-}Kai Chan and Yu{-}Hsiang Tseng and Chia{-}Han Lee and V. Srinivasa Somayazulu and Yen{-}Kuang Chen}, title = {Distributed computing in IoT: System-on-a-chip for smart cameras as an example}, booktitle = {The 20th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2015, Chiba, Japan, January 19-22, 2015}, pages = {130--135}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASPDAC.2015.7058993}, doi = {10.1109/ASPDAC.2015.7058993}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ChienCTLSC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/TsengLL15, author = {Bo{-}Hsiang Tseng and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Personalizing universal recurrent neural network language model with user characteristic features by social network crowdsourcing}, booktitle = {2015 {IEEE} Workshop on Automatic Speech Recognition and Understanding, {ASRU} 2015, Scottsdale, AZ, USA, December 13-17, 2015}, pages = {84--91}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASRU.2015.7404778}, doi = {10.1109/ASRU.2015.7404778}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/asru/TsengLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/TsengLW15, author = {Hsiang{-}Yu Tseng and Ssu{-}Ting Liu and Sheng{-}De Wang}, title = {An {FPGA} Memory Hierarchy for High-level Synthesized OpenCL Kernels}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {1719--1724}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.210}, doi = {10.1109/HPCC-CSS-ICESS.2015.210}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/TsengLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/WuLYTTJ15, author = {Po{-}Chang Wu and Bin{-}Da Liu and Chih{-}Yuan Yeh and Sheng{-}Hsiang Tseng and Hann{-}Huei Tsai and Ying{-}Zong Juang}, title = {Design of a 0.6-V 0.2-mW {CMOS} {MEMS} accelerometer}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2015, Taipei, Taiwan, June 6-8, 2015}, pages = {444--445}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICCE-TW.2015.7216989}, doi = {10.1109/ICCE-TW.2015.7216989}, timestamp = {Fri, 26 Nov 2021 09:37:33 +0100}, biburl = {https://dblp.org/rec/conf/icce-tw/WuLYTTJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangLLKYTCSTLK15, author = {Meng{-}Fan Chang and Chien{-}Chen Lin and Albert Lee and Chia{-}Chen Kuo and Geng{-}Hau Yang and Hsiang{-}Jen Tsai and Tien{-}Fu Chen and Shyh{-}Shyuan Sheu and Pei{-}Ling Tseng and Heng{-}Yuan Lee and Tzu{-}Kun Ku}, title = {17.5 {A} 3T1R nonvolatile {TCAM} using {MLC} ReRAM with Sub-1ns search time}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063054}, doi = {10.1109/ISSCC.2015.7063054}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangLLKYTCSTLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WangLTCLL15, author = {Shun{-}Yuan Wang and Foun{-}Yuan Liu and Chwan{-}Lu Tseng and Jen{-}Hsiang Chou and Kuo{-}Ying Lee and Ching{-}Yin Lee}, title = {Fuzzy Inference of Excitation Angle for Direct Torque-Controlled Switched Reluctance Motor Drives}, booktitle = {2015 {IEEE} International Conference on Systems, Man, and Cybernetics, Kowloon Tong, Hong Kong, October 9-12, 2015}, pages = {1139--1144}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/SMC.2015.204}, doi = {10.1109/SMC.2015.204}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/WangLTCLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/DowHLTTWWYJCHHH15, author = {Hsu{-}Kang Dow and Ching{-}Hua Huang and Chun{-}Hung Lai and Kai{-}Hsiang Tsao and Sheng{-}Chih Tseng and Kun{-}Yi Wu and Ting{-}Hsuan Wu and Ho{-}Chun Yang and Da{-}Jing Zhang{-}Jian and Yun{-}Nan Chang and Steve Haga and Shen{-}Fu Hsiao and Ing{-}Jer Huang and Shiann{-}Rong Kuang and Chung{-}Nan Lee}, title = {An OpenGL {ES} 2.0 3D graphics SoC with versatile {HW/SW} development support}, booktitle = {{VLSI} Design, Automation and Test, {VLSI-DAT} 2015, Hsinchu, Taiwan, April 27-29, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSI-DAT.2015.7114496}, doi = {10.1109/VLSI-DAT.2015.7114496}, timestamp = {Mon, 23 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/DowHLTTWWYJCHHH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/acl-tea/2015, editor = {Hsin{-}Hsi Chen and Yuen{-}Hsien Tseng and Yuji Matsumoto and Lung{-}Hsiang Wong}, title = {Proceedings of the 2nd Workshop on Natural Language Processing Techniques for Educational Applications, NLP-TEA@ACL/IJCNLP, Beijing, China, July 31, 2015}, publisher = {Association for Computational Linguistics}, year = {2015}, url = {https://aclanthology.org/volumes/W15-44/}, isbn = {978-1-941643-70-9}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-tea/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/TsengLL15, author = {Bo{-}Hsiang Tseng and Hung{-}yi Lee and Lin{-}Shan Lee}, title = {Personalizing a Universal Recurrent Neural Network Language Model with User Characteristic Features by Crowdsouring over Social Networks}, journal = {CoRR}, volume = {abs/1506.01192}, year = {2015}, url = {http://arxiv.org/abs/1506.01192}, eprinttype = {arXiv}, eprint = {1506.01192}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/TsengLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/HoHHT14, author = {Cheng{-}Yun Ho and Ming{-}Hsiang Huang and Cheng{-}Yuan Ho and Chien{-}Chao Tseng}, title = {Bandwidth and Latency Aware Contribution Estimation in {P2P} Streaming System}, journal = {{IEEE} Commun. Lett.}, volume = {18}, number = {9}, pages = {1511--1514}, year = {2014}, url = {https://doi.org/10.1109/LCOMM.2014.2343612}, doi = {10.1109/LCOMM.2014.2343612}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/HoHHT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LiouYCTHLLS14, author = {Wan{-}Rone Liou and Mei{-}Ling Yeh and Ping{-}Shin Chen and Chun{-}Chang Tseng and Tang{-}Yu Huang and Shu{-}Chia Lin and Cheng{-}Yu Lin and Chih{-}Hsiang Sun}, title = {Monolithic Low-EMI {CMOS} {DC-DC} Boost Converter for Portable Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {2}, pages = {420--424}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2243927}, doi = {10.1109/TVLSI.2013.2243927}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/LiouYCTHLLS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LiuLTS14, author = {Hung{-}Huan Liu and Wei{-}Hsiang Lo and Chih{-}Cheng Tseng and Haw{-}Yun Shin}, title = {A WiFi-Based Weighted Screening Method for Indoor Positioning Systems}, journal = {Wirel. Pers. Commun.}, volume = {79}, number = {1}, pages = {611--627}, year = {2014}, url = {https://doi.org/10.1007/s11277-014-1876-y}, doi = {10.1007/S11277-014-1876-Y}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/LiuLTS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/TuKTKLL14, author = {Chien{-}Ming Tu and Shih{-}Han Ku and Ju{-}Chi Tseng and Hsiang{-}Ting Kao and Fang{-}Sun Lu and Feipei Lai}, title = {{CHT} cloud orchestration: An integrated cloud system of virtualization platform}, booktitle = {The 16th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2014, Hsinchu, Taiwan, September 17-19, 2014}, pages = {1--6}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/APNOMS.2014.6996544}, doi = {10.1109/APNOMS.2014.6996544}, timestamp = {Wed, 13 Nov 2019 15:14:09 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/TuKTKLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccchina/TsengCC14, author = {Chi{-}Hsiang Tseng and Po{-}Heng Chou and Char{-}Dir Chung}, title = {Sparse-training-sequence-aided {OFDM} systems for {CFO} effect mitigation}, booktitle = {2014 {IEEE/CIC} International Conference on Communications in China, {ICCC} 2014, Shanghai, China, October 13-15, 2014}, pages = {303--308}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCChina.2014.7008291}, doi = {10.1109/ICCCHINA.2014.7008291}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccchina/TsengCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsai/ChengLT14, author = {Jen{-}Ho Cheng and Yang{-}Han Lin and Ching{-}Hsiang Tseng}, title = {Identification of Volterra kernels for nonlinear communication systems with {OFDM} inputs}, booktitle = {2nd International Conference on Systems and Informatics, {ICSAI} 2014, 15-17 November 2014, Wistaria Hotel, Shanghai, China}, pages = {860--865}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICSAI.2014.7009405}, doi = {10.1109/ICSAI.2014.7009405}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/icsai/ChengLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuLKSTJT14, author = {Li{-}Wei Chu and Chun{-}Yu Lin and Ming{-}Dou Ker and Ming{-}Hsiang Song and Jeng{-}Chou Tseng and Chewnpu Jou and Ming{-}Hsien Tsai}, title = {{ESD} protection design for wideband {RF} applications in 65-nm {CMOS} process}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1480--1483}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865426}, doi = {10.1109/ISCAS.2014.6865426}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChuLKSTJT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/AlbousefiYFSPTY14, author = {Alhadi Ali Albousefi and Hao Ying and Dimitar P. Filev and Fazal U. Syed and Kwaku O. Prakah{-}Asante and Finn Tseng and Hsin{-}Hsiang Yang}, title = {A support vector machine approach to unintentional vehicle lane departure prediction}, booktitle = {2014 {IEEE} Intelligent Vehicles Symposium Proceedings, Dearborn, MI, USA, June 8-11, 2014}, pages = {299--303}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IVS.2014.6856602}, doi = {10.1109/IVS.2014.6856602}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ivs/AlbousefiYFSPTY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/LuHCT14, author = {Chih{-}Shuan Lu and Hsiang{-}Hao Hsu and Ting{-}Hsuan Chen and Fan{-}Gang Tseng}, title = {Nano-porous membranes mediate podocyte proliferation and morphology}, booktitle = {9th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2014, Waikiki Beach, HI, USA, April 13-16, 2014}, pages = {172--175}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEMS.2014.6908784}, doi = {10.1109/NEMS.2014.6908784}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/LuHCT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sips/ChanTLC14, author = {Wei{-}Kai Chan and Yu{-}Hsiang Tseng and Yu{-}Sheng Lin and Shao{-}Yi Chien}, title = {Coarse-grained reconfigurable stream processor for distributed smart cameras}, booktitle = {2014 {IEEE} Workshop on Signal Processing Systems, SiPS 2014, Belfast, United Kingdom, October 20-22, 2014}, pages = {262--267}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SiPS.2014.6986097}, doi = {10.1109/SIPS.2014.6986097}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/sips/ChanTLC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TsengWLCST14, author = {Chwan{-}Lu Tseng and Shun{-}Yuan Wang and Foun{-}Yuan Liu and Jen{-}Hsiang Chou and Yin{-}Hsien Shih and Ta{-}Peng Tsao}, title = {An intelligent motor rotary fault diagnosis system using Taguchi method}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {2311--2316}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SMC.2014.6974271}, doi = {10.1109/SMC.2014.6974271}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/TsengWLCST14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WangTLCLT14, author = {Shun{-}Yuan Wang and Chwan{-}Lu Tseng and Foun{-}Yuan Liu and Jen{-}Hsiang Chou and Chun{-}Liang Lu and Ta{-}Peng Tsao}, title = {Design of adaptive Takagi-Sugeno-Kang fuzzy estimators for induction motor direct torque control systems}, booktitle = {2014 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2014, San Diego, CA, USA, October 5-8, 2014}, pages = {2305--2310}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/SMC.2014.6974270}, doi = {10.1109/SMC.2014.6974270}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/WangTLCLT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/WengWAFTL14, author = {Chan{-}Hsiang Weng and Tzu{-}An Wei and Erkan Alpman and Chang{-}Tsung Fu and Yi{-}Ting Tseng and Tsung{-}Hsien Lin}, title = {An 8.5MHz 67.2dB {SNDR} {CTDSM} with {ELD} compensation embedded twin-T {SAB} and circular TDC-based quantizer in 90nm {CMOS}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858398}, doi = {10.1109/VLSIC.2014.6858398}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/WengWAFTL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/TsengHCKCC14, author = {Peng{-}Jui Tseng and Chia{-}Chen Hung and Yu{-}Hsiang Chuang and Kuo Kao and Wei{-}Hui Chen and Chih{-}Yi Chiang}, title = {Scaling the Real-Time Traffic Sensing with {GPS} Equipped Probe Vehicles}, booktitle = {{IEEE} 79th Vehicular Technology Conference, {VTC} Spring 2014, Seoul, South Korea, May 18-21, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VTCSpring.2014.7023085}, doi = {10.1109/VTCSPRING.2014.7023085}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/TsengHCKCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/KuCT13, author = {Yi{-}Cheng Ku and Tsai{-}Hsin Chu and Chen{-}Hsiang Tseng}, title = {Gratifications for using {CMC} technologies: {A} comparison among SNS, IM, and e-mail}, journal = {Comput. Hum. Behav.}, volume = {29}, number = {1}, pages = {226--234}, year = {2013}, url = {https://doi.org/10.1016/j.chb.2012.08.009}, doi = {10.1016/J.CHB.2012.08.009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/KuCT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/FangHT13, author = {Wai{-}Chi Fang and Hsiang{-}Cheh Huang and Shao{-}Yen Tseng}, title = {Design of heart rate variability processor for portable 3-lead {ECG} monitoring system-on-chip}, journal = {Expert Syst. Appl.}, volume = {40}, number = {5}, pages = {1491--1504}, year = {2013}, url = {https://doi.org/10.1016/j.eswa.2012.08.042}, doi = {10.1016/J.ESWA.2012.08.042}, timestamp = {Wed, 19 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/FangHT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChienCTC13, author = {Shao{-}Yi Chien and Wei{-}Kai Chan and Yu{-}Hsiang Tseng and Hong{-}Yuh Chen}, title = {Video Object Segmentation and Tracking Framework With Improved Threshold Decision and Diffusion Distance}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {23}, number = {6}, pages = {921--934}, year = {2013}, url = {https://doi.org/10.1109/TCSVT.2013.2242595}, doi = {10.1109/TCSVT.2013.2242595}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChienCTC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/TsengC13, author = {Chi{-}Hsiang Tseng and Char{-}Dir Chung}, title = {Concatenated Precoded {OFDM} for {CFO} Effect Mitigation}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {62}, number = {6}, pages = {2618--2632}, year = {2013}, url = {https://doi.org/10.1109/TVT.2013.2245929}, doi = {10.1109/TVT.2013.2245929}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/TsengC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/TsengCC13, author = {Chi{-}Hsiang Tseng and Yu{-}Chieh Cheng and Char{-}Dir Chung}, title = {Subspace-Based Blind Channel Estimation for {OFDM} by Exploiting Cyclic Prefix}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {2}, number = {6}, pages = {691--694}, year = {2013}, url = {https://doi.org/10.1109/WCL.2013.100913.130660}, doi = {10.1109/WCL.2013.100913.130660}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/TsengCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/efmi-stc/TsengWLCHSCCCLC13, author = {Yi{-}Ju Tseng and Jung{-}Hsuan Wu and Hui{-}Chi Lin and Hsiang{-}Ju Chiu and Bo{-}Chiang Huang and Rung{-}Ji Shang and Ming{-}Yuan Chen and Wei{-}Hsin Chen and Huai{-}Te Chen and Feipei Lai and Yee{-}Chun Chen}, editor = {Bernd Blobel and Arie Hasman and Jana Zv{\'{a}}rov{\'{a}}}, title = {Rule-Based Healthcare-Associated Bloodstream Infection Classification and Surveillance System}, booktitle = {Data and Knowledge for Medical Decision Support - Proceedings of the {EFMI} Special Topic Conference, {EFMI-STC} 2013, 17-19 April 2013, Prague, Czech Republic}, series = {Studies in Health Technology and Informatics}, volume = {186}, pages = {145--149}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-240-0-145}, doi = {10.3233/978-1-61499-240-0-145}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/efmi-stc/TsengWLCHSCCCLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fira/ChengWHTCC13, author = {Chi{-}Tai Cheng and Ching{-}Chang Wong and Yueh{-}Yang Hu and I{-}Hsiang Tseng and Yi{-}Fan Chung and Min{-}Wei Chou}, editor = {Khairuddin Omar and Mohd Jan Nordin and Prahlad Vadakkepat and Anton Satria Prabuwono and Siti Norul Huda Sheikh Abdullah and Jacky Baltes and Shamsudin H. M. Amin and Wan Zuha Wan Hassan and Mohammad Faidzul Nasrudin}, title = {Fuzzy Basketball Throwing Strength Control System for Vision-Based Humanoid Robot}, booktitle = {Intelligent Robotics Systems: Inspiring the {NEXT} - 16th {FIRA} RoboWorld Congress, {FIRA} 2013, Kuala Lumpur, Malaysia, August 24-29, 2013. Proceedings}, series = {Communications in Computer and Information Science}, volume = {376}, pages = {275--285}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40409-2\_24}, doi = {10.1007/978-3-642-40409-2\_24}, timestamp = {Sat, 09 Apr 2022 12:46:24 +0200}, biburl = {https://dblp.org/rec/conf/fira/ChengWHTCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwl/TsengFY13, author = {Shih{-}Pang Tseng and Wen{-}Hsiang Fu and Chu{-}Sing Yang}, editor = {Dickson K. W. Chiu and Minhong Wang and Elvira Popescu and Qing Li and Rynson W. H. Lau and Timothy K. Shih and Chu{-}Sing Yang and Demetrios G. Sampson}, title = {An Weighted-Content Strategy for Integrating Heterogeneous Itembanks}, booktitle = {Advances in Web-Based Learning - {ICWL} 2013 Workshops - {USL} 2013, {IWSLL} 2013, {KMEL} 2013, {IWCWL} 2013, {WIL} 2013, and {IWEEC} 2013, Kenting, Taiwan, October 6-9, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8390}, pages = {192--200}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-662-46315-4\_20}, doi = {10.1007/978-3-662-46315-4\_20}, timestamp = {Wed, 24 Feb 2021 16:22:28 +0100}, biburl = {https://dblp.org/rec/conf/icwl/TsengFY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/WuLTYTTJ13, author = {Po{-}Chang Wu and Bin{-}Da Liu and Yu{-}Chen Teng and Chih{-}Yuan Yeh and Sheng{-}Hsiang Tseng and Hann{-}Huei Tsai and Ying{-}Zong Juang}, title = {Novel automatic offset cancellation approach for capacitive {CMOS} {MEMS} accelerometers}, booktitle = {{IEEE} International Symposium on Consumer Electronics, {ISCE} 2013, Hsinchu City, Taiwan, June 3-6, 2013}, pages = {147--148}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCE.2013.6570154}, doi = {10.1109/ISCE.2013.6570154}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/WuLTYTTJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwsec/TsengLCL13, author = {Fu{-}Kuo Tseng and Yung{-}Hsiang Liu and Rong{-}Jaye Chen and Bao{-}Shuh Paul Lin}, editor = {Kazuo Sakiyama and Masayuki Terada}, title = {Statistics on Encrypted Cloud Data}, booktitle = {Advances in Information and Computer Security - 8th International Workshop on Security, {IWSEC} 2013, Okinawa, Japan, November 18-20, 2013, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8231}, pages = {133--150}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-41383-4\_9}, doi = {10.1007/978-3-642-41383-4\_9}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/iwsec/TsengLCL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/ChenCSCWT13, author = {Lien{-}Wu Chen and Chia{-}Chen Chang and Pranay Sharma and Jen{-}Hsiang Cheng and Chien{-}Cheng Wu and Yu{-}Chee Tseng}, title = {A lane-level dynamic traffic control system for driving efficiency optimization based on vehicular networks}, booktitle = {2013 {IEEE} International Conference on Pervasive Computing and Communications Workshops, {PERCOM} 2013 Workshops, San Diego, CA, USA, March 18-22, 2013}, pages = {297--299}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/PerComW.2013.6529498}, doi = {10.1109/PERCOMW.2013.6529498}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/ChenCSCWT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/WangTLWCC13, author = {Shun{-}Yuan Wang and Chwan{-}Lu Tseng and Shou{-}Chuang Lin and Shun{-}Chung Wang and Ching{-}Lin Chen and Jen{-}Hsiang Chou}, title = {Design and Implementation of a Single-Stage High-Efficacy {LED} Driver with Dynamic Voltage Regulation}, booktitle = {{IEEE} International Conference on Systems, Man, and Cybernetics, Manchester, {SMC} 2013, United Kingdom, October 13-16, 2013}, pages = {1438--1443}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SMC.2013.248}, doi = {10.1109/SMC.2013.248}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/WangTLWCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/TsaiT12, author = {Shun{-}Hung Tsai and Yu{-}Hsiang Tseng}, title = {A novel color detection method based on {HSL} color space for robotic soccer competition}, journal = {Comput. Math. Appl.}, volume = {64}, number = {5}, pages = {1291--1300}, year = {2012}, url = {https://doi.org/10.1016/j.camwa.2012.03.073}, doi = {10.1016/J.CAMWA.2012.03.073}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/TsaiT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LiaoCWTCCHLJYLLCSHHWLTYLJYCP12, author = {Shyuan Liao and Yen{-}Shuo Chang and Chia{-}Hsin Wu and Hung{-}Chieh Tsai and Hsin{-}Hua Chen and Min Chen and Ching{-}Wen Hsueh and Jian{-}Bang Lin and Den{-}Kai Juang and Shun{-}An Yang and Chin{-}Tai Liu and Tsai{-}Pao Lee and Jin{-}Ru Chen and Chih{-}Heng Shih and Barry Hong and Heng{-}Ruey Hsu and Chih{-}Yuan Wang and Meng{-}Shiang Lin and Wei{-}Hsiang Tseng and Che{-}Hsiung Yang and Lawrence Chen Lee and Ting{-}Jyun Jheng and Wen{-}Wei Yang and Ming{-}Yang Chao and Jyh{-}Shin Pan}, title = {A 70-Mb/s 100.5-dBm Sensitivity 65-nm {LP} {MIMO} Chipset for WiMAX Portable Router}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {61--74}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2167811}, doi = {10.1109/JSSC.2011.2167811}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LiaoCWTCCHLJYLLCSHHWLTYLJYCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ChenT12, author = {Chih{-}Yung Chen and Hsiang{-}Yi Tseng}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {An Exploration of the Optimization of Excutive Scheduling in the Cloud Computing}, booktitle = {26th International Conference on Advanced Information Networking and Applications Workshops, {WAINA} 2012, Fukuoka, Japan, March 26-29, 2012}, pages = {1316--1319}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/WAINA.2012.159}, doi = {10.1109/WAINA.2012.159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ChenT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/TsengLC12, author = {Fu{-}Kuo Tseng and Yung{-}Hsiang Liu and Rong{-}Jaye Chen}, title = {Ensuring correctness of range searches on encrypted cloud data}, booktitle = {4th {IEEE} International Conference on Cloud Computing Technology and Science Proceedings, CloudCom 2012, Taipei, Taiwan, December 3-6, 2012}, pages = {570--573}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/CloudCom.2012.6427558}, doi = {10.1109/CLOUDCOM.2012.6427558}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cloudcom/TsengLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccoms/TsengC12, author = {Ching{-}Hsiang Tseng and Jen{-}Ho Cheng}, title = {Predicting spectral regrowth of power amplifiers in {OFDM} systems}, booktitle = {{IEEE} International Conference on Communication Systems, {ICCS} 2012, Singapore, November 21-23, 2012}, pages = {130--134}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCS.2012.6406123}, doi = {10.1109/ICCS.2012.6406123}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/iccoms/TsengC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccve/ChenCT12, author = {Lien{-}Wu Chen and Jen{-}Hsiang Cheng and Yu{-}Chee Tseng}, title = {Evacuation Time Analysis and Optimization for Distributed Emergency Guiding Based on Wireless Sensor Networks}, booktitle = {2012 International Conference on Connected Vehicles and Expo, {ICCVE} 2012, Beijing, China, December 12-16, 2012}, pages = {130--135}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.ieeecomputersociety.org/10.1109/ICCVE.2012.32}, doi = {10.1109/ICCVE.2012.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccve/ChenCT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/TsaiTH12, author = {Ming{-}Fa Tsai and Chung{-}Shi Tseng and Yu{-}Hsiang Hung}, title = {A novel {MPPT} control design for wind-turbine generation systems using neural network compensator}, booktitle = {38th Annual Conference on {IEEE} Industrial Electronics Society, {IECON} 2012, Montreal, QC, Canada, October 25-28, 2012}, pages = {3521--3526}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IECON.2012.6389333}, doi = {10.1109/IECON.2012.6389333}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iecon/TsaiTH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ChuLTKSJLTTHHC12, author = {Li{-}Wei Chu and Chun{-}Yu Lin and Shiang{-}Yu Tsai and Ming{-}Dou Ker and Ming{-}Hsiang Song and Chewnpu Jou and Tse{-}Hua Lu and Jeng{-}Chou Tseng and Ming{-}Hsien Tsai and Tsun{-}Lai Hsu and Ping{-}Fang Hung and Tzu{-}Heng Chang}, title = {Compact and low-loss {ESD} protection design for V-band {RF} applications in a 65-nm {CMOS} technology}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {2127--2130}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6271706}, doi = {10.1109/ISCAS.2012.6271706}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ChuLTKSJLTTHHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/TsengHCC12, author = {Peng{-}Jui Tseng and Chia{-}Chen Hung and Tsung{-}Hsun Chang and Yu{-}Hsiang Chuang}, title = {Real-time urban traffic sensing with {GPS} equipped Probe Vehicles}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {306--310}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425188}, doi = {10.1109/ITST.2012.6425188}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/itst/TsengHCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/TsengTSK12, author = {Huei{-}Ru Tseng and Shu{-}Kang Tseng and Tzu{-}Hsiang Su and Po{-}Chun Kang}, title = {Design and implementation of {WAVE/DSRC} payment systems}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {66--70}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425266}, doi = {10.1109/ITST.2012.6425266}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itst/TsengTSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itst/YangCTT12, author = {Po{-}Chun Yang and Jen{-}Ho Cheng and Ming{-}Shi Tu and Ching{-}Hsiang Tseng}, title = {A smartphone-based heart rate variability analysis system for vehicle drivers}, booktitle = {12th International Conference on {ITS} Telecommunications, {ITST} 2012, Taipei, Taiwan, November 5-8, 2012}, pages = {827--831}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ITST.2012.6425298}, doi = {10.1109/ITST.2012.6425298}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itst/YangCTT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/ChenKKLTL12, author = {Yu{-}Shih Chen and Zong{-}Keng Kuo and Ling{-}Yi Ke and Chiou{-}Wen Lin and Hsiang{-}Wen Tseng and Cheng{-}Hsien Liu}, title = {Difference proportional cell contact platform for 3D hepatocyte culture}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {191--194}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196754}, doi = {10.1109/NEMS.2012.6196754}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/nems/ChenKKLTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/KeKCTL12, author = {Ling{-}Yi Ke and Zong{-}Keng Kuo and Yu{-}Shih Chen and Hsiang{-}Wen Tseng and Cheng{-}Hsien Liu}, title = {PEGDA-based photocrosslinking platform for real time cell trapping}, booktitle = {7th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2012, Kyoto, Japan, March 5-8, 2012}, pages = {166--169}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/NEMS.2012.6196748}, doi = {10.1109/NEMS.2012.6196748}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/KeKCTL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/ChenCTKCL12, author = {Lien{-}Wu Chen and Jen{-}Hsiang Cheng and Yu{-}Chee Tseng and Lun{-}Chia Kuo and Jen{-}Chieh Chiang and Wan{-}Jung Lin}, title = {{LEGS:} {A} Load-balancing Emergency Guiding System based on wireless sensor networks}, booktitle = {Tenth Annual {IEEE} International Conference on Pervasive Computing and Communications, PerCom 2012, March 19-23, 2012, Lugano, Switzerland, Workshop Proceedings}, pages = {486--488}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/PerComW.2012.6197542}, doi = {10.1109/PERCOMW.2012.6197542}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/ChenCTKCL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taros/ChengWCHTC12, author = {Chi{-}Tai Cheng and Ching{-}Chang Wong and Hao{-}Che Chen and Yueh{-}Yang Hu and I{-}Hsiang Tseng and Li{-}Feng Chen}, editor = {Guido Herrmann and Matthew Studley and Martin J. Pearson and Andrew T. Conn and Chris Melhuish and Mark Witkowski and Jong{-}Hwan Kim and Prahlad Vadakkepat}, title = {Design and Implementation of Omni-directional Walking System for Humanoid Robot}, booktitle = {Advances in Autonomous Robotics - Joint Proceedings of the 13th Annual {TAROS} Conference and the 15th Annual {FIRA} RoboWorld Congress, Bristol, UK, August 20-23, 2012}, series = {Lecture Notes in Computer Science}, volume = {7429}, pages = {428--429}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32527-4\_44}, doi = {10.1007/978-3-642-32527-4\_44}, timestamp = {Tue, 14 May 2019 10:00:36 +0200}, biburl = {https://dblp.org/rec/conf/taros/ChengWCHTC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/TsengLC12, author = {Fu{-}Kuo Tseng and Yung{-}Hsiang Liu and Rong{-}Jaye Chen}, editor = {Geyong Min and Yulei Wu and Lei (Chris) Liu and Xiaolong Jin and Stephen A. Jarvis and Ahmed Yassin Al{-}Dubai}, title = {Toward Authenticated and Complete Query Results from Cloud Storages}, booktitle = {11th {IEEE} International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {1204--1209}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/TrustCom.2012.277}, doi = {10.1109/TRUSTCOM.2012.277}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/TsengLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bjet/HwangWTH11, author = {Gwo{-}Jen Hwang and Chih{-}Hsiang Wu and Judy C. R. Tseng and Iwen Huang}, title = {Development of a ubiquitous learning platform based on a real-time help-seeking mechanism}, journal = {Br. J. Educ. Technol.}, volume = {42}, number = {6}, pages = {992--1002}, year = {2011}, url = {https://doi.org/10.1111/j.1467-8535.2010.01123.x}, doi = {10.1111/J.1467-8535.2010.01123.X}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bjet/HwangWTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LiangWCLT11, author = {Jia{-}Ming Liang and You{-}Chiun Wang and Jen{-}Jee Chen and Jui{-}Hsiang Liu and Yu{-}Chee Tseng}, title = {Energy-efficient uplink resource allocation for {IEEE} 802.16j transparent-relay networks}, journal = {Comput. Networks}, volume = {55}, number = {16}, pages = {3705--3720}, year = {2011}, url = {https://doi.org/10.1016/j.comnet.2011.04.021}, doi = {10.1016/J.COMNET.2011.04.021}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/LiangWCLT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChiuLCYLWLHTH11, author = {Huang{-}Jen Chiu and Yu{-}Kang Lo and Shih{-}Jen Cheng and Yeong{-}Chang Yan and Hung{-}Chi Lee and Kuan{-}Hung Wu and Chung{-}Yi Lin and Shao{-}Shih Ho and Ming{-}Hsiang Tseng and Fu{-}Hsien Huang}, title = {A single-stage {LED} lamp driver with low {DC} bus voltage for general lighting applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {39}, number = {11}, pages = {1161--1175}, year = {2011}, url = {https://doi.org/10.1002/cta.693}, doi = {10.1002/CTA.693}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChiuLCYLWLHTH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/ShihLZCCWTT11, author = {Kuei{-}Chung Shih and Chun{-}Yuan Lin and Jiayi Zhou and Hsiao{-}Chieh Chi and Ting{-}Shou Chen and Chun{-}Chung Wang and Hsiang{-}Wen Tseng and Chuan Yi Tang}, title = {Development of Novel 3D-QSAR Combination Approach for Screening and Optimizing B-Raf Inhibitors in silico}, journal = {J. Chem. Inf. Model.}, volume = {51}, number = {2}, pages = {398--407}, year = {2011}, url = {https://doi.org/10.1021/ci100351s}, doi = {10.1021/CI100351S}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/ShihLZCCWTT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/TsengLHC11, author = {Fu{-}Kuo Tseng and Yung{-}Hsiang Liu and Jing{-}Shyang Hwu and Rong{-}Jaye Chen}, title = {A Secure Reed-Solomon Code Incentive Scheme for Commercial Ad Dissemination Over VANETs}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {60}, number = {9}, pages = {4598--4608}, year = {2011}, url = {https://doi.org/10.1109/TVT.2011.2172471}, doi = {10.1109/TVT.2011.2172471}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/TsengLHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChanTTCTCCC11, author = {Wei{-}Kai Chan and Yu{-}Hsiang Tseng and Pei{-}Kuei Tsung and Tzu{-}Der Chuang and Yi{-}Min Tsai and Wei{-}Yin Chen and Liang{-}Gee Chen and Shao{-}Yi Chien}, editor = {Rakesh Patel and Tom Andre and Aurangzeb Khan}, title = {ReSSP: {A} 5.877 {TOPS/W} Reconfigurable Smart-camera Stream Processor}, booktitle = {2011 {IEEE} Custom Integrated Circuits Conference, {CICC} 2011, San Jose, CA, USA, Sept. 19-21, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CICC.2011.6055296}, doi = {10.1109/CICC.2011.6055296}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChanTTCTCCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecctd/LinCTKLHHSTCT11, author = {Chun{-}Yu Lin and Li{-}Wei Chu and Shiang{-}Yu Tsai and Ming{-}Dou Ker and Tse{-}Hua Lu and Tsun{-}Lai Hsu and Ping{-}Fang Hung and Ming{-}Hsiang Song and Jeng{-}Chou Tseng and Tzu{-}Heng Chang and Ming{-}Hsien Tsai}, title = {Modified LC-tank {ESD} protection design for 60-GHz {RF} applications}, booktitle = {20th European Conference on Circuit Theory and Design, {ECCTD} 2011, Linkoping, Sweden, Aug. 29-31, 2011}, pages = {57--60}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ECCTD.2011.6043589}, doi = {10.1109/ECCTD.2011.6043589}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ecctd/LinCTKLHHSTCT11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mmm/2011-1, editor = {Kuo{-}Tien Lee and Wen{-}Hsiang Tsai and Hong{-}Yuan Mark Liao and Tsuhan Chen and Jun{-}Wei Hsieh and Chien{-}Cheng Tseng}, title = {Advances in Multimedia Modeling - 17th International Multimedia Modeling Conference, {MMM} 2011, Taipei, Taiwan, January 5-7, 2011, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {6523}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-17832-0}, doi = {10.1007/978-3-642-17832-0}, isbn = {978-3-642-17831-3}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmm/2011-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mmm/2011-2, editor = {Kuo{-}Tien Lee and Wen{-}Hsiang Tsai and Hong{-}Yuan Mark Liao and Tsuhan Chen and Jun{-}Wei Hsieh and Chien{-}Cheng Tseng}, title = {Advances in Multimedia Modeling - 17th International Multimedia Modeling Conference, {MMM} 2011, Taipei, Taiwan, January 5-7, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6524}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-17829-0}, doi = {10.1007/978-3-642-17829-0}, isbn = {978-3-642-17828-3}, timestamp = {Tue, 14 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmm/2011-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ChiuLYCTLHK10, author = {Huang{-}Jen Chiu and Yu{-}Kang Lo and Chun{-}Jen Yao and Ching{-}Chun Chuang and Ming{-}Hsiang Tseng and Jen{-}Jun Lin and Hsiu{-}Ming Huang and Chou{-}Chang Kann}, title = {A Single-Stage Phase-Shifted Full-Bridge Electronic Ballast for High-Pressure Mercury Lamps}, journal = {J. Circuits Syst. Comput.}, volume = {19}, number = {6}, pages = {1245--1258}, year = {2010}, url = {https://doi.org/10.1142/S0218126610006852}, doi = {10.1142/S0218126610006852}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcsc/ChiuLYCTLHK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/SuTHLZ10, author = {Feng{-}Chun Su and Ruo{-}Shan Tseng and Chung{-}Ru Ho and Yung{-}Hsiang Lee and Quanan Zheng}, title = {Detecting Surface Kuroshio Front in the Luzon Strait From Multichannel Satellite Data Using Neural Networks}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {7}, number = {4}, pages = {718--722}, year = {2010}, url = {https://doi.org/10.1109/LGRS.2010.2046714}, doi = {10.1109/LGRS.2010.2046714}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/SuTHLZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/Tseng10, author = {Ching{-}Hsiang Tseng}, title = {Estimation of cubic nonlinear bandpass channels in orthogonal frequency-division multiplexing systems}, journal = {{IEEE} Trans. Commun.}, volume = {58}, number = {5}, pages = {1415--1425}, year = {2010}, url = {https://doi.org/10.1109/TCOMM.2010.05.080573}, doi = {10.1109/TCOMM.2010.05.080573}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/Tseng10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LanTH10, author = {Po{-}Hsiang Lan and Chun{-}Yen Tseng and Po{-}Chiun Huang}, title = {Design of a process-insensitive digital controller for high-frequency {DC-DC} {SMPS}}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems, {APCCAS} 2010, Kuala Lumpur, Malaysia, December 6-9, 2010}, pages = {484--487}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/APCCAS.2010.5775055}, doi = {10.1109/APCCAS.2010.5775055}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LanTH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icalt/TsengWH10, author = {Judy C. R. Tseng and Chih{-}Hsiang Wu and Gwo{-}Jen Hwang}, title = {A Collaborative Ubiquitous Learning Approach for Conducting Personal Computer-Assembling Activities}, booktitle = {{ICALT} 2010, 10th {IEEE} International Conference on Advanced Learning Technologies, Sousse, Tunisia, 5-7 July 2010}, pages = {726--727}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICALT.2010.12}, doi = {10.1109/ICALT.2010.12}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icalt/TsengWH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/LinLLCTWLHL10, author = {Chin{-}Feng Lin and Chia{-}Chang Lee and Shiou{-}Hung Lai and Shun{-}Hsyung Chang and Ching{-}Hsiang Tseng and Tzong{-}Dar Wu and Hoang{-}Yang Lu and Hsien{-}Sen Hung and Fu{-}Sheng Lu}, title = {Direct Mapping OFDM-Based Transmission Scheme for Underwater Acoustic Multimedia}, booktitle = {{IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2010, Taipei, Taiwan, 6-9 September 2010}, pages = {138--141}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISPA.2010.11}, doi = {10.1109/ISPA.2010.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/LinLLCTWLHL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/LuoLCFC10, author = {Tseng{-}Chin Luo and Eric Leong and Mango Chia{-}Tso Chao and Philip A. Fisher and Wen{-}Hsiang Chang}, editor = {Ron Press and Erik H. Volkerink}, title = {Mask versus Schematic - an enhanced design-verification flow for first silicon success}, booktitle = {2011 {IEEE} International Test Conference, {ITC} 2010, Austin, TX, USA, November 2-4, 2010}, pages = {369--377}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/TEST.2010.5699238}, doi = {10.1109/TEST.2010.5699238}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/LuoLCFC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mswim/LiangWCLT10, author = {Jia{-}Ming Liang and You{-}Chiun Wang and Jen{-}Jee Chen and Jui{-}Hsiang Liu and Yu{-}Chee Tseng}, editor = {Violet R. Syrotiuk and Fatih Alag{\"{o}}z and Brahim Bensaou and {\"{O}}zg{\"{u}}r B. Akan}, title = {Efficient resource allocation for energy conservation in uplink transmissions of {IEEE} 802.16j transparent relay networks}, booktitle = {Proceedings of the 13th International Symposium on Modeling Analysis and Simulation of Wireless and Mobile Systems, MSWiM 2010, Bodrum, Turkey, October 17-21, 2010}, pages = {187--194}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1868521.1868552}, doi = {10.1145/1868521.1868552}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mswim/LiangWCLT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtpp/YangTCTHC10, author = {Chao{-}Tung Yang and Chien{-}Hsiang Tseng and Keng{-}Yi Chou and Shyh{-}Chang Tsaur and Ching{-}Hsien Hsu and Shih{-}Chang Chen}, editor = {Ching{-}Hsien Hsu and Victor Malyshkin}, title = {A Xen-Based Paravirtualization System toward Efficient High Performance Computing Environments}, booktitle = {Methods and Tools of Parallel Programming Multicomputers - Second Russia-Taiwan Symposium, {MTPP} 2010, Vladivostok, Russia, May 16-19, 2010, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {6083}, pages = {126--135}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-14822-4\_14}, doi = {10.1007/978-3-642-14822-4\_14}, timestamp = {Mon, 26 Jun 2023 20:48:18 +0200}, biburl = {https://dblp.org/rec/conf/mtpp/YangTCTHC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/ChouWHT10, author = {Chun{-}Hsien Chou and Ray{-}Chin Wu and Yun{-}Hsiang Hsu and Shing{-}Shi Tseng}, editor = {Pascal Frossard and Houqiang Li and Feng Wu and Bernd Girod and Shipeng Li and Guo Wei}, title = {The analysis on the perception shift of skin colors due to simultaneous color contrast}, booktitle = {Visual Communications and Image Processing 2010, {VCIP} 2010, Huangshan, China, 11-14 July 2010}, series = {{SPIE} Proceedings}, volume = {7744}, pages = {774405}, publisher = {{SPIE}}, year = {2010}, url = {https://doi.org/10.1117/12.863586}, doi = {10.1117/12.863586}, timestamp = {Fri, 20 Nov 2020 16:30:18 +0100}, biburl = {https://dblp.org/rec/conf/vcip/ChouWHT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/TsengCL09, author = {Ming{-}Lang Tseng and Jui Hsiang Chiang and Lawrence W. Lan}, title = {Selection of optimal supplier in supply chain management strategy with analytic network process and choquet integral}, journal = {Comput. Ind. Eng.}, volume = {57}, number = {1}, pages = {330--340}, year = {2009}, url = {https://doi.org/10.1016/j.cie.2008.12.001}, doi = {10.1016/J.CIE.2008.12.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/TsengCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/WangTCC09, author = {Meng{-}Hui Wang and Yi{-}Feng Tseng and Hung{-}Cheng Chen and Kuei{-}Hsiang Chao}, title = {A novel clustering algorithm based on the extension theory and genetic algorithm}, journal = {Expert Syst. Appl.}, volume = {36}, number = {4}, pages = {8269--8276}, year = {2009}, url = {https://doi.org/10.1016/j.eswa.2008.10.010}, doi = {10.1016/J.ESWA.2008.10.010}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/WangTCC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/WangTCT09, author = {Yung{-}Chung Wang and Chwan{-}Lu Tseng and Ren{-}Guey Chu and Fu{-}Hsiang Tsai}, title = {Per-stream loss behavior of Sigma {MAP/M/1/K} queuing system with a random early detection mechanism}, journal = {Inf. Sci.}, volume = {179}, number = {22}, pages = {3893--3907}, year = {2009}, url = {https://doi.org/10.1016/j.ins.2009.07.005}, doi = {10.1016/J.INS.2009.07.005}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/WangTCT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/TsengLLHL09, author = {Yuen{-}Hsien Tseng and Yu{-}I Lin and Yi{-}Yang Lee and Wen{-}Chi Hung and Chun{-}Hsiang Lee}, title = {A comparison of methods for detecting hot topics}, journal = {Scientometrics}, volume = {81}, number = {1}, pages = {73--90}, year = {2009}, url = {https://doi.org/10.1007/s11192-009-1885-x}, doi = {10.1007/S11192-009-1885-X}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/TsengLLHL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/ChanCCTC09, author = {Wei{-}Kai Chan and Jing{-}Ying Chang and Tse{-}Wei Chen and Yu{-}Hsiang Tseng and Shao{-}Yi Chien}, title = {Efficient Content Analysis Engine for Visual Surveillance Network}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {19}, number = {5}, pages = {693--703}, year = {2009}, url = {https://doi.org/10.1109/TCSVT.2009.2017408}, doi = {10.1109/TCSVT.2009.2017408}, timestamp = {Wed, 14 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/ChanCCTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/Tseng09, author = {Ching{-}Hsiang Tseng}, title = {A universal formula for the complete bandpass sampling requirements of nonlinear systems}, journal = {{IEEE} Trans. Signal Process.}, volume = {57}, number = {10}, pages = {3869--3878}, year = {2009}, url = {https://doi.org/10.1109/TSP.2009.2023356}, doi = {10.1109/TSP.2009.2023356}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/Tseng09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cnhpca/YangTCT09, author = {Chao{-}Tung Yang and Chien{-}Hsiang Tseng and Keng{-}Yi Chou and Shyh{-}Chang Tsaur}, editor = {Wu Zhang and Zhangxin Chen and Craig C. Douglas and Weiqin Tong}, title = {A Virtualized {HPC} Cluster Computing Environment on Xen with Web-Based User Interface}, booktitle = {High Performance Computing and Applications, Second International Conference, {HPCA} 2009, Shanghai, China, August 10-12, 2009, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {5938}, pages = {503--508}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-11842-5\_70}, doi = {10.1007/978-3-642-11842-5\_70}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/cnhpca/YangTCT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edutainment/ChenYTWC09, author = {Jwu{-}E Chen and Lu{-}Tsou Yeh and Hua{-}Hsiang Tseng and G. W. Wu and In{-}Hang Chung}, editor = {Maiga Chang and Rita Kuo and Kinshuk and Gwo{-}Dong Chen and Michitaka Hirose}, title = {Development of an Emotional Robot as a Teaching Assistant}, booktitle = {Learning by Playing. Game-based Education System Design and Development, 4th International Conference on E-Learning and Games, Edutainment 2009, Banff, Canada, August 9-11, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5670}, pages = {518--523}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03364-3\_64}, doi = {10.1007/978-3-642-03364-3\_64}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/edutainment/ChenYTWC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/ChangLTS09, author = {Chuan{-}Yu Chang and Hsiang{-}Yi Liu and Chin{-}Hsiao Tseng and Shyang{-}Rong Shih}, editor = {Ge Yu and Mario K{\"{o}}ppen and Shyi{-}Ming Chen and Xiamu Niu}, title = {Automatic Diagnosis of Thyroid Graves' Disease in Ultrasound Images}, booktitle = {9th International Conference on Hybrid Intelligent Systems {(HIS} 2009), August 12-14, 2009, Shenyang, China}, pages = {192--197}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/HIS.2009.46}, doi = {10.1109/HIS.2009.46}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/his/ChangLTS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispan/SunCHTC09, author = {Hung{-}Min Sun and Shih{-}Ying Chang and Yu{-}Hsiang Hung and Yu{-}Kai Tseng and Hsin{-}Ta Chiao}, title = {Decomposable Forward Error Correction Codes Based on Chinese Remainder Theorem}, booktitle = {The 10th International Symposium on Pervasive Systems, Algorithms, and Networks, {ISPAN} 2009, Kaohsiung, Taiwan, December 14-16, 2009}, pages = {260--265}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/I-SPAN.2009.91}, doi = {10.1109/I-SPAN.2009.91}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispan/SunCHTC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/TsengZLK09, author = {Fu{-}Kuo Tseng and John Kar{-}Kin Zao and Yung{-}Hsiang Liu and Fang{-}Po Kuo}, editor = {Yu{-}Chee Tseng and Peter Scheuermann and Ralf Hartmut G{\"{u}}ting and Wang{-}Chien Lee and Chung{-}Ta King and Evaggelia Pitoura}, title = {Halo: {A} Hierarchical Identity-Based Public Key Infrastructure for Peer-to-Peer Opportunistic Collaboration}, booktitle = {{MDM} 2009, Tenth International Conference on Mobile Data Management, Taipei, Taiwan, 18-20 May 2009}, pages = {672--679}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/MDM.2009.115}, doi = {10.1109/MDM.2009.115}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mdm/TsengZLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/igi/09/HwangCCTH09, author = {Gwo{-}Jen Hwang and Hsiang Cheng and Carol H. C. Chu and Judy C. R. Tseng and Gwo{-}Haur Hwang}, editor = {Syed Mahbubur Rahman}, title = {Development of a Web-Based System for Diagnosing Student Learning Problems on English Tenses}, booktitle = {Methods and Applications for Advancing Distance Education Technologies - International Issues and Solutions}, pages = {324--341}, publisher = {{IGI} Global}, year = {2009}, url = {https://doi.org/10.4018/978-1-60566-342-5.ch022}, doi = {10.4018/978-1-60566-342-5.CH022}, timestamp = {Fri, 02 Aug 2019 12:26:39 +0200}, biburl = {https://dblp.org/rec/books/igi/09/HwangCCTH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/YuCYYT08, author = {Hsiang{-}Fu Yu and Ying{-}Nan Chen and Hung{-}Chang Yang and Zeng{-}Yuan Yang and Li{-}Ming Tseng}, title = {An efficient scheme for broadcasting popular videos at low buffer demand}, journal = {Comput. Commun.}, volume = {31}, number = {10}, pages = {2270--2279}, year = {2008}, url = {https://doi.org/10.1016/j.comcom.2008.02.014}, doi = {10.1016/J.COMCOM.2008.02.014}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/YuCYYT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icves/WengCK08, author = {Ming{-}cong Weng and Chia{-}tseng Chen and Hsiang{-}chun Kao}, title = {Remote surveillance system for driver drowsiness in real-time using low-cost embedded platform}, booktitle = {{IEEE} International Conference on Vehicular Electronics and Safety, {ICVES} 2008, Columbus, OH, USA, 22-24 September, 2008}, pages = {288--292}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICVES.2008.4640862}, doi = {10.1109/ICVES.2008.4640862}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/icves/WengCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KuoHLT08, author = {Bor{-}Chen Kuo and Wen{-}Chun Huang and Hsiang{-}Chuan Liu and Shiau{-}Chian Tseng}, title = {A Novel Fuzzy C-Means Method for Hyperspectral Image Classification}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2008, July 8-11, 2008, Boston, Massachusetts, USA, Proceedings}, pages = {1002--1005}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/IGARSS.2008.4779166}, doi = {10.1109/IGARSS.2008.4779166}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KuoHLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/YangHYT08, author = {Hung{-}Chang Yang and Min{-}Yi Hsieh and Hsiang{-}Fu Yu and Li{-}Ming Tseng}, editor = {Yueh{-}Min Huang and Changsheng Xu and Kuo{-}Sheng Cheng and Jar{-}Ferr Yang and M. N. S. Swamy and Shipeng Li and Jen{-}Wen Ding}, title = {A Replication-Aware {CDN-P2P} Architecture Based on Two-Step Server Selection and Network Coding}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2008, 9th Pacific Rim Conference on Multimedia, Tainan, Taiwan, December 9-13, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5353}, pages = {738--747}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89796-5\_76}, doi = {10.1007/978-3-540-89796-5\_76}, timestamp = {Mon, 23 Nov 2020 15:58:17 +0100}, biburl = {https://dblp.org/rec/conf/pcm/YangHYT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sose/TsengH08, author = {Hsiang{-}I Tseng and Chua{-}Huang Huang}, editor = {Jonathan Lee and Deron Liang and Y. C. Cheng}, title = {A Service-Oriented Architecture Based Vendor Managed Inventory System}, booktitle = {The Fourth {IEEE} International Symposium on Service-Oriented System Engineering, {SOSE} 2008, 18-19 December 2008, Jhongli, Taiwan}, pages = {190--195}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/SOSE.2008.12}, doi = {10.1109/SOSE.2008.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sose/TsengH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/WangTJ07, author = {An{-}Hsiang Wang and Chih{-}Chen Tseng and Shie{-}Chang Jeng}, title = {Effects of bending curvature and text/background color-combinations of e-paper on subjects' visual performance and subjective preferences under various ambient illuminance conditions}, journal = {Displays}, volume = {28}, number = {4-5}, pages = {161--166}, year = {2007}, url = {https://doi.org/10.1016/j.displa.2007.06.003}, doi = {10.1016/J.DISPLA.2007.06.003}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/WangTJ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/TsengW07, author = {Judy C. R. Tseng and Chih{-}Hsiang Wu}, title = {An expert system approach to improving stability and reliability of web service}, journal = {Expert Syst. Appl.}, volume = {33}, number = {2}, pages = {379--388}, year = {2007}, url = {https://doi.org/10.1016/j.eswa.2006.05.024}, doi = {10.1016/J.ESWA.2006.05.024}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/TsengW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdet/HwangCCTH07, author = {Gwo{-}Jen Hwang and Hsiang Cheng and Carol H. C. Chu and Judy C. R. Tseng and Gwo{-}Haur Hwang}, title = {Development of a Web-Based System for Diagnosing Student Learning Problems on English Tenses}, journal = {Int. J. Distance Educ. Technol.}, volume = {5}, number = {4}, pages = {80--98}, year = {2007}, url = {https://doi.org/10.4018/jdet.2007100105}, doi = {10.4018/JDET.2007100105}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdet/HwangCCTH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/YuYT07, author = {Hsiang{-}Fu Yu and Hung{-}Chang Yang and Li{-}Ming Tseng}, title = {Reverse Fast Broadcasting {(RFB)} for Video-on-Demand Applications}, journal = {{IEEE} Trans. Broadcast.}, volume = {53}, number = {1}, pages = {103--111}, year = {2007}, url = {https://doi.org/10.1109/TBC.2006.888917}, doi = {10.1109/TBC.2006.888917}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/YuYT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/YuYHCT06, author = {Hsiang{-}Fu Yu and Hung{-}Chang Yang and Pin{-}Han Ho and Yi{-}Ming Chen and Li{-}Ming Tseng}, title = {A smooth broadcasting scheme for VBR-encoded hot videos}, journal = {Comput. Commun.}, volume = {29}, number = {15}, pages = {2904--2916}, year = {2006}, url = {https://doi.org/10.1016/j.comcom.2006.04.003}, doi = {10.1016/J.COMCOM.2006.04.003}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/YuYHCT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/YuanCTLLLWYCH06, author = {Hsiang{-}Yu Yuan and Jen{-}Jie Chiou and Wen{-}Hsien Tseng and Chia{-}Hung Liu and Chuan{-}Kun Liu and Yi{-}Jung Lin and Hui{-}Hung Wang and Adam Yao and Yuan{-}Tsong Chen and Chun{-}Nan Hsu}, title = {{FASTSNP:} an always up-to-date and extendable service for {SNP} function analysis and prioritization}, journal = {Nucleic Acids Res.}, volume = {34}, number = {Web-Server-Issue}, pages = {635--641}, year = {2006}, url = {https://doi.org/10.1093/nar/gkl236}, doi = {10.1093/NAR/GKL236}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/YuanCTLLLWYCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/TsengC06, author = {Ching{-}Hsiang Tseng and Sun{-}Chung Chou}, title = {Direct downconversion of multiband {RF} signals using bandpass sampling}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {5}, number = {1}, pages = {72--76}, year = {2006}, url = {https://doi.org/10.1109/TWC.2006.1576530}, doi = {10.1109/TWC.2006.1576530}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/TsengC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/ChengWT06, author = {Tsang{-}Hsiang Cheng and Chih{-}Ping Wei and Vincent S. Tseng}, title = {Feature Selection for Medical Data Mining: Comparisons of Expert Judgment and Automatic Approaches}, booktitle = {19th {IEEE} International Symposium on Computer-Based Medical Systems {(CBMS} 2006), 22-23 June 2006, Salt Lake City, Utah, {USA}}, pages = {165--170}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CBMS.2006.87}, doi = {10.1109/CBMS.2006.87}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cbms/ChengWT06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/TsengCCH06, author = {Vincent S. Tseng and Chun{-}Hao Chen and Chien{-}Hsiang Chen and Tzung{-}Pei Hong}, title = {Segmentation of Time Series by the Clustering and Genetic Algorithms}, booktitle = {Workshops Proceedings of the 6th {IEEE} International Conference on Data Mining {(ICDM} 2006), 18-22 December 2006, Hong Kong, China}, pages = {443--447}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICDMW.2006.145}, doi = {10.1109/ICDMW.2006.145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdm/TsengCCH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/TsengKCHLHMIMNC06, author = {Chih Tseng and Jae{-}Hyeong Kim and Suzanne Chen and Mu{-}Hsiang Huang and Chungji Lu and Ikio Hashiguchi and Yoshifumi Miyazima and Masahiro Ichihashi and Kentaro Maki and Katsuya Nakashima and Patrick Chuang}, title = {A 72Mb Separate-I/O Synchronous {SRAM} Chip with 504Gb/s Data Bandwidth}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {2582--2591}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696324}, doi = {10.1109/ISSCC.2006.1696324}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/TsengKCHLHMIMNC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/TsengL06, author = {Yu{-}Chuan Tseng and Chia{-}Hsiang Lee}, editor = {Klara Nahrstedt and Matthew A. Turk and Yong Rui and Wolfgang Klas and Ketan Mayer{-}Patel}, title = {Flow: an interactive AJAX-based internet information requesting system}, booktitle = {Proceedings of the 14th {ACM} International Conference on Multimedia, Santa Barbara, CA, USA, October 23-27, 2006}, pages = {193--196}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1180639.1180694}, doi = {10.1145/1180639.1180694}, timestamp = {Fri, 03 Jul 2020 08:57:23 +0200}, biburl = {https://dblp.org/rec/conf/mm/TsengL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wwic/YangYYTC06, author = {Hung{-}Chang Yang and Hsiang{-}Fu Yu and Zeng{-}Yuan Yang and Li{-}Ming Tseng and Yi{-}Ming Chen}, editor = {Torsten Braun and Georg Carle and Sonia Fahmy and Yevgeni Koucheryavy}, title = {A Live Harmonic Broadcasting Scheme for VBR-Encoded Hot Videos}, booktitle = {Wired/Wireless Internet Communications, 4th International Conference, {WWIC} 2006, Bern, Switzerland, May 10-12, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3970}, pages = {236--246}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11750390\_21}, doi = {10.1007/11750390\_21}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wwic/YangYYTC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/YuYTC05, author = {Hsiang{-}Fu Yu and Hung{-}Chang Yang and Li{-}Ming Tseng and Yi{-}Ming Chen}, title = {The enhanced staircase broadcasting for {VBR} videos}, journal = {Comput. Commun.}, volume = {28}, number = {17}, pages = {1903--1909}, year = {2005}, url = {https://doi.org/10.1016/j.comcom.2005.02.016}, doi = {10.1016/J.COMCOM.2005.02.016}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/comcom/YuYTC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/YangYTC05, author = {Hung{-}Chang Yang and Hsiang{-}Fu Yu and Li{-}Ming Tseng and Yi{-}Ming Chen}, title = {An efficient staircase-harmonic scheme for broadcasting popular videos}, booktitle = {2nd {IEEE} Consumer Communications and Networking Conference, {CCNC} 2005, Las Vegas, NV, USA, January 3-6, 2005}, pages = {122--127}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CCNC.2005.1405155}, doi = {10.1109/CCNC.2005.1405155}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/YangYTC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/TsengWS05, author = {Vincent Shin{-}Mu Tseng and Ming{-}Hsiang Wang and Ja{-}Hwung Su}, title = {A New Method for Image Classification by Using Multilevel Association Rules}, booktitle = {Proceedings of the 21st International Conference on Data Engineering Workshops, {ICDE} 2005, 5-8 April 2005, Tokyo, Japan}, pages = {1180}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICDE.2005.164}, doi = {10.1109/ICDE.2005.164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/TsengWS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengCW05, author = {Wei{-}Hsiang Tseng and Ching{-}Chi Chang and Chorng{-}Kuang Wang}, title = {Digital {VLSI} {OFDM} transceiver architecture for wireless SoC design}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {5794--5797}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465955}, doi = {10.1109/ISCAS.2005.1465955}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengCW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/LaiCSHSXCCTHLSCNTHPF05, author = {Por{-}Shen Lai and Shih{-}Sian Cheng and S.{-}Yuh Sun and T. Y. Huang and J. M. Su and Yeong Y. Xu and Y. H. Chen and Shun C. Chuang and C. L. Tseng and C. L. Hsieh and Y. L. Lu and Y. C. Shen and J. R. Chen and J. B. Nie and F. P. Tsai and Hsiang{-}Cheh Huang and Hsiao{-}Tien Pao and Hsin{-}Chia Fu}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {Automated Information Mining on Multimedia {TV} News Archives}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3682}, pages = {1238--1244}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11552451\_171}, doi = {10.1007/11552451\_171}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/LaiCSHSXCCTHLSCNTHPF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/TsengL05, author = {Yu{-}Chuan Tseng and Chia{-}Hsiang Lee}, editor = {HongJiang Zhang and Tat{-}Seng Chua and Ralf Steinmetz and Mohan S. Kankanhalli and Lynn Wilcox}, title = {Immersing {ME:} the disappearing digitized presence}, booktitle = {Proceedings of the 13th {ACM} International Conference on Multimedia, Singapore, November 6-11, 2005}, pages = {1063--1064}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1101149.1101378}, doi = {10.1145/1101149.1101378}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/TsengL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmns/YangYTC05, author = {Hung{-}Chang Yang and Hsiang{-}Fu Yu and Li{-}Ming Tseng and Yi{-}Ming Chen}, editor = {Jordi Dalmau Royo and Go Hasegawa}, title = {Short-Delay Video Streaming with Restricted Supplying Peer Bandwidth}, booktitle = {Management of Multimedia Networks and Services, 8th International Conference on Management of Multimedia Networks and Services, {MMNS} 2005, Barcelona, Spain, October 24-26, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3754}, pages = {362--370}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11572831\_31}, doi = {10.1007/11572831\_31}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmns/YangYTC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/si3d/MaCTCCCO05, author = {Wan{-}Chun Ma and Sung{-}Hsiang Chao and Yu{-}Ting Tseng and Yung{-}Yu Chuang and Chun{-}Fa Chang and Bing{-}Yu Chen and Ming Ouhyoung}, editor = {Anselmo Lastra and Marc Olano and David P. Luebke and Hanspeter Pfister}, title = {Level-of-detail representation of bidirectional texture functions for real-time rendering}, booktitle = {Proceedings of the 2005 Symposium on Interactive 3D Graphics, {SI3D} 2005, April 3-6, 2005, Washington, DC, {USA}}, pages = {187--194}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1053427.1053458}, doi = {10.1145/1053427.1053458}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/si3d/MaCTCCCO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/YuCT04, author = {Hsiang{-}Fu Yu and Yi{-}Ming Chen and Li{-}Ming Tseng}, title = {Archive knowledge discovery by proxy cache}, journal = {Internet Res.}, volume = {14}, number = {1}, pages = {34--47}, year = {2004}, url = {https://doi.org/10.1108/10662240410516309}, doi = {10.1108/10662240410516309}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/intr/YuCT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/LiuYYT04, author = {Julian Liu and Su{-}Chiu Yang and Hsiang{-}Fu Yu and Li{-}Ming Tseng}, title = {Content Delivery Network with Hot-Video Broadcasting and Peer-to-Peer Approach}, journal = {J. Inf. Sci. Eng.}, volume = {20}, number = {6}, pages = {1125--1139}, year = {2004}, url = {http://www.iis.sinica.edu.tw/page/jise/2004/200411\_06.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/LiuYYT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/YuYTC04, author = {Hsiang{-}Fu Yu and Hung{-}Chang Yang and Li{-}Ming Tseng and Yi{-}Ming Chen}, title = {Simple {VBR} staircase broadcasting {(SVSB)}}, booktitle = {1st {IEEE} Consumer Communications and Networking Conference, {CCNC} 2004, Las Vegas, NV, USA, January 5-8, 2004}, pages = {128--133}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CCNC.2004.1286845}, doi = {10.1109/CCNC.2004.1286845}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/YuYTC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hsnmc/YuYCT04, author = {Hsiang{-}Fu Yu and Hung{-}Chang Yang and Yi{-}Ming Chen and Li{-}Ming Tseng}, editor = {Zoubir Mammeri and Pascal Lorenz}, title = {A Smooth Recursive Frequency-Splitting Scheme for Broadcasting VBR-Encoded Hot Videos}, booktitle = {High Speed Networks and Multimedia Communications, 7th {IEEE} International Conference, {HSNMC} 2004, Toulouse, France, June 30 - July 2, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3079}, pages = {950--960}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-25969-5\_89}, doi = {10.1007/978-3-540-25969-5\_89}, timestamp = {Tue, 21 Mar 2023 20:57:30 +0100}, biburl = {https://dblp.org/rec/conf/hsnmc/YuYCT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/YangYTC04, author = {Hung{-}Chang Yang and Hsiang{-}Fu Yu and Li{-}Ming Tseng and Yi{-}Ming Chen}, editor = {Hamid R. Arabnia and Olaf Droegehorn}, title = {Interleaving Staircase Broadcasting and Receiving Scheme with Loss-Anticipation Delivery}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} '04, Las Vegas, Nevada, USA, June 21-24, 2004, Volume 1}, pages = {352--355}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic/YangYTC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icon/YangYTC04, author = {Hung{-}Chang Yang and Hsiang{-}Fu Yu and Li{-}Ming Tseng and Yi{-}Ming Chen}, editor = {Hung Keng Pung and Francis Bu{-}Sung Lee and Chen{-}Kong Tham and Saira Kuttan}, title = {Fast and lossless broadcasting schemes for VBR-encoded hot videos}, booktitle = {12th {IEEE} International Conference on Networks, {ICON} 2004, Singapore, November 16-19 2004}, pages = {165--169}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICON.2004.1409116}, doi = {10.1109/ICON.2004.1409116}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icon/YangYTC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Tseng04a, author = {Ching{-}Hsiang Tseng}, title = {A novel method for identifying cubically nonlinear systems using minimally bandpass sampled data}, booktitle = {Proceedings of the 2004 International Symposium on Circuits and Systems, {ISCAS} 2004, Vancouver, BC, Canada, May 23-26, 2004}, pages = {661--664}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ISCAS.2004.1329090}, doi = {10.1109/ISCAS.2004.1329090}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Tseng04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/YangYTC04, author = {Hung{-}Chang Yang and Hsiang{-}Fu Yu and Li{-}Ming Tseng and Yi{-}Ming Chen}, title = {Interleaving harmonic broadcasting and receiving scheme with loss-anticipation delivery}, booktitle = {Proceedings of the 9th {IEEE} Symposium on Computers and Communications {(ISCC} 2006), June 28 - July 1, 2004, Alexandria, Egypt}, pages = {600--605}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISCC.2004.1358604}, doi = {10.1109/ISCC.2004.1358604}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/YangYTC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wwic/YuYCTK04, author = {Hsiang{-}Fu Yu and Hung{-}Chang Yang and Yi{-}Ming Chen and Li{-}Ming Tseng and Chen{-}Yi Kuo}, editor = {Peter Langend{\"{o}}rfer and Mingyan Liu and Ibrahim Matta and Vassilios Tsaoussidis}, title = {Smooth Fast Broadcasting {(SFB)} for Compressed Videos}, booktitle = {Wired/Wireless Internet Communications, Second International Conference, {WWIC} 2004, Frankfurt/Oder, Germany, February 4-6, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2957}, pages = {272--283}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24643-5\_24}, doi = {10.1007/978-3-540-24643-5\_24}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wwic/YuYCTK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/YuCWT03, author = {Hsiang{-}Fu Yu and Yi{-}Ming Chen and Shih{-}Yong Wang and Li{-}Ming Tseng}, title = {Improving Internet archive service through proxy cache}, journal = {Internet Res.}, volume = {13}, number = {1}, pages = {61--71}, year = {2003}, url = {https://doi.org/10.1108/10662240310458387}, doi = {10.1108/10662240310458387}, timestamp = {Sun, 06 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/intr/YuCWT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/YangYT03, author = {Hung{-}Chang Yang and Hsiang{-}Fu Yu and Li{-}Ming Tseng}, title = {Adaptive Live Broadcasting for Highly-Demand Videos}, journal = {J. Inf. Sci. Eng.}, volume = {19}, number = {3}, pages = {531--549}, year = {2003}, url = {http://www.iis.sinica.edu.tw/page/jise/2003/200305\_09.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/YangYT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iadis/LinTTTY03, author = {Shun{-}Chieh Lin and Wen{-}Hsiang Tsai and Shian{-}Shyong Tseng and Wen{-}Guey Tzeng and Shyan{-}Ming Yuan}, title = {A Framework of High Confidence E-Healthcare Information System}, booktitle = {Proceedings of the {IADIS} International Conference WWW/Internet 2003, {ICWI} 2003, Algarve, Portugal, November 5-8, 2003}, pages = {493--500}, publisher = {{IADIS}}, year = {2003}, timestamp = {Thu, 18 Mar 2004 15:27:46 +0100}, biburl = {https://dblp.org/rec/conf/iadis/LinTTTY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/TsengC03, author = {Ching{-}Hsiang Tseng and Sun{-}Chung Chou}, title = {Direct downconversion of multiple {RF} signals using bandpass sampling}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2003, Anchorage, Alaska, USA, 11-15 May, 2003}, pages = {2003--2007}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICC.2003.1203950}, doi = {10.1109/ICC.2003.1203950}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/TsengC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismse/ChenJHCTO03, author = {Chien{-}Yu Chen and Hsueh{-}Fen Juan and Po{-}Jen Hsiao and Shui{-}Tein Chen and Hsiang{-}Wen Tseng and Yen{-}Jen Oyang}, title = {Design of an incremental clustering package for protein function and family analysis}, booktitle = {Fifth International Symposium on Multimedia Software Engineering, {ISMSE} 2003, Taichung, Taiwan, December 10-12, 2003}, pages = {288--296}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/MMSE.2003.1254454}, doi = {10.1109/MMSE.2003.1254454}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismse/ChenJHCTO03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/intr/YuT02, author = {Hsiang{-}Fu Yu and Li{-}Ming Tseng}, title = {Abnormal Web usage control by proxy strategies}, journal = {Internet Res.}, volume = {12}, number = {1}, pages = {66--75}, year = {2002}, url = {https://doi.org/10.1108/10662240210415835}, doi = {10.1108/10662240210415835}, timestamp = {Wed, 04 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/intr/YuT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/TsengP02, author = {Yu{-}Chee Tseng and Hsiang{-}Kuang Pan}, title = {Data Hiding in 2-Color Images}, journal = {{IEEE} Trans. Computers}, volume = {51}, number = {7}, pages = {873--880}, year = {2002}, url = {https://doi.org/10.1109/TC.2002.1017706}, doi = {10.1109/TC.2002.1017706}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/TsengP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/TsengCP02, author = {Yu{-}Chee Tseng and Yu{-}Yuan Chen and Hsiang{-}Kuang Pan}, title = {A secure data hiding scheme for binary images}, journal = {{IEEE} Trans. Commun.}, volume = {50}, number = {8}, pages = {1227--1231}, year = {2002}, url = {https://doi.org/10.1109/TCOMM.2002.801488}, doi = {10.1109/TCOMM.2002.801488}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/TsengCP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/Tseng02, author = {Ching{-}Hsiang Tseng}, title = {Bandpass sampling criteria for nonlinear systems}, journal = {{IEEE} Trans. Signal Process.}, volume = {50}, number = {3}, pages = {568--577}, year = {2002}, url = {https://doi.org/10.1109/78.984739}, doi = {10.1109/78.984739}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/Tseng02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/YangYT02, author = {Hung{-}Chang Yang and Hsiang{-}Fu Yu and Li{-}Ming Tseng}, title = {Adaptive Live Broadcasting for Highly-Demanded Videos}, booktitle = {9th International Conference on Parallel and Distributed Systems, {ICPADS} 2002, Taiwan, ROC, December 17-20, 2002}, pages = {410}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICPADS.2002.1183432}, doi = {10.1109/ICPADS.2002.1183432}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/YangYT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/Tseng01, author = {Ching{-}Hsiang Tseng}, title = {Sampling criterion for nonlinear systems with a bandpass input}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2001, 7-11 May, 2001, Salt Palace Convention Center, Salt Lake City, Utah, USA, Proceedings}, pages = {3457--3460}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ICASSP.2001.940585}, doi = {10.1109/ICASSP.2001.940585}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/Tseng01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/TsengP01, author = {Yu{-}Chee Tseng and Hsiang{-}Kuang Pan}, title = {Secure and Invisible Data Hiding in 2-Color Images}, booktitle = {Proceedings {IEEE} {INFOCOM} 2001, The Conference on Computer Communications, Twentieth Annual Joint Conference of the {IEEE} Computer and Communications Societies, Twenty years into the communications odyssey, Anchorage, Alaska, USA, April 22-26, 2001}, pages = {887--896}, publisher = {{IEEE} Comptuer Society}, year = {2001}, url = {https://doi.org/10.1109/INFCOM.2001.916280}, doi = {10.1109/INFCOM.2001.916280}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/TsengP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/PanCT00, author = {Hsiang{-}Kuang Pan and Yu{-}Yuan Chen and Yu{-}Chee Tseng}, title = {A Secure Data Hiding Scheme for Two-Color Images}, booktitle = {Proceedings of the Fifth {IEEE} Symposium on Computers and Communications {(ISCC} 2000), 4-6 July 2000, Antibes, France}, pages = {750--755}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ISCC.2000.860731}, doi = {10.1109/ISCC.2000.860731}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/PanCT00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/FoggT99, author = {B. J. Fogg and Hsiang Tseng}, editor = {Marian G. Williams and Mark W. Altom}, title = {The Elements of Computer Credibility}, booktitle = {Proceeding of the {CHI} '99 Conference on Human Factors in Computing Systems: The {CHI} is the Limit, Pittsburgh, PA, USA, May 15-20, 1999}, pages = {80--87}, publisher = {{ACM}}, year = {1999}, url = {https://doi.org/10.1145/302979.303001}, doi = {10.1145/302979.303001}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/FoggT99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/Tseng97, author = {Ching{-}Hsiang Tseng}, title = {A mixed-domain method for identification of quadratically nonlinear systems}, journal = {{IEEE} Trans. Signal Process.}, volume = {45}, number = {4}, pages = {1013--1024}, year = {1997}, url = {https://doi.org/10.1109/78.564189}, doi = {10.1109/78.564189}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/Tseng97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/TsengL96, author = {Ching{-}Hsiang Tseng and Cheng{-}Bin Lin}, title = {A frequency-domain approximate {RLS} algorithm for blind equalization of mobile communication channels}, booktitle = {The 7th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1996, Taipei, Taiwan, October 15-18, 1996}, pages = {873--877}, year = {1996}, url = {https://doi.org/10.1109/PIMRC.1996.568406}, doi = {10.1109/PIMRC.1996.568406}, timestamp = {Thu, 28 May 2020 11:01:18 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/TsengL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/TsengP95, author = {Ching{-}Hsiang Tseng and Edward J. Powers}, title = {Identification of cubic systems using higher order moments of i.i.d. signals}, journal = {{IEEE} Trans. Signal Process.}, volume = {43}, number = {7}, pages = {1733--1735}, year = {1995}, url = {https://doi.org/10.1109/78.398739}, doi = {10.1109/78.398739}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/TsengP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/TsengP93, author = {Ching{-}Hsiang Tseng and Edward J. Powers}, title = {Application of orthogonal-search method to Volterra modeling of nonlinear systems}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} '93, Minneapolis, Minnesota, USA, April 27-30, 1993}, pages = {512--515}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.ieeecomputersociety.org/10.1109/ICASSP.1993.319708}, doi = {10.1109/ICASSP.1993.319708}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/TsengP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/TsengP93, author = {Ching{-}Hsiang Tseng and Edward J. Powers}, title = {Batch and adaptive Volterra filtering of cubically nonlinear systems with a Gaussian input}, booktitle = {1993 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1993, Chicago, Illinois, USA, May 3-6, 1993}, pages = {40--43}, publisher = {{IEEE}}, year = {1993}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/TsengP93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compcon/AnnaratoneBCKMRTW87, author = {Marco Annaratone and Francois J. Bitz and Ed Clune and H. T. Kung and Prabir C. Maulik and Hudson Benedito Ribas and P. S. Tseng and Jon A. Webb}, title = {Applications and Algorithm Partitioning on Warp}, booktitle = {COMPCON'87, Digest of Papers, Thirty-Second {IEEE} Computer Society International Conference, San Francisco, California, USA, February 23-27, 1987}, pages = {272--279}, publisher = {{IEEE} Computer Society}, year = {1987}, timestamp = {Tue, 28 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/compcon/AnnaratoneBCKMRTW87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.