Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "fault-tolerant application"
@article{DBLP:journals/complexity/AlruwailyK24, author = {Ymnah Alruwaily and Mohamed Kharrat}, title = {Funnel-Based Adaptive Neural Fault-Tolerant Control for Nonlinear Systems with Dead-Zone and Actuator Faults: Application to Rigid Robot Manipulator and Inverted Pendulum Systems}, journal = {Complex.}, volume = {2024}, pages = {5344619:1--5344619:13}, year = {2024}, url = {https://doi.org/10.1155/2024/5344619}, doi = {10.1155/2024/5344619}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/AlruwailyK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/BaiSCM24, author = {Yang Bai and Zong{-}Yao Sun and Chih{-}Chiang Chen and Qinghua Meng}, title = {Fuzzy Fixed-Time Fault-Tolerant Control of Uncertain Nonlinear Systems with Non-affine Faults and Its Application in Manipulator Systems}, journal = {Int. J. Fuzzy Syst.}, volume = {26}, number = {2}, pages = {540--552}, year = {2024}, url = {https://doi.org/10.1007/s40815-023-01614-x}, doi = {10.1007/S40815-023-01614-X}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijfs/BaiSCM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/MahalleRM24, author = {Mahdi Abbasi Mahalle and Amin Ramezani and Ali Moarefianpour}, title = {Adaptive terminal sliding mode active fault-tolerant control for a class of uncertain nonlinear systems with application of aircraft wing model with actuator faults}, journal = {Int. J. Syst. Sci.}, volume = {55}, number = {6}, pages = {1259--1269}, year = {2024}, url = {https://doi.org/10.1080/00207721.2024.2304124}, doi = {10.1080/00207721.2024.2304124}, timestamp = {Tue, 30 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/MahalleRM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/PedapatiH24, author = {Praneeth Kumar Pedapati and Allamsetty Hemachander}, title = {Fault-Tolerant Reconfigurable Multiport Converter for Hybrid Power Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {3}, pages = {1496--1500}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2023.3327130}, doi = {10.1109/TCSII.2023.3327130}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/PedapatiH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/ShenSL24, author = {Qikun Shen and Peng Shi and Chee Peng Lim}, title = {Fuzzy Adaptive Fault-Tolerant Stability Control Against Novel Actuator Faults and Its Application to Mechanical Systems}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {32}, number = {4}, pages = {2331--2340}, year = {2024}, url = {https://doi.org/10.1109/TFUZZ.2023.3343403}, doi = {10.1109/TFUZZ.2023.3343403}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/ShenSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/Wang0FLQ24, author = {Runyu Wang and Dawei Li and Xinggang Fan and Shuangshuang Luo and Ronghai Qu}, title = {A Novel Variable Impedance {PM} Fault-Tolerant Machine for Ultrahigh-Reliability Applications}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {7}, pages = {6852--6862}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3310036}, doi = {10.1109/TIE.2023.3310036}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/Wang0FLQ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/Rashidi24, author = {Bahram Rashidi}, title = {Fault-tolerant and error-correcting 4-bit S-boxes for cryptography applications with multiple errors detection}, journal = {J. Supercomput.}, volume = {80}, number = {2}, pages = {1464--1490}, year = {2024}, url = {https://doi.org/10.1007/s11227-023-05530-7}, doi = {10.1007/S11227-023-05530-7}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/Rashidi24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ZhangWFHC24, author = {Huanwen Zhang and Yan Wang and Jianxi Fan and Yuejuan Han and Baolei Cheng}, title = {Constructing edge-disjoint spanning trees in several cube-based networks with applications to edge fault-tolerant communication}, journal = {J. Supercomput.}, volume = {80}, number = {2}, pages = {1907--1934}, year = {2024}, url = {https://doi.org/10.1007/s11227-023-05546-z}, doi = {10.1007/S11227-023-05546-Z}, timestamp = {Fri, 02 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/ZhangWFHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/GaoYY24, author = {Zhen Gao and Wei Yu and Jun Yan}, title = {Neuroadaptive Fault-Tolerant Control Embedded With Diversified Activating Functions With Application to Auto-Driving Vehicles Under Fading Actuation}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {35}, number = {5}, pages = {6255--6264}, year = {2024}, url = {https://doi.org/10.1109/TNNLS.2023.3248100}, doi = {10.1109/TNNLS.2023.3248100}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/GaoYY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JankowskaPDK23, author = {Kamila Jankowska and Viktor Petro and Mateusz Dybkowski and Karol Kyslan}, title = {The Application of a Sliding Mode Observer in a Speed Sensor Fault Tolerant {PMSM} Drive System}, journal = {{IEEE} Access}, volume = {11}, pages = {130899--130908}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3335121}, doi = {10.1109/ACCESS.2023.3335121}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JankowskaPDK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuFHWZ23, author = {Qiangguo Yu and Yanan Feng and Xu Huang and Peiliang Wang and Mengjia Zeng}, title = {Direct Thrust Force Fault-Tolerant Control of Primary Permanent Magnet Linear Motor With Single DC-Link Current Sensor for Metro Application}, journal = {{IEEE} Access}, volume = {11}, pages = {22320--22328}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2022.3219130}, doi = {10.1109/ACCESS.2022.3219130}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YuFHWZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/PatnanaLS23, author = {Hema Kumar Patnana and Suresh Lakhimsetty and Veeramraju Tirumala Somasekhar}, title = {A low-cost fault-tolerant permanent magnet brush-less direct current motor drive for low-power electric vehicle applications}, journal = {Int. J. Circuit Theory Appl.}, volume = {51}, number = {9}, pages = {4442--4453}, year = {2023}, url = {https://doi.org/10.1002/cta.3642}, doi = {10.1002/CTA.3642}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/PatnanaLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijicc/PatelS23, author = {Himanshukumar R. Patel and Vipul A. Shah}, title = {Type-2 fuzzy logic applications designed for active parameter adaptation in metaheuristic algorithm for fuzzy fault-tolerant controller}, journal = {Int. J. Intell. Comput. Cybern.}, volume = {16}, number = {2}, pages = {198--222}, year = {2023}, url = {https://doi.org/10.1108/IJICC-01-2022-0011}, doi = {10.1108/IJICC-01-2022-0011}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijicc/PatelS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/HuaDSS23, author = {Xingxing Hua and Xin Dai and Shaoxin Sun and Yue Sun}, title = {Distributed fault-tolerant control for heterogeneous multiagent systems and application in wireless power transfer grid}, journal = {J. Frankl. Inst.}, volume = {360}, number = {17}, pages = {13509--13536}, year = {2023}, url = {https://doi.org/10.1016/j.jfranklin.2023.05.037}, doi = {10.1016/J.JFRANKLIN.2023.05.037}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/HuaDSS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BakhshiRH23, author = {Zeinab Bakhshi and Guillermo Rodr{\'{\i}}guez{-}Navas and Hans Hansson}, title = {Analyzing the performance of persistent storage for fault-tolerant stateful fog applications}, journal = {J. Syst. Archit.}, volume = {144}, pages = {103004}, year = {2023}, url = {https://doi.org/10.1016/j.sysarc.2023.103004}, doi = {10.1016/J.SYSARC.2023.103004}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/BakhshiRH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamco/Stefanovski23, author = {Jovan D. Stefanovski}, title = {Deflating Subspace Approach to {UIO} Design and Application to Fault-Tolerant Control}, journal = {{SIAM} J. Control. Optim.}, volume = {61}, number = {5}, pages = {2876--2901}, year = {2023}, url = {https://doi.org/10.1137/22m1535619}, doi = {10.1137/22M1535619}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamco/Stefanovski23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ZhangXHCX23, author = {Yongqiang Zhang and Lingyun Xie and Jie Han and Xin Cheng and Guangjun Xie}, title = {Highly Accurate and Energy Efficient Binary-Stochastic Multipliers for Fault-Tolerant Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {2}, pages = {771--775}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3210035}, doi = {10.1109/TCSII.2022.3210035}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/ZhangXHCX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/RahimiFPPAL23, author = {Tohid Rahimi and Mehdi Fallah and Ehsan Pashajavid and Josep Pou and Ali Arefi and Ka Hong Loo}, title = {Single-Phase 15-Level Inverters for Uninterruptible Power Supply Applications: Fault-Tolerant Strategies}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {69}, number = {4}, pages = {1055--1067}, year = {2023}, url = {https://doi.org/10.1109/TCE.2023.3320632}, doi = {10.1109/TCE.2023.3320632}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/RahimiFPPAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcns/HouCLWZWXX23, author = {Jian Hou and Zhiyong Chen and Zhiyun Lin and Chunling Wei and Junzheng Zheng and Fangyuan Wang and Mengfan Xiang and Yujie Xie}, title = {Resilient Consensus via Weight Learning and Its Application in Fault-Tolerant Clock Synchronization}, journal = {{IEEE} Trans. Control. Netw. Syst.}, volume = {10}, number = {4}, pages = {2097--2107}, year = {2023}, url = {https://doi.org/10.1109/TCNS.2023.3262191}, doi = {10.1109/TCNS.2023.3262191}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcns/HouCLWZWXX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/ZhangC23, author = {Zhixin Zhang and Zhiyong Chen}, title = {Fault Estimation and Tolerant Control of a Class of Nonlinear Systems and Its Application in High-Speed Trains}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {31}, number = {6}, pages = {2903--2911}, year = {2023}, url = {https://doi.org/10.1109/TCST.2023.3269599}, doi = {10.1109/TCST.2023.3269599}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcst/ZhangC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LiYZLZ23, author = {Guangdi Li and Dongsheng Yang and Bowen Zhou and Yan{-}Fei Liu and Huaguang Zhang}, title = {A Topology-Reconfigurable Fault-Tolerant Two-and-Single Stage {AC-DC} Converter for High Reliability Applications}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {4}, pages = {3708--3716}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3174236}, doi = {10.1109/TIE.2022.3174236}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LiYZLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/PaiWPC23, author = {Kung{-}Jui Pai and Ro{-}Yu Wu and Sheng{-}Lung Peng and Jou{-}Ming Chang}, title = {Three edge-disjoint Hamiltonian cycles in crossed cubes with applications to fault-tolerant data broadcasting}, journal = {J. Supercomput.}, volume = {79}, number = {4}, pages = {4126--4145}, year = {2023}, url = {https://doi.org/10.1007/s11227-022-04825-5}, doi = {10.1007/S11227-022-04825-5}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/PaiWPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/LiYFZ23, author = {Zengpeng Li and Huiqun Yu and Guisheng Fan and Jiayin Zhang}, title = {Cost-Efficient Fault-Tolerant Workflow Scheduling for Deadline-Constrained Microservice-Based Applications in Clouds}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {20}, number = {3}, pages = {3220--3232}, year = {2023}, url = {https://doi.org/10.1109/TNSM.2023.3241450}, doi = {10.1109/TNSM.2023.3241450}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnsm/LiYFZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/VSC23a, author = {Samuel H. Christie V. and Munindar P. Singh and Amit K. Chopra}, editor = {Noa Agmon and Bo An and Alessandro Ricci and William Yeoh}, title = {Mandrake: Multiagent Systems as a Basis for Programming Fault-Tolerant Decentralized Applications}, booktitle = {Proceedings of the 2023 International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2023, London, United Kingdom, 29 May 2023 - 2 June 2023}, pages = {1218--1220}, publisher = {{ACM}}, year = {2023}, url = {https://dl.acm.org/doi/10.5555/3545946.3598765}, doi = {10.5555/3545946.3598765}, timestamp = {Tue, 23 May 2023 14:27:14 +0200}, biburl = {https://dblp.org/rec/conf/atal/VSC23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/HeckmannR23, author = {Owen Heckmann and Arun Ravindran}, editor = {Yogesh Simmhan and Ilkay Altintas and Ana Lucia Varbanescu and Pavan Balaji and Abhinandan S. Prasad and Lorenzo Carnevale}, title = {Evaluating Kubernetes at the Edge for Fault Tolerant Multi-Camera Computer Vision Applications}, booktitle = {23rd {IEEE/ACM} International Symposium on Cluster, Cloud and Internet Computing, CCGrid 2023 - Workshops, Bangalore, India, May 1-4, 2023}, pages = {269--271}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCGridW59191.2023.00054}, doi = {10.1109/CCGRIDW59191.2023.00054}, timestamp = {Fri, 28 Jul 2023 07:48:30 +0200}, biburl = {https://dblp.org/rec/conf/ccgrid/HeckmannR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cit/SingBP23, author = {Ranumayee Sing and Sourav Kumar Bhoi and Niranjan Panigrahi}, title = {A Fault-Tolerant Load Balancing Approach Using Whale Optimization Algorithm for Cloud-Fog Based IoT Applications}, booktitle = {{OITS} International Conference on Information Technology, {OCIT} 2023, Raipur, India, December 13-15, 2023}, pages = {231--236}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/OCIT59427.2023.10430965}, doi = {10.1109/OCIT59427.2023.10430965}, timestamp = {Tue, 05 Mar 2024 11:17:29 +0100}, biburl = {https://dblp.org/rec/conf/cit/SingBP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/LiuWFC23, author = {Shuai Liu and Yan Wang and Jianxi Fan and Baolei Cheng}, editor = {Zahir Tari and Keqiu Li and Hongyi Wu}, title = {Node-Disjoint Paths in Balanced Hypercubes with Application to Fault-Tolerant Routing}, booktitle = {Algorithms and Architectures for Parallel Processing - 23rd International Conference, {ICA3PP} 2023, Tianjin, China, October 20-22, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14489}, pages = {40--56}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-0798-0\_3}, doi = {10.1007/978-981-97-0798-0\_3}, timestamp = {Mon, 11 Mar 2024 15:20:45 +0100}, biburl = {https://dblp.org/rec/conf/ica3pp/LiuWFC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChenTKC23, author = {Yan{-}Lin Chen and Wei{-}Che Tseng and Wei{-}Yao Kao and Yao{-}Wen Chang}, title = {A General Wavelength-Routed Optical Networks-on-Chip Model with Applications to Provably Good Customized and Fault-Tolerant Topology Designs}, booktitle = {{IEEE/ACM} International Conference on Computer Aided Design, {ICCAD} 2023, San Francisco, CA, USA, October 28 - Nov. 2, 2023}, pages = {1--7}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAD57390.2023.10323627}, doi = {10.1109/ICCAD57390.2023.10323627}, timestamp = {Wed, 03 Jan 2024 08:34:26 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ChenTKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsc2/NguyenMIS23, author = {Duc To Nguyen and Sa{\"{\i}}d Mammar and Dalil Ichalal and Mohand Sma{\"{\i}}li}, title = {Functional Unknown Input Interval Observer and Fault Tolerant Control with Application to Vehicle Lateral Dynamics}, booktitle = {11th {IEEE} International Conference on Systems and Control, {ICSC} 2023, Sousse, Tunisia, December 18-20, 2023}, pages = {183--188}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSC58660.2023.10449730}, doi = {10.1109/ICSC58660.2023.10449730}, timestamp = {Wed, 13 Mar 2024 19:10:52 +0100}, biburl = {https://dblp.org/rec/conf/icsc2/NguyenMIS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/PedapatiRKSH23, author = {Praneeth Kumar Pedapati and Dalli Srinivas Reddy and M. Kavitha and Surbhi Singh and Allamsetty Hemachander}, title = {Reliability Analysis of a Fault-Tolerant Multiport Converter for Renewable Applications}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312332}, doi = {10.1109/IECON51785.2023.10312332}, timestamp = {Tue, 12 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/PedapatiRKSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/UpadhyayGE23, author = {Aakriti Upadhyay and Mukulika Ghosh and Chinwe Ekenna}, title = {Minimal Path Violation Problem with Application to Fault Tolerant Motion Planning of Manipulators}, booktitle = {{IROS}}, pages = {8673--8679}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10342242}, doi = {10.1109/IROS55552.2023.10342242}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/UpadhyayGE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/meco/ShokryDA23, author = {Beatrice Shokry and Ramez M. Daoud and Hassanein H. Amer}, title = {Fault-Tolerant Rotary Gray Encoder for Industrial Applications}, booktitle = {12th Mediterranean Conference on Embedded Computing, {MECO} 2023, Budva, Montenegro, June 6-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MECO58584.2023.10155006}, doi = {10.1109/MECO58584.2023.10155006}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/meco/ShokryDA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Abouselima22, author = {Eslam Abouselima}, title = {Fault tolerant control and path planning for quasi-LPV systems: application to quadrotor. (Contr{\^{o}}le et planification tol{\'{e}}rants aux d{\'{e}}fauts de syst{\`{e}}mes quasi-LPV: application sur un quadrotor)}, school = {University of Paris-Saclay, France}, year = {2022}, url = {https://tel.archives-ouvertes.fr/tel-03859631}, timestamp = {Wed, 14 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Abouselima22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Du22, author = {Yishu Du}, title = {Fault-tolerant algorithms for iterative applications and batch schedulers. (Algorithmes tol{\'{e}}rants aux pannes pour les applications it{\'{e}}ratives et les ordonnanceurs)}, school = {{\'{E}}cole normale sup{\'{e}}rieure de Lyon, France}, year = {2022}, url = {https://tel.archives-ouvertes.fr/tel-03955569}, timestamp = {Thu, 27 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Du22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aamas/VCS22, author = {Samuel H. Christie V. and Amit K. Chopra and Munindar P. Singh}, title = {Mandrake: multiagent systems as a basis for programming fault-tolerant decentralized applications}, journal = {Auton. Agents Multi Agent Syst.}, volume = {36}, number = {1}, pages = {16}, year = {2022}, url = {https://doi.org/10.1007/s10458-021-09540-8}, doi = {10.1007/S10458-021-09540-8}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aamas/VCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AkbariTSRHBPM22, author = {Ehsan Akbari and Ali Reza Teimouri and Mojtaba Saki and Mohammad Amin Rezaei and Jiefeng Hu and Shahab S. Band and Hao{-}Ting Pai and Amir Mosavi}, title = {A Fault-Tolerant Cascaded Switched-Capacitor Multilevel Inverter for Domestic Applications in Smart Grids}, journal = {{IEEE} Access}, volume = {10}, pages = {110590--110602}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3214817}, doi = {10.1109/ACCESS.2022.3214817}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AkbariTSRHBPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AzharZKAA22, author = {Kamran Azhar and Sohail Zafar and Agha Kashif and Amer Aljaedi and Umar Albalawi}, title = {Fault-Tolerant Partition Resolvability in Mesh Related Networks and Applications}, journal = {{IEEE} Access}, volume = {10}, pages = {71521--71529}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3188319}, doi = {10.1109/ACCESS.2022.3188319}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AzharZKAA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KalerY22, author = {Sandeep Kaler and Amirnaser Yazdani}, title = {A DC-Side Fault-Tolerant Bidirectional {AC-DC} Converter for Applications in Distribution Systems}, journal = {{IEEE} Access}, volume = {10}, pages = {46608--46617}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3171346}, doi = {10.1109/ACCESS.2022.3171346}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KalerY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShahWAA22a, author = {Sayyed Haleem Shah and Xiaoyuan Wang and Muhammad Azeem and Usman Abubakar}, title = {Coupled Magnetic Field and Thermal Analysis Model for an {IPMSM} With Modular Three-Phase Winding Topologies for Fault-Tolerant Applications}, journal = {{IEEE} Access}, volume = {10}, pages = {30335--30348}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3158672}, doi = {10.1109/ACCESS.2022.3158672}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ShahWAA22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShuklaR22, author = {Satyam Shukla and Kailash Chandra Ray}, title = {A Low-Overhead Reconfigurable {RISC-V} Quad-Core Processor Architecture for Fault-Tolerant Applications}, journal = {{IEEE} Access}, volume = {10}, pages = {44136--44146}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3169495}, doi = {10.1109/ACCESS.2022.3169495}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShuklaR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejcon/LamouchiARA22, author = {Rihab Lamouchi and Messaoud Amairi and Tarek Ra{\"{\i}}ssi and Mohamed Aoun}, title = {Active fault tolerant control using zonotopic techniques for linear parameter varying systems: Application to wind turbine system}, journal = {Eur. J. Control}, volume = {67}, pages = {100700}, year = {2022}, url = {https://doi.org/10.1016/j.ejcon.2022.100700}, doi = {10.1016/J.EJCON.2022.100700}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejcon/LamouchiARA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/AydinC22, author = {Merve Nilay Aydin and Ramazan Coban}, title = {{PID} sliding surface-based adaptive dynamic second-order fault-tolerant sliding mode control design and experimental application to an electromechanical system}, journal = {Int. J. Control}, volume = {95}, number = {7}, pages = {1767--1776}, year = {2022}, url = {https://doi.org/10.1080/00207179.2021.1871963}, doi = {10.1080/00207179.2021.1871963}, timestamp = {Wed, 31 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/AydinC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijicc/Patel22, author = {Himanshukumar Rajendrabhai Patel}, title = {Fuzzy-based metaheuristic algorithm for optimization of fuzzy controller: fault-tolerant control application}, journal = {Int. J. Intell. Comput. Cybern.}, volume = {15}, number = {4}, pages = {599--624}, year = {2022}, url = {https://doi.org/10.1108/IJICC-09-2021-0204}, doi = {10.1108/IJICC-09-2021-0204}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijicc/Patel22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/MudassarZL22, author = {Muhammad Mudassar and Yanlong Zhai and Lejian Liao}, title = {Adaptive Fault-Tolerant Strategy for Latency-Aware IoT Application Executing in Edge Computing Environment}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {15}, pages = {13250--13262}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2022.3144026}, doi = {10.1109/JIOT.2022.3144026}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/MudassarZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/ChenTWSH22, author = {Huan Chen and Yidong Tu and Hai Wang and Kaibo Shi and Shuping He}, title = {Fault-tolerant tracking control based on reinforcement learning with application to a steer-by-wire system}, journal = {J. Frankl. Inst.}, volume = {359}, number = {3}, pages = {1152--1171}, year = {2022}, url = {https://doi.org/10.1016/j.jfranklin.2021.12.012}, doi = {10.1016/J.JFRANKLIN.2021.12.012}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/ChenTWSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/XuCYZ22, author = {Nuo Xu and Liheng Chen and Rongni Yang and Yanzheng Zhu}, title = {Multi-controller-based fault tolerant control for systems with actuator and sensor failures: Application to 2-body point absorber wave energy converter}, journal = {J. Frankl. Inst.}, volume = {359}, number = {12}, pages = {5919--5934}, year = {2022}, url = {https://doi.org/10.1016/j.jfranklin.2022.06.018}, doi = {10.1016/J.JFRANKLIN.2022.06.018}, timestamp = {Thu, 25 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfi/XuCYZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ReddyI22, author = {Bhimireddy Prathap Reddy and Atif Iqbal}, title = {Distributed Fault-Tolerant Powertrain Configuration for Electric Vehicle Applications With Pole-Phase Modulation}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {8}, pages = {7787--7796}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3100984}, doi = {10.1109/TIE.2021.3100984}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ReddyI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ZhangLTHW22, author = {Liyin Zhang and Hui Liu and Dafeng Tang and Yinlong Hou and Youming Wang}, title = {Adaptive Fixed-Time Fault-Tolerant Tracking Control and Its Application for Robot Manipulators}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {3}, pages = {2956--2966}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3070494}, doi = {10.1109/TIE.2021.3070494}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ZhangLTHW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/JaiswalA22, author = {Kavita Jaiswal and Veena Anand}, title = {{FAGWO-H:} {A} hybrid method towards fault-tolerant cluster-based routing in wireless sensor network for IoT applications}, journal = {J. Supercomput.}, volume = {78}, number = {8}, pages = {11195--11227}, year = {2022}, url = {https://doi.org/10.1007/s11227-022-04333-6}, doi = {10.1007/S11227-022-04333-6}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/JaiswalA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LiLLLPC22, author = {Xiao{-}Yan Li and Wanling Lin and Ximeng Liu and Cheng{-}Kuan Lin and Kung{-}Jui Pai and Jou{-}Ming Chang}, title = {Completely Independent Spanning Trees on {BCCC} Data Center Networks With an Application to Fault-Tolerant Routing}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {33}, number = {8}, pages = {1939--1952}, year = {2022}, url = {https://doi.org/10.1109/TPDS.2021.3133595}, doi = {10.1109/TPDS.2021.3133595}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LiLLLPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEares/LiatifisDRLMMS22, author = {Athanasios Liatifis and Christos Dalamagkas and Panagiotis I. Radoglou{-}Grammatikis and Thomas Lagkas and Evangelos K. Markakis and Valeri M. Mladenov and Panagiotis G. Sarigiannidis}, title = {Fault-Tolerant {SDN} Solution for Cybersecurity Applications}, booktitle = {{ARES} 2022: The 17th International Conference on Availability, Reliability and Security, Vienna,Austria, August 23 - 26, 2022}, pages = {73:1--73:6}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3538969.3544479}, doi = {10.1145/3538969.3544479}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEares/LiatifisDRLMMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/YanTLLY22, author = {Zichen Yan and Junbo Tan and Bin Liang and Houde Liu and Jun Yang}, title = {Active Fault-Tolerant Control Integrated with Reinforcement Learning Application to Robotic Manipulator}, booktitle = {American Control Conference, {ACC} 2022, Atlanta, GA, USA, June 8-10, 2022}, pages = {2656--2662}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ACC53348.2022.9867641}, doi = {10.23919/ACC53348.2022.9867641}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/amcc/YanTLLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KumarMST0022, author = {Arvind Kumar and Rakesh Matam and Srinibas Swain and Somanath Tripathy and Mithun Mukherjee and Jaime Lloret}, title = {Delay Aware Fault-Tolerant Concurrent Data Collection Trees in Shared IIoT Applications}, booktitle = {{IEEE} Global Communications Conference, {GLOBECOM} 2022, Rio de Janeiro, Brazil, December 4-8, 2022}, pages = {323--328}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/GLOBECOM48099.2022.10001610}, doi = {10.1109/GLOBECOM48099.2022.10001610}, timestamp = {Tue, 17 Jan 2023 08:56:31 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KumarMST0022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsc2/IrimiaLLI22, author = {Nicolae Daniel Irimia and Marian Luchian and Florin Ioan Lazar and Alexandru Ipatiov}, title = {Performant Fault Tolerant Control by Using Space Vector Modulation {(SVM)} Technique of a Five Phases {BLDC} Motor for Autonomous Driving Applications}, booktitle = {10th International Conference on Systems and Control, {ICSC} 2022, Marseille, France, November 23-25, 2022}, pages = {317--322}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICSC57768.2022.9993892}, doi = {10.1109/ICSC57768.2022.9993892}, timestamp = {Wed, 18 Jan 2023 19:02:44 +0100}, biburl = {https://dblp.org/rec/conf/icsc2/IrimiaLLI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/MishraPSS0JB22, author = {Vishesh Mishra and Divy Pandey and Saurabh Singh and Sagar Satapathy and Kaustav Goswami and Babita Jajodia and Dip Sankar Banerjee}, title = {{ART-MAC:} Approximate Rounding and Truncation based {MAC} Unit for Fault-Tolerant Applications}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2022, Austin, TX, USA, May 27 - June 1, 2022}, pages = {1640--1644}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISCAS48785.2022.9937437}, doi = {10.1109/ISCAS48785.2022.9937437}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/MishraPSS0JB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/ArifAR22, author = {Moiz Arif and Kevin Assogba and M. Mustafa Rafique}, editor = {Felix Wolf and Sameer Shende and Candace Culhane and Sadaf R. Alam and Heike Jagode}, title = {Canary: Fault-Tolerant FaaS for Stateful Time-Sensitive Applications}, booktitle = {{SC22:} International Conference for High Performance Computing, Networking, Storage and Analysis, Dallas, TX, USA, November 13-18, 2022}, pages = {41:1--41:16}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SC41404.2022.00046}, doi = {10.1109/SC41404.2022.00046}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/ArifAR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:books/sp/Martinez-GuerraMT21, author = {Rafael Mart{\'{\i}}nez{-}Guerra and Fidel Mel{\'{e}}ndez{-}V{\'{a}}zquez and Iv{\'{a}}n Trejo{-}Z{\'{u}}{\~{n}}iga}, title = {Fault-tolerant Control and Diagnosis for Integer and Fractional-order Systems - Fundamentals of Fractional Calculus and Differential Algebra with Real-Time Applications}, volume = {328}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-62094-3}, doi = {10.1007/978-3-030-62094-3}, isbn = {978-3-030-62093-6}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/Martinez-GuerraMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Boukerdja21, author = {Mahdi Boukerdja}, title = {Advanced active fault tolerant control of a {DC} microgrid system : application to a green hydrogen production platform. (Commande avanc{\'{e}}e tol{\'{e}}rante aux fautes actives d'un syst{\`{e}}me de micror{\'{e}}seau {DC} : application {\`{a}} une plateforme de production d'hydrog{\`{e}}ne vert)}, school = {University of Lille, France}, year = {2021}, url = {https://tel.archives-ouvertes.fr/tel-03919585}, timestamp = {Wed, 25 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Boukerdja21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BhanuGKSJC21, author = {P. Veda Bhanu and Rahul Govindan and Rajat Kumar and Vishal Singh and Soumya J. and Linga Reddy Cenkeramaddi}, title = {Fault-Tolerant Application-Specific Topology-Based NoC and Its Prototype on an {FPGA}}, journal = {{IEEE} Access}, volume = {9}, pages = {76759--76779}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3082852}, doi = {10.1109/ACCESS.2021.3082852}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/BhanuGKSJC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/ReijnenLMDRF21, author = {Ferdie F. H. Reijnen and Eva{-}Britt Leliveld and Joanna M. van de Mortel{-}Fronczak and John van Dinther and Jacobus E. Rooda and Wan J. Fokkink}, title = {Synthesized fault-tolerant supervisory controllers, with an application to a rotating bridge}, journal = {Comput. Ind.}, volume = {130}, pages = {103473}, year = {2021}, url = {https://doi.org/10.1016/j.compind.2021.103473}, doi = {10.1016/J.COMPIND.2021.103473}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cii/ReijnenLMDRF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/SharifNS21, author = {Ahmad Sharif and Mohsen Nickray and Ali Shahidinejad}, title = {Energy-efficient fault-tolerant scheduling in a fog-based smart monitoring application}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {36}, number = {1}, pages = {32--49}, year = {2021}, url = {https://doi.org/10.1504/IJAHUC.2021.112978}, doi = {10.1504/IJAHUC.2021.112978}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijahuc/SharifNS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ThomasOHSMN21, author = {Diya Thomas and Mehmet A. Orgun and Michael Hitchens and Rajan Shankaran and Subhas Chandra Mukhopadhyay and Wei Ni}, title = {A Graph-Based Fault-Tolerant Approach to Modeling QoS for IoT-Based Surveillance Applications}, journal = {{IEEE} Internet Things J.}, volume = {8}, number = {5}, pages = {3587--3604}, year = {2021}, url = {https://doi.org/10.1109/JIOT.2020.3022941}, doi = {10.1109/JIOT.2020.3022941}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ThomasOHSMN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/BhanuJ21, author = {P. Veda Bhanu and Soumya J.}, title = {Fault-Tolerant Application Mapping on Mesh-of-Tree based Network-on-Chip}, journal = {J. Syst. Archit.}, volume = {116}, pages = {102026}, year = {2021}, url = {https://doi.org/10.1016/j.sysarc.2021.102026}, doi = {10.1016/J.SYSARC.2021.102026}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/BhanuJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsce/KellalibACN21, author = {Billel Kellalib and Nouara Achour and Vincent Coelen and Abdelkrim Nemra}, title = {Towards simultaneous localization and mapping tolerant to sensors and software faults: Application to omnidirectional mobile robot}, journal = {J. Syst. Control. Eng.}, volume = {235}, number = {2}, year = {2021}, url = {https://doi.org/10.1177/0959651820932733}, doi = {10.1177/0959651820932733}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsce/KellalibACN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/AshourlooNPPBST21, author = {Mojtaba Ashourloo and Venkata Raghuram Namburi and Gerard Villar Pique and John Pigott and Henk Jan Bergveld and Alaa El Sherif and Olivier Trescases}, title = {An Automotive-Grade Monolithic Masterless Fault-Tolerant Hybrid Dickson {DC-DC} Converter for 48-V Multi-Phase Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {12}, pages = {3608--3618}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2021.3105358}, doi = {10.1109/JSSC.2021.3105358}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/AshourlooNPPBST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/Sanz-AlcaineSSR21, author = {Jos{\'{e}} Miguel Sanz{-}Alcaine and Eduardo Sebasti{\'{a}}n and Iv{\'{a}}n Sanz{-}Gorrachategui and Carlos Bernal Ruiz and Antonio Bono{-}Nuez and Milutin Pajovic and Philip V. Orlik}, title = {Online voltage prediction using gaussian process regression for fault-tolerant photovoltaic standalone applications}, journal = {Neural Comput. Appl.}, volume = {33}, number = {23}, pages = {16577--16590}, year = {2021}, url = {https://doi.org/10.1007/s00521-021-06254-6}, doi = {10.1007/S00521-021-06254-6}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/Sanz-AlcaineSSR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhmadJAOU21, author = {Zulfiqar Ahmad and Ali Imran Jehangiri and Mohammed Ala'Anzy and Mohamed Othman and Arif Iqbal Umar}, title = {Fault-Tolerant and Data-Intensive Resource Scheduling and Management for Scientific Applications in Cloud Computing}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7238}, year = {2021}, url = {https://doi.org/10.3390/s21217238}, doi = {10.3390/S21217238}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/AhmadJAOU21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ZhuGDZWY21, author = {Jun{-}Wei Zhu and Cao{-}Yuan Gu and Steven X. Ding and Wen{-}an Zhang and Xin Wang and Li Yu}, title = {A New Observer-Based Cooperative Fault-Tolerant Tracking Control Method With Application to Networked Multiaxis Motion Control System}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {8}, pages = {7422--7432}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.3001857}, doi = {10.1109/TIE.2020.3001857}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ZhuGDZWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/HmidiBDHS21, author = {Riadh Hmidi and Ali Ben Brahim and Slim Dhahri and Fay{\c{c}}al Ben Hmida and Anis Sellami}, title = {Sliding mode fault-tolerant control for Takagi-Sugeno fuzzy systems with local nonlinear models: Application to inverted pendulum and cart system}, journal = {Trans. Inst. Meas. Control}, volume = {43}, number = {4}, pages = {975--990}, year = {2021}, url = {https://doi.org/10.1177/0142331220949366}, doi = {10.1177/0142331220949366}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tinstmc/HmidiBDHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/CuiWS21, author = {Qian Cui and Yujuan Wang and Yongduan Song}, title = {Neuroadaptive Fault-Tolerant Control Under Multiple Objective Constraints With Applications to Tire Production Systems}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {32}, number = {8}, pages = {3391--3400}, year = {2021}, url = {https://doi.org/10.1109/TNNLS.2020.2967150}, doi = {10.1109/TNNLS.2020.2967150}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/CuiWS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/WangJWXP21, author = {Yueying Wang and Bin Jiang and Zheng{-}Guang Wu and Shaorong Xie and Yan Peng}, title = {Adaptive Sliding Mode Fault-Tolerant Fuzzy Tracking Control With Application to Unmanned Marine Vehicles}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {51}, number = {11}, pages = {6691--6700}, year = {2021}, url = {https://doi.org/10.1109/TSMC.2020.2964808}, doi = {10.1109/TSMC.2020.2964808}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/WangJWXP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KumariK21, author = {Priti Kumari and Parmeet Kaur}, title = {Checkpointing Algorithms for Fault-Tolerant Execution of Large-Scale Distributed Applications in Cloud}, journal = {Wirel. Pers. Commun.}, volume = {117}, number = {3}, pages = {1853--1877}, year = {2021}, url = {https://doi.org/10.1007/s11277-020-07949-0}, doi = {10.1007/S11277-020-07949-0}, timestamp = {Tue, 21 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KumariK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEants/KumarMT21, author = {Arvind Kumar and Rakesh Matam and Somanath Tripathy}, title = {Fault-Tolerant Concurrent Data collection Trees for Industrial IoT Applications}, booktitle = {{IEEE} International Conference on Advanced Networks and Telecommunications Systems, {ANTS} 2021, Hyderabad, India, December 13-16, 2021}, pages = {319--324}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ANTS52808.2021.9937027}, doi = {10.1109/ANTS52808.2021.9937027}, timestamp = {Tue, 22 Nov 2022 09:54:53 +0100}, biburl = {https://dblp.org/rec/conf/IEEEants/KumarMT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccci/StavrinidesK21, author = {Georgios L. Stavrinides and Helen D. Karatza}, title = {Fault-Tolerant Orchestration of Bags-of-Tasks with Application-Directed Checkpointing in a Distributed Environment}, booktitle = {International Conference on Communications, Computing, Cybersecurity, and Informatics, {CCCI} 2021, Beijing, China, October 15-17, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CCCI52664.2021.9583187}, doi = {10.1109/CCCI52664.2021.9583187}, timestamp = {Wed, 03 Nov 2021 13:58:56 +0100}, biburl = {https://dblp.org/rec/conf/ccci/StavrinidesK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/BrumSMBCD21, author = {Rafaela C. Brum and Walisson P. Sousa and Alba C. M. A. Melo and Cristiana Bentes and Maria Clicia Stelling de Castro and L{\'{u}}cia Maria de A. Drummond}, editor = {Leonel Sousa and Nuno Roma and Pedro Tom{\'{a}}s}, title = {A Fault Tolerant and Deadline Constrained Sequence Alignment Application on Cloud-Based Spot {GPU} Instances}, booktitle = {Euro-Par 2021: Parallel Processing - 27th International Conference on Parallel and Distributed Computing, Lisbon, Portugal, September 1-3, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12820}, pages = {317--333}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-85665-6\_20}, doi = {10.1007/978-3-030-85665-6\_20}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/europar/BrumSMBCD21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/PaiWPC21, author = {Kung{-}Jui Pai and Ro{-}Yu Wu and Sheng{-}Lung Peng and Jou{-}Ming Chang}, title = {Three Edge-Disjoint Hamiltonian Cycles in Crossed Cubes with Applications to Fault-Tolerant Data Broadcasting}, booktitle = {2021 {IEEE} 23rd Int Conf on High Performance Computing {\&} Communications; 7th Int Conf on Data Science {\&} Systems; 19th Int Conf on Smart City; 7th Int Conf on Dependability in Sensor, Cloud {\&} Big Data Systems {\&} Application (HPCC/DSS/SmartCity/DependSys), Haikou, Hainan, China, December 20-22, 2021}, pages = {377--383}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCC-DSS-SmartCity-DependSys53884.2021.00074}, doi = {10.1109/HPCC-DSS-SMARTCITY-DEPENDSYS53884.2021.00074}, timestamp = {Thu, 09 Jun 2022 16:39:42 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/PaiWPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccta/ElsayedHA21, author = {Mohamed E. Elsayed and Mostafa S. Hamad and Hamdy A. Ashour}, title = {Modeling and Analysis of {PMSM} under Regenerative Braking Operations with Fault-Tolerant for {EV/HEV} Applications}, booktitle = {31st International Conference on Computer Theory and Applications, {ICCTA} 2021, Alexandria, Egypt, December 11-13, 2021}, pages = {112--119}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCTA54562.2021.9916631}, doi = {10.1109/ICCTA54562.2021.9916631}, timestamp = {Fri, 28 Oct 2022 19:02:38 +0200}, biburl = {https://dblp.org/rec/conf/iccta/ElsayedHA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsc2/BougatefWAA21, author = {Zina Bougatef and Haoping Wang and Abdelouhab Aitouche and Mohamed Naceur Abdelkrim}, title = {Fault tolerant control with delays systems: Application to a {PEMFC} system}, booktitle = {9th International Conference on Systems and Control, {ICSC} 2021, Caen, France, November 24-26, 2021}, pages = {62--67}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICSC50472.2021.9666704}, doi = {10.1109/ICSC50472.2021.9666704}, timestamp = {Mon, 06 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsc2/BougatefWAA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/SayedK21, author = {Hussain Sayed and Harish S. Krishnamoorthy}, title = {Fault-Tolerant Z-Source-based Isolated {DC-DC} Building Blocks for Mission-Critical {DC} Distribution Applications}, booktitle = {{IECON} 2021 - 47th Annual Conference of the {IEEE} Industrial Electronics Society, Toronto, ON, Canada, October 13-16, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IECON48115.2021.9589434}, doi = {10.1109/IECON48115.2021.9589434}, timestamp = {Wed, 17 Nov 2021 15:21:52 +0100}, biburl = {https://dblp.org/rec/conf/iecon/SayedK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AshourlooNPPBST21, author = {Mojtaba Ashourloo and Venkata Raghuram Namburi and Gerard Villar Pique and John Pigott and Henk Jan Bergveld and Alaa El Sherif and Olivier Trescases}, title = {17.2 {A} Masterless Fault-Tolerant Hybrid Dickson Converter with 95.3{\%} Peak Efficiency 20V-to-60V Input and 3.3V Output for 48V Multi-Phase Automotive Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {258--260}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366016}, doi = {10.1109/ISSCC42613.2021.9366016}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/AshourlooNPPBST21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/RizkRRK21, author = {Dominick Rizk and Rodrigue Rizk and Frederic Rizk and Ashok Kumar}, title = {An In-Situ Sliding Window Approximate Inner-Product Scheme Based on Parallel Distributed Arithmetic for Ultra-Low Power Fault-Tolerant Applications}, booktitle = {64th {IEEE} International Midwest Symposium on Circuits and Systems, {MWSCAS} 2021, Lansing, MI, USA, August 9-11, 2021}, pages = {503--506}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MWSCAS47672.2021.9531886}, doi = {10.1109/MWSCAS47672.2021.9531886}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/RizkRRK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npc/ZhangFYC21, author = {Yingxue Zhang and Guisheng Fan and Huiqun Yu and Xingpeng Chen}, editor = {Christophe C{\'{e}}rin and Depei Qian and Jean{-}Luc Gaudiot and Guangming Tan and St{\'{e}}phane Zuckerman}, title = {Efficiency-First Fault-Tolerant Replica Scheduling Strategy for Reliability Constrained Cloud Application}, booktitle = {Network and Parallel Computing - 18th {IFIP} {WG} 10.3 International Conference, {NPC} 2021, Paris, France, November 3-5, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13152}, pages = {131--143}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-93571-9\_11}, doi = {10.1007/978-3-030-93571-9\_11}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/npc/ZhangFYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/podc/EmekK21, author = {Yuval Emek and Eyal Keren}, editor = {Avery Miller and Keren Censor{-}Hillel and Janne H. Korhonen}, title = {A Thin Self-Stabilizing Asynchronous Unison Algorithm with Applications to Fault Tolerant Biological Networks}, booktitle = {{PODC} '21: {ACM} Symposium on Principles of Distributed Computing, Virtual Event, Italy, July 26-30, 2021}, pages = {93--102}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3465084.3467922}, doi = {10.1145/3465084.3467922}, timestamp = {Mon, 26 Jul 2021 09:04:22 +0200}, biburl = {https://dblp.org/rec/conf/podc/EmekK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/CuiKMC21, author = {Minyu Cui and Angeliki Kritikakou and Lei Mo and Emmanuel Casseau}, title = {Fault-Tolerant Mapping of Real-Time Parallel Applications under multiple {DVFS} schemes}, booktitle = {27th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2021, Nashville, TN, USA, May 18-21, 2021}, pages = {387--399}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/RTAS52030.2021.00038}, doi = {10.1109/RTAS52030.2021.00038}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtas/CuiKMC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/KimNPSS21, author = {Daehyeok Kim and Jacob Nelson and Dan R. K. Ports and Vyas Sekar and Srinivasan Seshan}, editor = {Fernando A. Kuipers and Matthew C. Caesar}, title = {RedPlane: enabling fault-tolerant stateful in-switch applications}, booktitle = {{ACM} {SIGCOMM} 2021 Conference, Virtual Event, USA, August 23-27, 2021}, pages = {223--244}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3452296.3472905}, doi = {10.1145/3452296.3472905}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/KimNPSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-12787, author = {Yuval Emek and Eyal Keren}, title = {A Thin Self-Stabilizing Asynchronous Unison Algorithm with Applications to Fault Tolerant Biological Networks}, journal = {CoRR}, volume = {abs/2102.12787}, year = {2021}, url = {https://arxiv.org/abs/2102.12787}, eprinttype = {arXiv}, eprint = {2102.12787}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-12787.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Bubenhagen20, author = {Frank Bubenhagen}, title = {Analysis and Enhancement of a Fault-Tolerant NoC for SRAM-based FPGAs in Space Applications (Analyse und Erweiterung eines fehler-toleranten NoC f{\"{u}}r SRAM-basierte FPGAs in Weltraumapplikationen)}, school = {Braunschweig University of Technology, Germany}, year = {2020}, url = {https://doi.org/10.24355/dbbs.084-202001231034-0}, doi = {10.24355/DBBS.084-202001231034-0}, urn = {urn:nbn:de:gbv:084-2020012311234}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Bubenhagen20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Torres20, author = {Jazmin Zenteno Torres}, title = {Commande et commande tol{\'{e}}rante aux fautes par modes glissants : application {\`{a}} une mission de rendez-vous en orbite circulaire. (Sliding mode control with fault tolerance capacities : application to a rendezvous mission in a circular orbit)}, school = {University of Bordeaux, France}, year = {2020}, url = {https://tel.archives-ouvertes.fr/tel-02992196}, timestamp = {Wed, 02 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Torres20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangZJMZH20, author = {JinPing Wang and Wei Zhang and Weidong Jiang and Mingna Ma and Qingyan Zhang and Xinmei Huang}, title = {Application Ranges of Fault-Tolerant Control for T-Type Three-Level Inverter Under Single/Multi-phase Open-Circuit Faults of Inner Switches}, journal = {{IEEE} Access}, volume = {8}, pages = {207599--207609}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3034919}, doi = {10.1109/ACCESS.2020.3034919}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WangZJMZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhangC20d, author = {Gang Zhang and Deqiang Cheng}, title = {Adaptive Fault-Tolerant Guaranteed Performance Control for Euler-Lagrange Systems With Its Application to a 2-Link Robotic Manipulator}, journal = {{IEEE} Access}, volume = {8}, pages = {184160--184171}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3029522}, doi = {10.1109/ACCESS.2020.3029522}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhangC20d.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/grid/JavedRHF20, author = {Asad Javed and J{\'{e}}r{\'{e}}my Robert and Keijo Heljanko and Kary Fr{\"{a}}mling}, title = {IoTEF: {A} Federated Edge-Cloud Architecture for Fault-Tolerant IoT Applications}, journal = {J. Grid Comput.}, volume = {18}, number = {1}, pages = {57--80}, year = {2020}, url = {https://doi.org/10.1007/s10723-019-09498-8}, doi = {10.1007/S10723-019-09498-8}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/grid/JavedRHF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/SharifNS20, author = {Ahmad Sharif and Mohsen Nickray and Ali Shahidinejad}, title = {Fault-tolerant with load balancing scheduling in a fog-based IoT application}, journal = {{IET} Commun.}, volume = {14}, number = {16}, pages = {2646--2657}, year = {2020}, url = {https://doi.org/10.1049/iet-com.2020.0080}, doi = {10.1049/IET-COM.2020.0080}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/SharifNS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/WitczakMSB20, author = {Marcin Witczak and Pawel Majdzik and Ralf Stetter and Grzegorz Bocewicz}, title = {Interval max-plus fault-tolerant control under resource conflicts and redundancies: application to the seat assembly}, journal = {Int. J. Control}, volume = {93}, number = {11}, pages = {2662--2674}, year = {2020}, url = {https://doi.org/10.1080/00207179.2019.1630749}, doi = {10.1080/00207179.2019.1630749}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/WitczakMSB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/GhoshEDMMVK20, author = {Purboday Ghosh and Scott Eisele and Abhishek Dubey and Mary Metelko and Istv{\'{a}}n Madari and P{\'{e}}ter V{\"{o}}lgyesi and Gabor Karsai}, title = {Designing a decentralized fault-tolerant software framework for smart grids and its applications}, journal = {J. Syst. Archit.}, volume = {109}, pages = {101759}, year = {2020}, url = {https://doi.org/10.1016/j.sysarc.2020.101759}, doi = {10.1016/J.SYSARC.2020.101759}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/GhoshEDMMVK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/PrathibaS20, author = {G. Prathiba and M. Santhi}, title = {Design of low power fault tolerant flash {ADC} for instrumentation applications}, journal = {Microelectron. J.}, volume = {98}, pages = {104739}, year = {2020}, url = {https://doi.org/10.1016/j.mejo.2020.104739}, doi = {10.1016/J.MEJO.2020.104739}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/PrathibaS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ArandaRM20, author = {Luis Alberto Aranda and Pedro Reviriego and Juan Antonio Maestro}, title = {Toward a Fault-Tolerant Star Tracker for Small Satellite Applications}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {56}, number = {5}, pages = {3421--3431}, year = {2020}, url = {https://doi.org/10.1109/TAES.2020.2971289}, doi = {10.1109/TAES.2020.2971289}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taes/ArandaRM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/RudinDS20, author = {Konrad Rudin and Guillaume Jacques Joseph Ducard and Roland Yves Siegwart}, title = {Active Fault-Tolerant Control With Imperfect Fault Detection Information: Applications to UAVs}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {56}, number = {4}, pages = {2792--2805}, year = {2020}, url = {https://doi.org/10.1109/TAES.2019.2959928}, doi = {10.1109/TAES.2019.2959928}, timestamp = {Tue, 21 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/RudinDS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiuQLJL20, author = {Wenhui Liu and Xiaojing Qi and Junwei Lu and Xianglei Jia and Ping Li}, title = {Finite-Time Fault-Tolerant Control for Nonlinear Systems With Input Quantization and Its Application}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {7}, pages = {1249--1253}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2928460}, doi = {10.1109/TCSII.2019.2928460}, timestamp = {Wed, 21 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiuQLJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/ShenXWXC20, author = {Hao Shen and Mengping Xing and Zheng{-}Guang Wu and Shengyuan Xu and Jinde Cao}, title = {Multiobjective Fault-Tolerant Control for Fuzzy Switched Systems With Persistent Dwell Time and Its Application in Electric Circuits}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {28}, number = {10}, pages = {2335--2347}, year = {2020}, url = {https://doi.org/10.1109/TFUZZ.2019.2935685}, doi = {10.1109/TFUZZ.2019.2935685}, timestamp = {Mon, 02 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/ShenXWXC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tinstmc/MakniBHC20, author = {Salama Makni and Maha Bouattour and Ahmed El Hajjaji and Mohamed Chaabane}, title = {Robust fault tolerant control based on adaptive observer for Takagi-Sugeno fuzzy systems with sensor and actuator faults: Application to single-link manipulator}, journal = {Trans. Inst. Meas. Control}, volume = {42}, number = {12}, pages = {2308--2323}, year = {2020}, url = {https://doi.org/10.1177/0142331220909996}, doi = {10.1177/0142331220909996}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tinstmc/MakniBHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/ChattopadhyayCN20, author = {Subhrendu Chattopadhyay and Soumyajit Chatterjee and Sukumar Nandi and Sandip Chakraborty}, title = {Aloe: Fault-Tolerant Network Management and Orchestration Framework for IoT Applications}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {17}, number = {4}, pages = {2396--2409}, year = {2020}, url = {https://doi.org/10.1109/TNSM.2020.3008426}, doi = {10.1109/TNSM.2020.3008426}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnsm/ChattopadhyayCN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/PanLSW20, author = {Huihui Pan and Hongyi Li and Weichao Sun and Zhenlong Wang}, title = {Adaptive Fault-Tolerant Compensation Control and Its Application to Nonlinear Suspension Systems}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {50}, number = {5}, pages = {1766--1776}, year = {2020}, url = {https://doi.org/10.1109/TSMC.2017.2785796}, doi = {10.1109/TSMC.2017.2785796}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/PanLSW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/TangMZ20, author = {Li Tang and Dan Ma and Jun Zhao}, title = {Neural Networks-Based Active Fault-Tolerant Control for a Class of Switched Nonlinear Systems With Its Application to {RCL} Circuit}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {50}, number = {11}, pages = {4270--4282}, year = {2020}, url = {https://doi.org/10.1109/TSMC.2018.2847283}, doi = {10.1109/TSMC.2018.2847283}, timestamp = {Wed, 06 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/TangMZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/WangLLZ20, author = {Zhanshan Wang and Lei Liu and Tieshan Li and Huaguang Zhang}, title = {Minimum-Learning-Parameters-Based Adaptive Neural Fault Tolerant Control With Its Application to Continuous Stirred Tank Reactor}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {50}, number = {4}, pages = {1275--1285}, year = {2020}, url = {https://doi.org/10.1109/TSMC.2017.2748964}, doi = {10.1109/TSMC.2017.2748964}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/WangLLZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cciot/BlancaflorCPRTS20, author = {Eric Busia Blancaflor and Christian Collins C. Chua and Vince Anthony A. Parallag and William Penaflor Rey and Sanami Tamarauoyerimini and Mary Jane Samonte}, title = {A Fault Tolerant and Secured Network Design for File and Application Sharing in a Mid-sized Business Environment}, booktitle = {{CCIOT} 2020: 5th International Conference on Cloud Computing and Internet of Things, Okinawa, Japan, September, 2020}, pages = {1--5}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3429523.3429528}, doi = {10.1145/3429523.3429528}, timestamp = {Tue, 13 Dec 2022 08:34:46 +0100}, biburl = {https://dblp.org/rec/conf/cciot/BlancaflorCPRTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/AgnesinaSYKCNL20, author = {Anthony Agnesina and Da Eun Shim and James Yamaguchi and Christian Krutzik and John Carson and Dan Nakamura and Sung Kyu Lim}, title = {A Fault-Tolerant and High-Speed Memory Controller Targeting 3D Flash Memory Cubes for Space Applications}, booktitle = {38th {IEEE} International Conference on Computer Design, {ICCD} 2020, Hartford, CT, USA, October 18-21, 2020}, pages = {425--432}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCD50377.2020.00078}, doi = {10.1109/ICCD50377.2020.00078}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccd/AgnesinaSYKCNL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccomm/BasuHB20, author = {Kashinath Basu and Aws Hamdullah and Frank Ball}, title = {Architecture of a Cloud-based Fault-Tolerant Control Platform for improving the QoS of Social Multimedia Applications on {SD-WAN}}, booktitle = {13th International Conference on Communications, {COMM} 2020, Bucharest, Romania, June 18-20, 2020}, pages = {495--500}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/COMM48946.2020.9142038}, doi = {10.1109/COMM48946.2020.9142038}, timestamp = {Fri, 01 Apr 2022 12:55:21 +0200}, biburl = {https://dblp.org/rec/conf/iccomm/BasuHB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/innovations/LochetLM0SZ20, author = {William Lochet and Daniel Lokshtanov and Pranabendu Misra and Saket Saurabh and Roohani Sharma and Meirav Zehavi}, editor = {Thomas Vidick}, title = {Fault Tolerant Subgraphs with Applications in Kernelization}, booktitle = {11th Innovations in Theoretical Computer Science Conference, {ITCS} 2020, January 12-14, 2020, Seattle, Washington, {USA}}, series = {LIPIcs}, volume = {151}, pages = {47:1--47:22}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2020}, url = {https://doi.org/10.4230/LIPIcs.ITCS.2020.47}, doi = {10.4230/LIPICS.ITCS.2020.47}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/innovations/LochetLM0SZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-03541, author = {Jian Hou and Zhiyong Chen and Zhiyun Lin and Mengfan Xiang}, title = {Resilient Consensus via Weight Learning and Its Application in Fault-Tolerant Clock Synchronization}, journal = {CoRR}, volume = {abs/2002.03541}, year = {2020}, url = {https://arxiv.org/abs/2002.03541}, eprinttype = {arXiv}, eprint = {2002.03541}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-03541.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-10700, author = {Zhi Feng and Guoqiang Hu}, title = {Fault-Tolerant Formation Tracking of Heterogeneous Multi-Agent Systems with Time-Varying Actuator Faults and Its Application to Task-Space Cooperative Tracking of Manipulators}, journal = {CoRR}, volume = {abs/2009.10700}, year = {2020}, url = {https://arxiv.org/abs/2009.10700}, eprinttype = {arXiv}, eprint = {2009.10700}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-10700.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Haas19a, author = {Florian Haas}, title = {Fault-tolerant Execution of Parallel Applications on x86 Multi-core Processors with Hardware Transactional Memory}, school = {University of Augsburg, Germany}, year = {2019}, url = {https://opus.bibliothek.uni-augsburg.de/opus4/frontdoor/index/index/docId/59566}, urn = {urn:nbn:de:bvb:384-opus4-595668}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Haas19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Grospellier19, author = {Antoine Grospellier}, title = {Constant time decoding of quantum expander codes and application to fault-tolerant quantum computation. (D{\'{e}}codage des codes expanseurs quantiques et application au calcul quantique tol{\'{e}}rant aux fautes)}, school = {Sorbonne University, France}, year = {2019}, url = {https://tel.archives-ouvertes.fr/tel-03364419}, timestamp = {Thu, 06 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/hal/Grospellier19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/RaghunathR19, author = {K. M. Karthick Raghunath and N. Rengarajan}, title = {Response time optimization with enhanced fault-tolerant wireless sensor network design for on-board rapid transit applications}, journal = {Clust. Comput.}, volume = {22}, number = {Supplement}, pages = {9737--9753}, year = {2019}, url = {https://doi.org/10.1007/s10586-017-1473-4}, doi = {10.1007/S10586-017-1473-4}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/RaghunathR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/LiaoJMYD19, author = {Fucheng Liao and Chen Jia and Usman Malik and Xiao Yu and Jiamei Deng}, title = {The preview control of a class of linear systems and its application in the fault-tolerant control theory}, journal = {Int. J. Syst. Sci.}, volume = {50}, number = {5}, pages = {1017--1027}, year = {2019}, url = {https://doi.org/10.1080/00207721.2019.1587028}, doi = {10.1080/00207721.2019.1587028}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsysc/LiaoJMYD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/TsaiYSGSC19, author = {Jason Sheng{-}Hong Tsai and Tzu{-}Hsien Yu and Te{-}Jen Su and Shu{-}Mei Guo and Leang{-}San Shieh and Jose I. Canelon}, title = {A novel on-line {OCID} method and its application to input-constrained active fault-tolerant tracker design for unknown nonlinear systems}, journal = {Int. J. Syst. Sci.}, volume = {50}, number = {14}, pages = {2632--2662}, year = {2019}, url = {https://doi.org/10.1080/00207721.2019.1672117}, doi = {10.1080/00207721.2019.1672117}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/TsaiYSGSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KadriK19, author = {Nassima Kadri and Mouloud Koudil}, title = {A survey on fault-tolerant application mapping techniques for Network-on-Chip}, journal = {J. Syst. Archit.}, volume = {92}, pages = {39--52}, year = {2019}, url = {https://doi.org/10.1016/j.sysarc.2018.10.001}, doi = {10.1016/J.SYSARC.2018.10.001}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/KadriK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/MogkDSM19, author = {Ragnar Mogk and Joscha Drechsler and Guido Salvaneschi and Mira Mezini}, title = {A fault-tolerant programming model for distributed interactive applications}, journal = {Proc. {ACM} Program. Lang.}, volume = {3}, number = {{OOPSLA}}, pages = {144:1--144:29}, year = {2019}, url = {https://doi.org/10.1145/3360570}, doi = {10.1145/3360570}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmpl/MogkDSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/RenJY19, author = {Wenjing Ren and Bin Jiang and Hao Yang}, title = {Fault-Tolerant Control of Singularly Perturbed Systems With Applications to Hypersonic Vehicles}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {55}, number = {6}, pages = {3003--3015}, year = {2019}, url = {https://doi.org/10.1109/TAES.2019.2921185}, doi = {10.1109/TAES.2019.2921185}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/RenJY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JinZJF19, author = {Ying Jin and Youmin Zhang and Yuanwei Jing and Jun Fu}, title = {An Average Dwell-Time Method for Fault-Tolerant Control of Switched Time-Delay Systems and Its Application}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {66}, number = {4}, pages = {3139--3147}, year = {2019}, url = {https://doi.org/10.1109/TIE.2018.2847684}, doi = {10.1109/TIE.2018.2847684}, timestamp = {Mon, 10 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JinZJF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/PimentelV19, author = {Juliano Pimentel and Tanya Vladimirova}, title = {Towards MPSoC Enabled Subsea Embedded Systems for Fault Tolerant Applications}, booktitle = {{NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2019, Colchester, UK, July 22-24, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/AHS.2019.000-7}, doi = {10.1109/AHS.2019.000-7}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/ahs/PimentelV19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccwc/MohamedAJ19, author = {Nader Mohamed and Jameela Al{-}Jaroodi and Imad Jawhar}, title = {Towards Fault Tolerant Fog Computing for IoT-Based Smart City Applications}, booktitle = {{IEEE} 9th Annual Computing and Communication Workshop and Conference, {CCWC} 2019, Las Vegas, NV, USA, January 7-9, 2019}, pages = {752--757}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCWC.2019.8666447}, doi = {10.1109/CCWC.2019.8666447}, timestamp = {Sun, 08 Aug 2021 01:40:48 +0200}, biburl = {https://dblp.org/rec/conf/ccwc/MohamedAJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/AbciNCD19, author = {Boussad Abci and Maan El Badaoui El Najjar and Vincent Cocquempot and G{\'{e}}rald Dherbomez}, title = {Sensor fault tolerant sliding mode control using information filters with application to a two-wheeled mobile robot}, booktitle = {6th International Conference on Control, Decision and Information Technologies, CoDIT 2019, Paris, France, April 23-26, 2019}, pages = {308--313}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CoDIT.2019.8820505}, doi = {10.1109/CODIT.2019.8820505}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/codit/AbciNCD19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/DaassPH19, author = {Bilal Daass and Denis Pomorski and Kamel Haddadi}, title = {Using an adaptive entropy-based threshold for change detection methods - Application to fault-tolerant fusion in collaborative mobile robotics}, booktitle = {6th International Conference on Control, Decision and Information Technologies, CoDIT 2019, Paris, France, April 23-26, 2019}, pages = {1173--1178}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CoDIT.2019.8820667}, doi = {10.1109/CODIT.2019.8820667}, timestamp = {Mon, 09 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codit/DaassPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/depcos/Drabowski19a, author = {Mieczyslaw Drabowski}, editor = {Wojciech Zamojski and Jacek Mazurkiewicz and Jaroslaw Sugier and Tomasz Walkowiak and Janusz Kacprzyk}, title = {Examples of Applications of {CAD} Methods in the Design of Fault Tolerant Systems}, booktitle = {Engineering in Dependability of Computer Systems and Networks - Proceedings of the 14th International Conference on Dependability of Computer Systems DepCoS-RELCOMEX, July 1-5, 2019, Brun{\'{o}}w, Poland}, series = {Advances in Intelligent Systems and Computing}, volume = {987}, pages = {166--176}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-19501-4\_16}, doi = {10.1007/978-3-030-19501-4\_16}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/depcos/Drabowski19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusflat/PatelS19, author = {Himanshukumar R. Patel and Vipul A. Shah}, editor = {Vil{\'{e}}m Nov{\'{a}}k and Vladim{\'{\i}}r Mar{\'{\i}}k and Martin Stepnicka and Mirko Navara and Petr Hurt{\'{\i}}k}, title = {Fault Tolerant Control Design Based on Takagi-Sugeno Fuzzy Logic: Application to a Three-Tank System}, booktitle = {Proceedings of the 11th Conference of the European Society for Fuzzy Logic and Technology, {EUSFLAT} 2019, Prague, Czech Republic, September 9-13, 2019}, series = {Atlantis Studies in Uncertainty Modelling}, volume = {1}, publisher = {Atlantis Press}, year = {2019}, url = {https://doi.org/10.2991/eusflat-19.2019.38}, doi = {10.2991/EUSFLAT-19.2019.38}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eusflat/PatelS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusflat/PatelS19a, author = {Himanshukumar R. Patel and Vipul A. Shah}, editor = {Vil{\'{e}}m Nov{\'{a}}k and Vladim{\'{\i}}r Mar{\'{\i}}k and Martin Stepnicka and Mirko Navara and Petr Hurt{\'{\i}}k}, title = {Design of Interval Type 2 Fuzzy Fault-Tolerant Controller for a Non-Minimum Phase System: Application to quadruple conical tank system}, booktitle = {Proceedings of the 11th Conference of the European Society for Fuzzy Logic and Technology, {EUSFLAT} 2019, Prague, Czech Republic, September 9-13, 2019}, series = {Atlantis Studies in Uncertainty Modelling}, volume = {1}, publisher = {Atlantis Press}, year = {2019}, url = {https://doi.org/10.2991/eusflat-19.2019.51}, doi = {10.2991/EUSFLAT-19.2019.51}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eusflat/PatelS19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/ZhangZY019, author = {Zejun Zhang and Chencheng Zhang and Hao Yang and Bin Jiang}, title = {Sliding mode fault tolerant control for a class of nonholonomic systems and its aerospace application}, booktitle = {15th {IEEE} International Conference on Control and Automation, {ICCA} 2019, Edinburgh, United Kingdom, July 16-19, 2019}, pages = {115--117}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCA.2019.8899486}, doi = {10.1109/ICCA.2019.8899486}, timestamp = {Mon, 02 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icca/ZhangZY019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iciis/BhanuJ19, author = {P. Veda Bhanu and Soumya J.}, title = {Fault-Tolerant Application-Specific Network-on-Chip Design using Discrete Particle Swarm Optimization}, booktitle = {14th Conference on Industrial and Information Systems, {ICIIS} 2019, Kandy, Sri Lanka, December 18-20, 2019}, pages = {500--505}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIIS47346.2019.9063339}, doi = {10.1109/ICIIS47346.2019.9063339}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iciis/BhanuJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsc2/MrazguaTO19, author = {Jamal Mrazgua and El Houssaine Tissir and Mohamed Ouahi}, title = {Fault-Tolerant H{\(\infty\)} Control Approach, Application to Active Half-Vehicle Suspension Systems with Actuators Failure Accounts}, booktitle = {8th International Conference on Systems and Control, {ICSC} 2019, Marrakesh, Morocco, October 23-25, 2019}, pages = {271--276}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICSC47195.2019.8950668}, doi = {10.1109/ICSC47195.2019.8950668}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsc2/MrazguaTO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifsa/PatelS19, author = {Himanshukumar R. Patel and Vipul A. Shah}, editor = {Ralph Baker Kearfott and Ildar Z. Batyrshin and Marek Z. Reformat and Martine Ceberio and Vladik Kreinovich}, title = {Fault Tolerant Controller Using Interval Type-2 {TSK} Logic Control Systems: Application to Three Interconnected Conical Tank System}, booktitle = {Fuzzy Techniques: Theory and Applications - Proceedings of the 2019 Joint World Congress of the International Fuzzy Systems Association and the Annual Conference of the North American Fuzzy Information Processing Society IFSA/NAFIPS'2019 (Lafayette, Louisiana, USA, June 18-21, 2019)}, series = {Advances in Intelligent Systems and Computing}, volume = {1000}, pages = {466--482}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-21920-8\_42}, doi = {10.1007/978-3-030-21920-8\_42}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifsa/PatelS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ises/ShuklaR19, author = {Satyam Shukla and Kailash Chandra Ray}, title = {Design and {ASIC} Implementation of a Reconfigurable Fault-Tolerant {ALU} for Space Applications}, booktitle = {{IEEE} International Symposium on Smart Electronic Systems, iSES 2019 (Formerly iNiS), Rourkela, India, December 16-18, 2019}, pages = {156--159}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/iSES47678.2019.00042}, doi = {10.1109/ISES47678.2019.00042}, timestamp = {Sun, 26 Apr 2020 17:41:57 +0200}, biburl = {https://dblp.org/rec/conf/ises/ShuklaR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/MehmedSAP19, author = {Ayhan Mehmed and Wilfried Steiner and Moritz Antlanger and Sasikumar Punnekkat}, title = {System Architecture and Application-Specific Verification Method for Fault-Tolerant Automated Driving Systems}, booktitle = {2019 {IEEE} Intelligent Vehicles Symposium, {IV} 2019, Paris, France, June 9-12, 2019}, pages = {39--44}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IVS.2019.8813824}, doi = {10.1109/IVS.2019.8813824}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ivs/MehmedSAP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/PinheiroSTSM19, author = {Alan Cadore Pinheiro and Jarbas A. N. Silveira and Daniel A. B. Tavares and Felipe G. A. e Silva and C{\'{e}}sar A. M. Marcon}, editor = {Roberto S. Murphy}, title = {Optimized Fault-Tolerant Buffer Design for Network-on-Chip Applications}, booktitle = {10th {IEEE} Latin American Symposium on Circuits {\&} Systems, {LASCAS} 2019, Armenia, Colombia, February 24-27, 2019}, pages = {217--220}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/LASCAS.2019.8667550}, doi = {10.1109/LASCAS.2019.8667550}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lascas/PinheiroSTSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/med/Rios-RuizOADA19, author = {Carlos R{\'{\i}}os{-}Ruiz and Gloria{-}Lilia Osorio{-}Gordillo and Harouna Souley Ali and Mohamed Darouach and Carlos{-}M. Astorga{-}Zaragoza}, title = {Finite time functional observers for descriptor systems. Application to fault tolerant control}, booktitle = {27th Mediterranean Conference on Control and Automation, {MED} 2019, Akko, Israel, July 1-4, 2019}, pages = {165--170}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/MED.2019.8798552}, doi = {10.1109/MED.2019.8798552}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/med/Rios-RuizOADA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/newcas/EaswaranMSCKW19, author = {Sri Navaneeth Easwaran and Martin Mollat and Deepak Sreedharan and Samir Camdzic and Sunil Venugopal Kashvap and Robert Weigel}, title = {3A Fault Tolerant Low Side Driver Circuit Design Using Design {FMEA} for Automotive Applications}, booktitle = {17th {IEEE} International New Circuits and Systems Conference, {NEWCAS} 2019, Munich, Germany, June 23-26, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/NEWCAS44328.2019.8961225}, doi = {10.1109/NEWCAS44328.2019.8961225}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/newcas/EaswaranMSCKW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsyss/DasI19, author = {Dip Ranjon Das and A. B. M. Alim Al Islam}, editor = {A. B. M. Alim Al Islam and M. Manzur Murshed and Mahmuda Naznin}, title = {Fault-tolerant design method for tizen applications considering core- and API-level faults}, booktitle = {Proceedings of the 6th International Conference on Networking, Systems and Securit, {NSYSS} 2019, Dhaka, Bangladesh, 17-19 December 2019}, pages = {116--121}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3362966.3362986}, doi = {10.1145/3362966.3362986}, timestamp = {Fri, 03 Jan 2020 18:53:08 +0100}, biburl = {https://dblp.org/rec/conf/nsyss/DasI19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbesc/BezerraCKP19, author = {Gustavo Alves Bezerra and Patr{\'{\i}}cia Pontes Cruz and M{\'{a}}rcio Eduardo Kreutz and Monica Magalh{\~{a}}es Pereira}, title = {Generation of Application Specific Fault Tolerant Irregular NoC Topologies Using Tabu Search}, booktitle = {{IX} Brazilian Symposium on Computing Systems Engineering, {SBESC} 2019, Natal, Brazil, November 19-22, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SBESC49506.2019.9046087}, doi = {10.1109/SBESC49506.2019.9046087}, timestamp = {Thu, 02 Apr 2020 18:10:30 +0200}, biburl = {https://dblp.org/rec/conf/sbesc/BezerraCKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/systol/DaassPH19, author = {Bilal Daass and Denis Pomorski and Kamel Haddadi}, title = {An adaptive threshold for change detection methods using a windowed entropy-based criterion - Application to fault-tolerant fusion in collaborative mobile robotics}, booktitle = {4th Conference on Control and Fault Tolerant Systems, SysTol 2019, Casablanca, Morocco, September 18-20, 2019}, pages = {56--61}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SYSTOL.2019.8864768}, doi = {10.1109/SYSTOL.2019.8864768}, timestamp = {Mon, 21 Oct 2019 16:59:30 +0200}, biburl = {https://dblp.org/rec/conf/systol/DaassPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vdat/BhanuKAJC19, author = {P. Veda Bhanu and Pranav Venkatesh Kulkarni and Sai Pranavi Avadhanam and Soumya J. and Linga Reddy Cenkeramaddi}, editor = {Anirban Sengupta and Sudeb Dasgupta and Virendra Singh and Rohit Sharma and Santosh Kumar Vishvakarma}, title = {Multi-application Based Fault-Tolerant Network-on-Chip Design for Mesh Topology Using Reconfigurable Architecture}, booktitle = {{VLSI} Design and Test - 23rd International Symposium, {VDAT} 2019, Indore, India, July 4-6, 2019, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1066}, pages = {442--454}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-32-9767-8\_37}, doi = {10.1007/978-981-32-9767-8\_37}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vdat/BhanuKAJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsce/WangCSS19, title = {{RETRACTED:} Robust fault-tolerant mixed passive and H{\(\infty\)} control for uncertain systems and its application in a semi-active suspension system}, journal = {J. Syst. Control. Eng.}, volume = {233}, number = {5}, year = {2019}, note = {Withdrawn.}, url = {https://doi.org/10.1177/0959651817705787}, doi = {10.1177/0959651817705787}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsce/WangCSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aamas/ErlankB18, author = {Alexander O. Erlank and Christopher P. Bridges}, title = {A hybrid real-time agent platform for fault-tolerant, embedded applications}, journal = {Auton. Agents Multi Agent Syst.}, volume = {32}, number = {2}, pages = {252--274}, year = {2018}, url = {https://doi.org/10.1007/s10458-017-9378-4}, doi = {10.1007/S10458-017-9378-4}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aamas/ErlankB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiuGZ18, author = {Xiaoxu Liu and David Zhiwei Gao and Aihua Zhang}, title = {Robust Fault Tolerant Control for Discrete-Time Dynamic Systems With Applications to Aero Engineering Systems}, journal = {{IEEE} Access}, volume = {6}, pages = {18832--18847}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2817548}, doi = {10.1109/ACCESS.2018.2817548}, timestamp = {Tue, 31 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LiuGZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amcs/PazeraBW18, author = {Marcin Pazera and Mariusz Buciakowski and Marcin Witczak}, title = {Robust Multiple Sensor Fault-Tolerant Control For Dynamic Non-Linear Systems: Application To The Aerodynamical Twin-Rotor System}, journal = {Int. J. Appl. Math. Comput. Sci.}, volume = {28}, number = {2}, pages = {297--308}, year = {2018}, url = {https://doi.org/10.2478/amcs-2018-0021}, doi = {10.2478/AMCS-2018-0021}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amcs/PazeraBW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ShiZYS18, author = {Jiantao Shi and Donghua Zhou and Yuhao Yang and Jun Sun}, title = {Fault tolerant multivehicle formation control framework with applications in multiquadrotor systems}, journal = {Sci. China Inf. Sci.}, volume = {61}, number = {12}, pages = {124201:1--124201:3}, year = {2018}, url = {https://doi.org/10.1007/s11432-018-9486-3}, doi = {10.1007/S11432-018-9486-3}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/ShiZYS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/SalemZMS18, author = {Sanaz Salem and Hamed Zandevakili and Ali Mahani and Mohsen Saneei}, title = {Fault-tolerant delay cell for ring oscillator application in 65 nm {CMOS} technology}, journal = {{IET} Circuits Devices Syst.}, volume = {12}, number = {3}, pages = {233--241}, year = {2018}, url = {https://doi.org/10.1049/iet-cds.2017.0380}, doi = {10.1049/IET-CDS.2017.0380}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/SalemZMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/QiaoY18, author = {Liang Qiao and Ying Yang}, title = {Fault-tolerant control for {T-S} fuzzy systems with sensor faults: Application to a ship propulsion system}, journal = {J. Frankl. Inst.}, volume = {355}, number = {12}, pages = {4854--4872}, year = {2018}, url = {https://doi.org/10.1016/j.jfranklin.2018.05.011}, doi = {10.1016/J.JFRANKLIN.2018.05.011}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/QiaoY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jocs/ToyeKZH18, author = {Habib Toye and Samuel Kortas and Peng Zhan and Ibrahim Hoteit}, title = {A fault-tolerant {HPC} scheduler extension for large and operational ensemble data assimilation: Application to the Red Sea}, journal = {J. Comput. Sci.}, volume = {27}, pages = {46--56}, year = {2018}, url = {https://doi.org/10.1016/j.jocs.2018.04.018}, doi = {10.1016/J.JOCS.2018.04.018}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jocs/ToyeKZH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsusc/XieCXXL018, author = {Guoqi Xie and Yuekun Chen and Xiongren Xiao and Cheng Xu and Renfa Li and Keqin Li}, title = {Energy-Efficient Fault-Tolerant Scheduling of Reliable Parallel Applications on Heterogeneous Distributed Embedded Systems}, journal = {{IEEE} Trans. Sustain. Comput.}, volume = {3}, number = {3}, pages = {167--181}, year = {2018}, url = {https://doi.org/10.1109/TSUSC.2017.2711362}, doi = {10.1109/TSUSC.2017.2711362}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsusc/XieCXXL018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ZhangFCLC18, author = {Li Zhang and Ying Fan and Ronghua Cui and Robert D. Lorenz and Ming Cheng}, title = {Fault-Tolerant Direct Torque Control of Five-Phase {FTFSCW-IPM} Motor Based on Analogous Three-Phase {SVPWM} for Electric Vehicle Applications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {2}, pages = {910--919}, year = {2018}, url = {https://doi.org/10.1109/TVT.2017.2760980}, doi = {10.1109/TVT.2017.2760980}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ZhangFCLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahs/VladimirovaF18, author = {Tanya Vladimirova and Muhammad Fayyaz}, title = {Fault-Tolerant Distributed Attitude and Orbit Control System for Space Applications}, booktitle = {2018 {NASA/ESA} Conference on Adaptive Hardware and Systems, {AHS} 2018, Edinburgh, United Kingdom, August 6-9, 2018}, pages = {43--50}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AHS.2018.8541486}, doi = {10.1109/AHS.2018.8541486}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/ahs/VladimirovaF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/MahmudRFMS18, author = {Nesredin Mahmud and Guillermo Rodr{\'{\i}}guez{-}Navas and Hamid Faragardix and Saad Mubeen and Cristina Seceleanu}, title = {Power-Aware Allocation of Fault-Tolerant Multirate {AUTOSAR} Applications}, booktitle = {25th Asia-Pacific Software Engineering Conference, {APSEC} 2018, Nara, Japan, December 4-7, 2018}, pages = {199--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/APSEC.2018.00034}, doi = {10.1109/APSEC.2018.00034}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apsec/MahmudRFMS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/WeeksLMV18, author = {Nathan T. Weeks and Glenn R. Luecke and Pieter Maris and James P. Vary}, title = {Challenges in Developing {MPI} Fault-Tolerant Fortran Applications}, booktitle = {{IEEE} International Conference on Cluster Computing, {CLUSTER} 2018, Belfast, UK, September 10-13, 2018}, pages = {524--531}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/CLUSTER.2018.00068}, doi = {10.1109/CLUSTER.2018.00068}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/WeeksLMV18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/KampenhoutSG18, author = {Reinier van Kampenhout and Sander Stuijk and Kees Goossens}, editor = {Martin Novotn{\'{y}} and Nikos Konofaos and Amund Skavhaug}, title = {Fault-Tolerant Deployment of Dataflow Applications Using Virtual Processors}, booktitle = {21st Euromicro Conference on Digital System Design, {DSD} 2018, Prague, Czech Republic, August 29-31, 2018}, pages = {77--84}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/DSD.2018.00027}, doi = {10.1109/DSD.2018.00027}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/KampenhoutSG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/JiangHZJ18, author = {Wei Jiang and Haibo Hu and Jinyu Zhan and Ke Jiang}, editor = {Bj{\"{o}}rn B. Brandenburg and Sriram Sankaranarayanan}, title = {Design of security-critical distributed real-time applications with fault-tolerant constraint: work-in-progress}, booktitle = {Proceedings of the International Conference on Embedded Software, {EMSOFT} 2018, Torino, Italy, September 30 - October 5, 2018}, pages = {4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMSOFT.2018.8537217}, doi = {10.1109/EMSOFT.2018.8537217}, timestamp = {Mon, 23 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/emsoft/JiangHZJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/Rkhissi-Kammoun18, author = {Yosra Rkhissi{-}Kammoun and Jawhar Ghommam and Moussa Boukhnifer and Faisal Mnif}, title = {Current sensor fault-tolerant control scheme for induction machine in electric vehicle applications using RISE-algebraic estimation approach}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2018, Lyon, France, February 20-22, 2018}, pages = {358--363}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICIT.2018.8352204}, doi = {10.1109/ICIT.2018.8352204}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icit2/Rkhissi-Kammoun18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1804-11312, author = {Adrian Bazaga and Michal Piton{\'{a}}k}, title = {Performance Evaluation of an Algorithm-based Asynchronous Checkpoint-Restart Fault Tolerant Application Using Mixed {MPI/GPI-2}}, journal = {CoRR}, volume = {abs/1804.11312}, year = {2018}, url = {http://arxiv.org/abs/1804.11312}, eprinttype = {arXiv}, eprint = {1804.11312}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1804-11312.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/asc/GongWP17, author = {Ke Gong and Panpan Wang and Yi Peng}, title = {Fault-tolerant enhanced bijective soft set with applications}, journal = {Appl. Soft Comput.}, volume = {54}, pages = {431--439}, year = {2017}, url = {https://doi.org/10.1016/j.asoc.2016.06.009}, doi = {10.1016/J.ASOC.2016.06.009}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/asc/GongWP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/GaoWW17, author = {Gang Gao and Jinzhi Wang and Xianghua Wang}, title = {Prescribed-performance fault-tolerant control for feedback linearisable systems with an aircraft application}, journal = {Int. J. Control}, volume = {90}, number = {5}, pages = {932--949}, year = {2017}, url = {https://doi.org/10.1080/00207179.2016.1190985}, doi = {10.1080/00207179.2016.1190985}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/GaoWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/YangLT17, author = {Xiaoyan Yang and Yuanxiang Li and Yala Tong}, title = {Application of interactive evolutionary strategy in fault-tolerant system capable of online self-repairing}, journal = {Int. J. Comput. Sci. Eng.}, volume = {15}, number = {1/2}, pages = {57--65}, year = {2017}, url = {https://doi.org/10.1504/IJCSE.2017.10006995}, doi = {10.1504/IJCSE.2017.10006995}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/YangLT17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijngc/Ao17, author = {Buke Ao}, title = {Robust Fault Tolerant Rail Door State Monitoring Systems: Applying the Brooks-Iyengar Sensing Algorithm to Transportation Applications}, journal = {Int. J. Next Gener. Comput.}, volume = {8}, number = {2}, year = {2017}, url = {http://perpetualinnovation.net/ojs/index.php/ijngc/article/view/378}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijngc/Ao17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrie/PreuveneersJ17, author = {Davy Preuveneers and Wouter Joosen}, title = {QoC\({}^{\mbox{2}}\) Breaker: intelligent software circuit breakers for fault-tolerant distributed context-aware applications}, journal = {J. Reliab. Intell. Environ.}, volume = {3}, number = {1}, pages = {5--20}, year = {2017}, url = {https://doi.org/10.1007/s40860-017-0037-y}, doi = {10.1007/S40860-017-0037-Y}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrie/PreuveneersJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/HsiehLC17, author = {Tong{-}Yu Hsieh and Kuan{-}Hsien Li and Chen{-}Chia Chung}, title = {A fault-analysis oriented re-design and cost-effectiveness evaluation methodology for error tolerant applications}, journal = {Microelectron. J.}, volume = {66}, pages = {48--57}, year = {2017}, url = {https://doi.org/10.1016/j.mejo.2017.05.018}, doi = {10.1016/J.MEJO.2017.05.018}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/HsiehLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ras/BaderLS17, author = {Kaci Bader and Benjamin Lussier and Walter Sch{\"{o}}n}, title = {A fault tolerant architecture for data fusion: {A} real application of Kalman filters for mobile robot localization}, journal = {Robotics Auton. Syst.}, volume = {88}, pages = {11--23}, year = {2017}, url = {https://doi.org/10.1016/j.robot.2016.11.015}, doi = {10.1016/J.ROBOT.2016.11.015}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ras/BaderLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/XuLZYC17, author = {Liang Xu and Guohai Liu and Wenxiang Zhao and Xinyu Yang and Ran Cheng}, title = {Hybrid Stator Design of Fault-Tolerant Permanent-Magnet Vernier Machines for Direct-Drive Applications}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {1}, pages = {179--190}, year = {2017}, url = {https://doi.org/10.1109/TIE.2016.2610399}, doi = {10.1109/TIE.2016.2610399}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/XuLZYC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LosadaMG17, author = {Nuria Losada and Mar{\'{\i}}a J. Mart{\'{\i}}n and Patricia Gonz{\'{a}}lez}, title = {Assessing resilient versus stop-and-restart fault-tolerant solutions in {MPI} applications}, journal = {J. Supercomput.}, volume = {73}, number = {1}, pages = {316--329}, year = {2017}, url = {https://doi.org/10.1007/s11227-016-1863-z}, doi = {10.1007/S11227-016-1863-Z}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LosadaMG17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/LiHXC17, author = {Zhigang Li and Jinglei Huang and Qi Xu and Song Chen}, editor = {Yajie Qin and Zhiliang Hong and Ting{-}Ao Tang}, title = {Integer linear programming based fault-tolerant topology synthesis for application-specific NoC}, booktitle = {12th {IEEE} International Conference on ASIC, {ASICON} 2017, Guiyang, China, October 25-28, 2017}, pages = {96--99}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASICON.2017.8252420}, doi = {10.1109/ASICON.2017.8252420}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/LiHXC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/SubasiYZUL17, author = {Omer Subasi and Gulay Yalcin and Ferad Zyulkyarov and Osman S. Unsal and Jes{\'{u}}s Labarta}, title = {Designing and Modelling Selective Replication for Fault-tolerant {HPC} Applications}, booktitle = {Proceedings of the 17th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, {CCGRID} 2017, Madrid, Spain, May 14-17, 2017}, pages = {452--457}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2017}, url = {https://doi.org/10.1109/CCGRID.2017.40}, doi = {10.1109/CCGRID.2017.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/SubasiYZUL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cn/NowakNGP17, author = {Slawomir Nowak and Mateusz P. Nowak and Krzysztof Grochla and Piotr Pecka}, editor = {Piotr Gaj and Andrzej Kwiecien and Michal Sawicki}, title = {Application of Fault-Tolerant {GQP} Algorithm in Multihop {AMI} Networks}, booktitle = {Computer Networks - 24th International Conference, {CN} 2017, L{\k{a}}dek Zdr{\'{o}}j, Poland, June 20-23, 2017, Proceedings}, series = {Communications in Computer and Information Science}, volume = {718}, pages = {70--80}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-59767-6\_6}, doi = {10.1007/978-3-319-59767-6\_6}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cn/NowakNGP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/AkpinarJHNYZ17, author = {Kutalmis Akpinar and Fereshteh Jafariakinabad and Kien A. Hua and Omar Nakhila and Jun Ye and Cliff C. Zou}, title = {Fault-Tolerant Network-Server Architecture for Time-Critical Web Applications}, booktitle = {15th {IEEE} Intl Conf on Dependable, Autonomic and Secure Computing, 15th Intl Conf on Pervasive Intelligence and Computing, 3rd Intl Conf on Big Data Intelligence and Computing and Cyber Science and Technology Congress, DASC/PiCom/DataCom/CyberSciTech 2017, Orlando, FL, USA, November 6-10, 2017}, pages = {377--384}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/DASC-PICom-DataCom-CyberSciTec.2017.79}, doi = {10.1109/DASC-PICOM-DATACOM-CYBERSCITEC.2017.79}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dasc/AkpinarJHNYZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/europar/CamargoDP17, author = {Edson Tavares de Camargo and Elias P. Duarte Jr. and Fernando Pedone}, editor = {Francisco F. Rivera and Tom{\'{a}}s F. Pena and Jos{\'{e}} Carlos Cabaleiro}, title = {A Consensus-Based Fault-Tolerant Event Logger for High Performance Applications}, booktitle = {Euro-Par 2017: Parallel Processing - 23rd International Conference on Parallel and Distributed Computing, Santiago de Compostela, Spain, August 28 - September 1, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10417}, pages = {415--427}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-64203-1\_30}, doi = {10.1007/978-3-319-64203-1\_30}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/europar/CamargoDP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/RenJY17, author = {Wenjing Ren and Bin Jiang and Hao Yang}, title = {Fault tolerant safe control for nonlinear systems and its applications on hypersonic vehicles}, booktitle = {{IECON} 2017 - 43rd Annual Conference of the {IEEE} Industrial Electronics Society, Beijing, China, October 29 - November 1, 2017}, pages = {6549--6554}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IECON.2017.8217142}, doi = {10.1109/IECON.2017.8217142}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/RenJY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ised/ShahAJ17, author = {Parth Shah and Kanniganti Abhishek and Soumya J.}, title = {Fault-tolerant application specific Network-on-Chip design}, booktitle = {7th International Symposium on Embedded Computing and System Design, {ISED} 2017, Durgapur, India, December 18-20, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISED.2017.8303920}, doi = {10.1109/ISED.2017.8303920}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ised/ShahAJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/middleware/ArdekaniSATS17, author = {Masoud Saeida Ardekani and Rayman Preet Singh and Nitin Agrawal and Douglas B. Terry and Riza O. Suminto}, editor = {K. R. Jayaram and Anshul Gandhi and Bettina Kemme and Peter R. Pietzuch}, title = {Rivulet: a fault-tolerant platform for smart-home applications}, booktitle = {Proceedings of the 18th {ACM/IFIP/USENIX} Middleware Conference, Las Vegas, NV, USA, December 11 - 15, 2017}, pages = {41--54}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3135974.3135988}, doi = {10.1145/3135974.3135988}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/middleware/ArdekaniSATS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/SubasiUK17, author = {Omer Subasi and Osman S. Unsal and Sriram Krishnamoorthy}, title = {Automatic Risk-based Selective Redundancy for Fault-tolerant Task-parallel {HPC} Applications}, booktitle = {Proceedings of the Third International Workshop on Extreme Scale Programming Models and Middleware, ESPM2@SC 2017, Denver, CO, USA, November 12-17, 2017}, pages = {2:1--2:8}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3152041.3152083}, doi = {10.1145/3152041.3152083}, timestamp = {Tue, 06 Nov 2018 16:59:29 +0100}, biburl = {https://dblp.org/rec/conf/sc/SubasiUK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/JobySMA16, author = {Maya Joby and Rathinasamy Sakthivel and K. Mathiyalagan and Selvaraj Marshal Anthoni}, title = {Fault-tolerant sampled-data mixed {\(\mathscr{H}\)}\({}_{\mbox{{\(\infty\)}}}\) and passivity control of stochastic systems and its application}, journal = {Complex.}, volume = {21}, number = {6}, pages = {420--429}, year = {2016}, url = {https://doi.org/10.1002/cplx.21701}, doi = {10.1002/CPLX.21701}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/JobySMA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iajit/KhanHAB16, author = {Mohammed Khan and Syed Irfan Hyder and Ghayas Uddin Ahmed and Saira Begum}, title = {A group based fault tolerant scheduling mechanism to improve the application turnaround time on desktop grids}, journal = {Int. Arab J. Inf. Technol.}, volume = {13}, number = {2}, pages = {274--280}, year = {2016}, url = {http://iajit.org/index.php?option=com\_content\&task=blogcategory\&id=103\&Itemid=385}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iajit/KhanHAB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/ChenJ16, author = {Xiao Chen and Jian{-}Hui Jiang}, title = {A method of virtual machine placement for fault-tolerant cloud applications}, journal = {Intell. Autom. Soft Comput.}, volume = {22}, number = {4}, pages = {587--597}, year = {2016}, url = {https://doi.org/10.1080/10798587.2016.1152775}, doi = {10.1080/10798587.2016.1152775}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/ChenJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpca/AliSHH16, author = {Md. Mohsin Ali and Peter E. Strazdins and Brendan Harding and Markus Hegland}, title = {Complex scientific applications made fault-tolerant with the sparse grid combination technique}, journal = {Int. J. High Perform. Comput. Appl.}, volume = {30}, number = {3}, pages = {335--359}, year = {2016}, url = {https://doi.org/10.1177/1094342015628056}, doi = {10.1177/1094342015628056}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhpca/AliSHH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsjkx/CaiZ16, author = {Yuanyuan Cai and Zhizhuo Zhao}, title = {{\unicode{20998}}{\unicode{24067}}{\unicode{24335}}{\unicode{23481}}{\unicode{38169}}{\unicode{35745}}{\unicode{31639}}{\unicode{25551}}{\unicode{36848}}{\unicode{35821}}{\unicode{35328}}{\unicode{21450}}{\unicode{20854}}{\unicode{24212}}{\unicode{29992}}{\unicode{30740}}{\unicode{31350}} (Research on Fault Tolerant Description Language and its Application for Distributed Computing)}, journal = {{\unicode{35745}}{\unicode{31639}}{\unicode{26426}}{\unicode{31185}}{\unicode{23398}}}, volume = {43}, number = {5}, pages = {146--149}, year = {2016}, url = {https://doi.org/10.11896/j.issn.1002-137X.2016.05.027}, doi = {10.11896/J.ISSN.1002-137X.2016.05.027}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsjkx/CaiZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/QiangJRZJ16, author = {Weizhong Qiang and Changqing Jiang and Longbo Ran and Deqing Zou and Hai Jin}, title = {{CDMCR:} multi-level fault-tolerant system for distributed applications in cloud}, journal = {Secur. Commun. Networks}, volume = {9}, number = {15}, pages = {2766--2778}, year = {2016}, url = {https://doi.org/10.1002/sec.1187}, doi = {10.1002/SEC.1187}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/QiangJRZJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/AlistarPM16, author = {Mirela Alistar and Paul Pop and Jan Madsen}, title = {Synthesis of Application-Specific Fault-Tolerant Digital Microfluidic Biochip Architectures}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {5}, pages = {764--777}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2528498}, doi = {10.1109/TCAD.2016.2528498}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/AlistarPM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/DagbagiHINMS16, author = {Mohamed Dagbagi and Asma Hemdani and Lahoucine Idkhajine and Mohamed Wissem Naouar and Eric Monmasson and Ilhem Slama{-}Belkhodja}, title = {ADC-Based Embedded Real-Time Simulator of a Power Converter Implemented in a Low-Cost {FPGA:} Application to a Fault-Tolerant Control of a Grid-Connected Voltage-Source Rectifier}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {2}, pages = {1179--1190}, year = {2016}, url = {https://doi.org/10.1109/TIE.2015.2491883}, doi = {10.1109/TIE.2015.2491883}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/DagbagiHINMS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/WangSGL16, author = {Yujuan Wang and Yongduan Song and Hui Gao and Frank L. Lewis}, title = {Distributed Fault-Tolerant Control of Virtually and Physically Interconnected Systems With Application to High-Speed Trains Under Traction/Braking Failures}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {17}, number = {2}, pages = {535--545}, year = {2016}, url = {https://doi.org/10.1109/TITS.2015.2479922}, doi = {10.1109/TITS.2015.2479922}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/WangSGL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ChenAE16, author = {Lejun Chen and Halim Alwi and Christopher Edwards}, title = {Application and evaluation of an {LPV} integral sliding mode fault tolerant control scheme on the {RECONFIGURE} benchmark}, booktitle = {2016 American Control Conference, {ACC} 2016, Boston, MA, USA, July 6-8, 2016}, pages = {3692--3697}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ACC.2016.7525487}, doi = {10.1109/ACC.2016.7525487}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ChenAE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/carla/Meneses16, author = {Esteban Meneses}, editor = {Carlos Jaime Barrios Hern{\'{a}}ndez and Isidoro Gitler and Jaime Klapp}, title = {Reducing the Overhead of Message Logging in Fault-Tolerant {HPC} Applications}, booktitle = {High Performance Computing - Third Latin American Conference, {CARLA} 2016, Mexico City, Mexico, August 29 - September 2, 2016, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {697}, pages = {204--218}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-57972-6\_15}, doi = {10.1007/978-3-319-57972-6\_15}, timestamp = {Wed, 17 May 2017 10:54:55 +0200}, biburl = {https://dblp.org/rec/conf/carla/Meneses16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cloudcom/MoghaddamGL16, author = {Fereydoun Farrahi Moghaddam and Abdelouahed Gherbi and Yves Lemieux}, title = {Self-Healing Redundancy for OpenStack Applications through Fault-Tolerant Multi-Agent Task Scheduling}, booktitle = {2016 {IEEE} International Conference on Cloud Computing Technology and Science, CloudCom 2016, Luxembourg, December 12-15, 2016}, pages = {572--577}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CloudCom.2016.0099}, doi = {10.1109/CLOUDCOM.2016.0099}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cloudcom/MoghaddamGL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codit/HamoudaAL16, author = {Lamia Ben Hamouda and Mounir Ayadi and Nicolas Langlois}, title = {Fault tolerant fuzzy-based model predictive controllers for automotive application}, booktitle = {International Conference on Control, Decision and Information Technologies, CoDIT 2016, Saint Julian's, Malta, April 6-8, 2016}, pages = {117--122}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CoDIT.2016.7593546}, doi = {10.1109/CODIT.2016.7593546}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/codit/HamoudaAL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dtis/YesilTO16, author = {Serif Yesil and Suleyman Tosun and {\"{O}}zcan {\"{O}}zturk}, title = {{FPGA} implementation of a fault-tolerant application-specific NoC design}, booktitle = {2016 International Conference on Design and Technology of Integrated Systems in Nanoscale Era, {DTIS} 2016, Istanbul, Turkey, April 12-14, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/DTIS.2016.7483876}, doi = {10.1109/DTIS.2016.7483876}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/dtis/YesilTO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpt/GongWNAZCD16, author = {Lingkan Gong and Tong Wu and Nguyen T. H. Nguyen and Dimitris Agiakatsikas and Zhuoran Zhao and Ediz Cetin and Oliver Diessel}, editor = {Yuchen Song and Shaojun Wang and Brent Nelson and Junbao Li and Yu Peng}, title = {A Programmable Configuration Controller for fault-tolerant applications}, booktitle = {2016 International Conference on Field-Programmable Technology, {FPT} 2016, Xi'an, China, December 7-9, 2016}, pages = {117--124}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/FPT.2016.7929515}, doi = {10.1109/FPT.2016.7929515}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpt/GongWNAZCD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/SemenovTSM16, author = {Dmitry Semenov and Bing Tian and Li Sun and Galina Mirzaeva}, title = {Advanced fault-tolerant current control of five-phase {PMSM} for mining applications}, booktitle = {2016 {IEEE} Industry Applications Society Annual Meeting, Portland, OR, USA, October 2-6, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IAS.2016.7731918}, doi = {10.1109/IAS.2016.7731918}, timestamp = {Tue, 06 Jul 2021 18:52:58 +0200}, biburl = {https://dblp.org/rec/conf/iasam/SemenovTSM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icacci/KaushikADGF16, author = {S. Kaushik and G. Ajay and S. Dhanush and Mahendra S. Gowda and Mohd. Faizuddin Faruqui}, title = {Fault-tolerant sensor using model based simulated value for space environment simulation applications}, booktitle = {2016 International Conference on Advances in Computing, Communications and Informatics, {ICACCI} 2016, Jaipur, India, September 21-24, 2016}, pages = {372--377}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICACCI.2016.7732074}, doi = {10.1109/ICACCI.2016.7732074}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icacci/KaushikADGF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icse/ScavuzzoTN16, author = {Marco Scavuzzo and Damian A. Tamburri and Elisabetta Di Nitto}, title = {Providing big data applications with fault-tolerant data migration across heterogeneous NoSQL databases}, booktitle = {Proceedings of the 2nd International Workshop on {BIG} Data Software Engineering, BIGDSE@ICSE 2016, Austin, Texas, USA, May 16, 2016}, pages = {26--32}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2896825.2896831}, doi = {10.1145/2896825.2896831}, timestamp = {Mon, 21 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icse/ScavuzzoTN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/PhamBB16, author = {Huu{-}Tam Pham and Jean{-}Matthieu Bourgeot and Mohamed El Hachemi Benbouzid}, title = {Fault-tolerant model predictive control of 5-phase {PMSG} under an open-circuit phase fault condition for marine current applications}, booktitle = {{IECON} 2016 - 42nd Annual Conference of the {IEEE} Industrial Electronics Society, Florence, Italy, October 23-26, 2016}, pages = {5760--5765}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IECON.2016.7794091}, doi = {10.1109/IECON.2016.7794091}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/PhamBB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rc/Paler16, author = {Alexandru Paler}, editor = {Simon J. Devitt and Ivan Lanese}, title = {Circular {CNOT} Circuits: Definition, Analysis and Application to Fault-Tolerant Quantum Circuits}, booktitle = {Reversible Computation - 8th International Conference, {RC} 2016, Bologna, Italy, July 7-8, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9720}, pages = {199--212}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40578-0\_15}, doi = {10.1007/978-3-319-40578-0\_15}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/rc/Paler16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/ChenFL16, author = {Liqiong Chen and Guisheng Fan and Yunxiang Liu}, editor = {Jerry Gou}, title = {Modeling and analyzing cost-aware fault tolerant strategy for cloud application}, booktitle = {The 28th International Conference on Software Engineering and Knowledge Engineering, {SEKE} 2016, Redwood City, San Francisco Bay, USA, July 1-3, 2016}, pages = {439--442}, publisher = {{KSI} Research Inc. and Knowledge Systems Institute Graduate School}, year = {2016}, url = {https://doi.org/10.18293/SEKE2016-247}, doi = {10.18293/SEKE2016-247}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/seke/ChenFL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wf-iot/ZielinskiCFG16, author = {Zbigniew Zielinski and Jan Chudzikiewicz and Janusz Furtak and Pawel Glebocki}, title = {Integrating some security and fault tolerant techniques for military applications of Internet of Things}, booktitle = {3rd {IEEE} World Forum on Internet of Things, WF-IoT 2016, Reston, VA, USA, December 12-14, 2016}, pages = {117--122}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/WF-IoT.2016.7845507}, doi = {10.1109/WF-IOT.2016.7845507}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wf-iot/ZielinskiCFG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Astola15, author = {Helena Astola}, title = {Algebraic and Combinatorial Methods for Error-Correcting Codes with Applications to Fault-Tolerant Logic}, school = {University of Tampere, Finland}, year = {2015}, url = {https://trepo.tuni.fi/handle/10024/114327}, timestamp = {Fri, 09 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/phd/basesearch/Astola15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/WuTGSCEW15, author = {Chen{-}Yin Wu and Jason Sheng{-}Hong Tsai and Shu{-}Mei Guo and Leang{-}San Shieh and Jose I. Canelon and Faezeh Ebrahimzadeh and Li Wang}, title = {A novel on-line observer/Kalman filter identification method and its application to input-constrained active fault-tolerant tracker design for unknown stochastic systems}, journal = {J. Frankl. Inst.}, volume = {352}, number = {3}, pages = {1119--1151}, year = {2015}, url = {https://doi.org/10.1016/j.jfranklin.2014.12.004}, doi = {10.1016/J.JFRANKLIN.2014.12.004}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/WuTGSCEW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/GuoSZA15, author = {Yifeng Guo and Hang Su and Dakai Zhu and Hakan Aydin}, title = {Preference-oriented real-time scheduling and its application in fault-tolerant systems}, journal = {J. Syst. Archit.}, volume = {61}, number = {2}, pages = {127--139}, year = {2015}, url = {https://doi.org/10.1016/j.sysarc.2014.12.001}, doi = {10.1016/J.SYSARC.2014.12.001}, timestamp = {Thu, 05 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/GuoSZA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/FortMVGP15, author = {Ada Fort and Marco Mugnaini and Valerio Vignoli and Vittorio Gaggii and Moreno Pieralli}, title = {Fault tolerant design of a field data modular readout architecture for railway applications}, journal = {Reliab. Eng. Syst. Saf.}, volume = {142}, pages = {456--462}, year = {2015}, url = {https://doi.org/10.1016/j.ress.2015.06.008}, doi = {10.1016/J.RESS.2015.06.008}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/FortMVGP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/TosunAMO15, author = {Suleyman Tosun and Vahid Babaei Ajabshir and Ozge Mercanoglu and {\"{O}}zcan {\"{O}}zturk}, title = {Fault-Tolerant Topology Generation Method for Application-Specific Network-on-Chips}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {34}, number = {9}, pages = {1495--1508}, year = {2015}, url = {https://doi.org/10.1109/TCAD.2015.2413848}, doi = {10.1109/TCAD.2015.2413848}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/TosunAMO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/GuoXC15, author = {Hong Guo and Jinquan Xu and Ye{-}Hwa Chen}, title = {Robust Control of Fault-Tolerant Permanent-Magnet Synchronous Motor for Aerospace Application With Guaranteed Fault Switch Process}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {12}, pages = {7309--7321}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2453935}, doi = {10.1109/TIE.2015.2453935}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/GuoXC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JiangHCHJ15, author = {Xuefeng Jiang and Wenxin Huang and Ruiwu Cao and Zhenyang Hao and Wen Jiang}, title = {Electric Drive System of Dual-Winding Fault-Tolerant Permanent-Magnet Motor for Aerospace Applications}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {12}, pages = {7322--7330}, year = {2015}, url = {https://doi.org/10.1109/TIE.2015.2454483}, doi = {10.1109/TIE.2015.2454483}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JiangHCHJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/Yang0D15, author = {Ying Yang and Linlin Li and Steven X. Ding}, title = {A Control-Theoretic Study on Runge-Kutta Methods With Application to Real-Time Fault-Tolerant Control of Nonlinear Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {6}, pages = {3914--3922}, year = {2015}, url = {https://doi.org/10.1109/TIE.2014.2386297}, doi = {10.1109/TIE.2014.2386297}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/Yang0D15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/LiLZ15, author = {Tao Li and Gang Li and Qing Zhao}, title = {Adaptive Fault-Tolerant Stochastic Shape Control With Application to Particle Distribution Control}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {45}, number = {12}, pages = {1592--1604}, year = {2015}, url = {https://doi.org/10.1109/TSMC.2015.2433896}, doi = {10.1109/TSMC.2015.2433896}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/LiLZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/Nagy-KissISR15, author = {Anca Maria Nagy{-}Kiss and Dalil Ichalal and Georges Schutz and Jos{\'{e}} Ragot}, title = {Fault tolerant control for uncertain descriptor multi-models with application to wastewater treatment plant}, booktitle = {American Control Conference, {ACC} 2015, Chicago, IL, USA, July 1-3, 2015}, pages = {5718--5725}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ACC.2015.7172235}, doi = {10.1109/ACC.2015.7172235}, timestamp = {Fri, 03 Dec 2021 13:03:59 +0100}, biburl = {https://dblp.org/rec/conf/amcc/Nagy-KissISR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/ShastriSR15, author = {Aniruddha Shastri and Greg Stitt and Eduardo Riccio}, title = {A scheduling and binding heuristic for high-level synthesis of fault-tolerant {FPGA} applications}, booktitle = {26th {IEEE} International Conference on Application-specific Systems, Architectures and Processors, {ASAP} 2015, Toronto, ON, Canada, July 27-29, 2015}, pages = {202--209}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ASAP.2015.7245735}, doi = {10.1109/ASAP.2015.7245735}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/ShastriSR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/MartsinkevichSU15, author = {Tatiana V. Martsinkevich and Omer Subasi and Osman S. Unsal and Franck Cappello and Jes{\'{u}}s Labarta}, title = {Fault-Tolerant Protocol for Hybrid Task-Parallel Message-Passing Applications}, booktitle = {2015 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2015, Chicago, IL, USA, September 8-11, 2015}, pages = {563--570}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CLUSTER.2015.104}, doi = {10.1109/CLUSTER.2015.104}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/MartsinkevichSU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/ShahzadKZMPHW15, author = {Faisal Shahzad and Moritz Kreutzer and Thomas Zeiser and Rui Machado and Andreas Pieper and Georg Hager and Gerhard Wellein}, title = {Building a Fault Tolerant Application Using the {GASPI} Communication Layer}, booktitle = {2015 {IEEE} International Conference on Cluster Computing, {CLUSTER} 2015, Chicago, IL, USA, September 8-11, 2015}, pages = {580--587}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CLUSTER.2015.106}, doi = {10.1109/CLUSTER.2015.106}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cluster/ShahzadKZMPHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cnsm/SpinnewynBL15, author = {Bart Spinnewyn and Bart Braem and Steven Latr{\'{e}}}, editor = {Mauro Tortonesi and J{\"{u}}rgen Sch{\"{o}}nw{\"{a}}lder and Edmundo Roberto Mauro Madeira and Corinna Schmitt and Joan Serrat}, title = {Fault-tolerant application placement in heterogeneous cloud environments}, booktitle = {11th International Conference on Network and Service Management, {CNSM} 2015, Barcelona, Spain, November 9-13, 2015}, pages = {192--200}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CNSM.2015.7367359}, doi = {10.1109/CNSM.2015.7367359}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cnsm/SpinnewynBL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/HanFNQ15, author = {Qiushi Han and Ming Fan and Linwei Niu and Gang Quan}, editor = {Wolfgang Nebel and David Atienza}, title = {Energy minimization for fault tolerant scheduling of periodic fixed-priority applications on multiprocessor platforms}, booktitle = {Proceedings of the 2015 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2015, Grenoble, France, March 9-13, 2015}, pages = {830--835}, publisher = {{ACM}}, year = {2015}, url = {http://dl.acm.org/citation.cfm?id=2755941}, timestamp = {Wed, 30 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/HanFNQ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/IchiharaKII15, author = {Hideyuki Ichihara and Junpei Kamei and Tsuyoshi Iwagaki and Tomoo Inoue}, title = {A practical approach for logic simplification based on fault acceptability for error tolerant application}, booktitle = {20th {IEEE} European Test Symposium, {ETS} 2015, Cluj-Napoca, Romania, 25-29 May, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ETS.2015.7138727}, doi = {10.1109/ETS.2015.7138727}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/ets/IchiharaKII15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic2e/ReyCNS15, author = {Javier Rey and Matias Cogorno and Sergio Nesmachnow and Luiz Angelo Steffenel}, title = {Efficient Prototyping of Fault Tolerant Map-Reduce Applications with Docker-Hadoop}, booktitle = {2015 {IEEE} International Conference on Cloud Engineering, {IC2E} 2015, Tempe, AZ, USA, March 9-13, 2015}, pages = {369--376}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/IC2E.2015.73}, doi = {10.1109/IC2E.2015.73}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic2e/ReyCNS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/HuCSWHCCK15, author = {Yung{-}Li Hu and Yuo{-}Yu Cho and Wei{-}Bing Su and David S. L. Wei and Yennun Huang and Jiann{-}Liang Chen and Ing{-}Yi Chen and Sy{-}Yen Kuo}, editor = {Guojun Wang and Albert Y. Zomaya and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kenli Li}, title = {A Programming Framework for Implementing Fault-Tolerant Mechanism in IoT Applications}, booktitle = {Algorithms and Architectures for Parallel Processing - 15th International Conference, {ICA3PP} 2015, Zhangjiajie, China, November 18-20, 2015. Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {9530}, pages = {771--784}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27137-8\_56}, doi = {10.1007/978-3-319-27137-8\_56}, timestamp = {Sat, 06 Aug 2022 22:05:44 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/HuCSWHCCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceee/Melendez-Vazquez15, author = {Fidel Mel{\'{e}}ndez{-}V{\'{a}}zquez and Iv{\'{a}}n Trejo{-}Z{\'{u}}{\~{n}}iga and Rafael Mart{\'{\i}}nez{-}Guerra}, title = {Fault-tolerant asymptotic output tracking: An application to the three-tank system}, booktitle = {12th International Conference on Electrical Engineering, Computing Science and Automatic Control, {CCE} 2015, Mexico City, Mexico, October 28-30, 2015}, pages = {1--6}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICEEE.2015.7357931}, doi = {10.1109/ICEEE.2015.7357931}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iceee/Melendez-Vazquez15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnsc/ShiYL15, author = {Jing Shi and Jianhua Yang and Huiying Liu}, title = {A robust and fault-tolerant filter and its application in {MEMS-INS/GPS}}, booktitle = {12th {IEEE} International Conference on Networking, Sensing and Control, {ICNSC} 2015, Taipei, Taiwan, April 9-11, 2015}, pages = {247--251}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICNSC.2015.7116043}, doi = {10.1109/ICNSC.2015.7116043}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icnsc/ShiYL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/AliSHHL15, author = {Md. Mohsin Ali and Peter E. Strazdins and Brendan Harding and Markus Hegland and Jay Walter Larson}, title = {A fault-tolerant gyrokinetic plasma application using the sparse grid combination technique}, booktitle = {2015 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2015, Amsterdam, Netherlands, July 20-24, 2015}, pages = {499--507}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCSim.2015.7237082}, doi = {10.1109/HPCSIM.2015.7237082}, timestamp = {Tue, 19 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/AliSHHL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/SousaCH15, author = {M{\'{a}}rio de Sousa and Christos Chrysoulas and Aydin E. Homay}, title = {Multiply and conquer: {A} replication framework for building fault tolerant industrial applications}, booktitle = {13th {IEEE} International Conference on Industrial Informatics, {INDIN} 2015, Cambridge, United Kingdom, July 22-24, 2015}, pages = {1342--1347}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INDIN.2015.7281930}, doi = {10.1109/INDIN.2015.7281930}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/indin/SousaCH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmar/BuciakowskiWK15, author = {Mariusz Buciakowski and Marcin Witczak and J{\'{o}}zef Korbicz}, title = {Adaptive fault tolerant control: Application to a {DC} servo motor}, booktitle = {20th International Conference on Methods and Models in Automation and Robotics, {MMAR} 2015, Mi{\k{e}}dzyzdroje, Poland, August 24-27, 2015}, pages = {800--805}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MMAR.2015.7283978}, doi = {10.1109/MMAR.2015.7283978}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmar/BuciakowskiWK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/LeePB15, author = {Doowon Lee and Ritesh Parikh and Valeria Bertacco}, editor = {Andr{\'{e}} Ivanov and Diana Marculescu and Partha Pratim Pande and Jos{\'{e}} Flich and Karthik Pattabiraman}, title = {Highly Fault-tolerant NoC Routing with Application-aware Congestion Management}, booktitle = {Proceedings of the 9th International Symposium on Networks-on-Chip, {NOCS} 2015, Vancouver, BC, Canada, September 28-30, 2015}, pages = {10:1--10:8}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2786572.2786590}, doi = {10.1145/2786572.2786590}, timestamp = {Tue, 06 Nov 2018 11:06:50 +0100}, biburl = {https://dblp.org/rec/conf/nocs/LeePB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtsi/FabriLTV15, author = {Giuseppe Fabri and Emidio Della Loggia and Marco Tursini and Marco Villani}, title = {Fault-tolerant design of motor-drives for high reliability applications}, booktitle = {1st {IEEE} International Forum on Research and Technologies for Society and Industry Leveraging a better tomorrow, {RTSI} 2015, Torino, Italy, September 16-18, 2015}, pages = {219--225}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/RTSI.2015.7325101}, doi = {10.1109/RTSI.2015.7325101}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rtsi/FabriLTV15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/ncs/Tyrrell15, author = {Andy M. Tyrrell}, editor = {Martin A. Trefzer and Andy M. Tyrrell}, title = {Fault Tolerant Applications}, booktitle = {Evolvable Hardware - From Practice to Application}, series = {Natural Computing Series}, pages = {191--207}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-662-44616-4\_7}, doi = {10.1007/978-3-662-44616-4\_7}, timestamp = {Tue, 16 May 2017 14:24:38 +0200}, biburl = {https://dblp.org/rec/series/ncs/Tyrrell15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/MekkiBA15, author = {Hemza Mekki and Djamel Boukhetala and Ahmad Taher Azar}, editor = {Ahmad Taher Azar and Quanmin Zhu}, title = {Sliding Modes for Fault Tolerant Control}, booktitle = {Advances and Applications in Sliding Mode Control Systems}, series = {Studies in Computational Intelligence}, volume = {576}, pages = {407--433}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-11173-5\_15}, doi = {10.1007/978-3-319-11173-5\_15}, timestamp = {Mon, 16 Sep 2019 14:43:03 +0200}, biburl = {https://dblp.org/rec/series/sci/MekkiBA15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/TiwariJN15, author = {Pyare Mohan Tiwari and S. Janardhanan and Mashuq Un Nabi}, editor = {Ahmad Taher Azar and Quanmin Zhu}, title = {Rigid Spacecraft Fault-Tolerant Control Using Adaptive Fast Terminal Sliding Mode}, booktitle = {Advances and Applications in Sliding Mode Control Systems}, series = {Studies in Computational Intelligence}, volume = {576}, pages = {381--406}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-11173-5\_14}, doi = {10.1007/978-3-319-11173-5\_14}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sci/TiwariJN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ShahzadKZMPHW15, author = {Faisal Shahzad and Moritz Kreutzer and Thomas Zeiser and Rui Machado and Andreas Pieper and Georg Hager and Gerhard Wellein}, title = {Building a fault tolerant application using the {GASPI} communication layer}, journal = {CoRR}, volume = {abs/1505.04628}, year = {2015}, url = {http://arxiv.org/abs/1505.04628}, eprinttype = {arXiv}, eprint = {1505.04628}, timestamp = {Tue, 15 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ShahzadKZMPHW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/CzajkowskiPS14, author = {Andrzej Czajkowski and Krzysztof Patan and Miroslaw Szymanski}, title = {Application of the state space neural network to the fault tolerant control system of the PLC-controlled laboratory stand}, journal = {Eng. Appl. Artif. Intell.}, volume = {30}, pages = {168--178}, year = {2014}, url = {https://doi.org/10.1016/j.engappai.2014.01.017}, doi = {10.1016/J.ENGAPPAI.2014.01.017}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/CzajkowskiPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChenYLL14, author = {Chien{-}Sheng Chen and Ting{-}Yuan Yeh and Chin{-}Tan Lee and Chyuan{-}Der Lu}, title = {Application of Fault-Tolerant Mechanism to Reduce Pollution Attacks in Peer-to-Peer Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/792407}, doi = {10.1155/2014/792407}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChenYLL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imamci/MahmoudK14, author = {Magdi Sadek Mahmoud and Haris M. Khalid}, title = {Model prediction-based approach to fault-tolerant control with applications}, journal = {{IMA} J. Math. Control. Inf.}, volume = {31}, number = {2}, pages = {217--244}, year = {2014}, url = {https://doi.org/10.1093/imamci/dnt007}, doi = {10.1093/IMAMCI/DNT007}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imamci/MahmoudK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/SlijepcevicKAQC14, author = {Mladen Slijepcevic and Leonidas Kosmidis and Jaume Abella and Eduardo Qui{\~{n}}ones and Francisco J. Cazorla}, title = {Timing Verification of Fault-Tolerant Chips for Safety-Critical Applications in Harsh Environments}, journal = {{IEEE} Micro}, volume = {34}, number = {6}, pages = {8--19}, year = {2014}, url = {https://doi.org/10.1109/MM.2014.59}, doi = {10.1109/MM.2014.59}, timestamp = {Tue, 18 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micro/SlijepcevicKAQC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/CalomardeAMVR14, author = {Antonio Calomarde and Esteve Amat and Francesc Moll and Julio Vigara and Antonio Rubio}, title = {{SET} and noise fault tolerant circuit design techniques: Application to 7 nm FinFET}, journal = {Microelectron. Reliab.}, volume = {54}, number = {4}, pages = {738--745}, year = {2014}, url = {https://doi.org/10.1016/j.microrel.2013.12.018}, doi = {10.1016/J.MICROREL.2013.12.018}, timestamp = {Thu, 06 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/CalomardeAMVR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEisic/WitczakWPS14, author = {Piotr Witczak and Marcin Witczak and Krzysztof Patan and Ralf Stetter}, title = {Design of robust predictive fault-tolerant control for Takagi-Sugeno fuzzy systems: Application to the twin-rotor system}, booktitle = {2014 {IEEE} International Symposium on Intelligent Control, {ISIC} 2014, Juan-les-Pins, France, October 8-10, 2014}, pages = {1113--1118}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISIC.2014.6967616}, doi = {10.1109/ISIC.2014.6967616}, timestamp = {Thu, 11 Feb 2021 17:19:28 +0100}, biburl = {https://dblp.org/rec/conf/IEEEisic/WitczakWPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/KangYKBHT14, author = {Shin{-}Haeng Kang and Hoeseok Yang and Sungchan Kim and Iuliana Bacivarov and Soonhoi Ha and Lothar Thiele}, title = {Static Mapping of Mixed-Critical Applications for Fault-Tolerant MPSoCs}, booktitle = {The 51st Annual Design Automation Conference 2014, {DAC} '14, San Francisco, CA, USA, June 1-5, 2014}, pages = {31:1--31:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2593069.2593221}, doi = {10.1145/2593069.2593221}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/KangYKBHT14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/VitBKK14, author = {Pavel Vit and Jaroslav Boreck{\'{y}} and Martin Kohl{\'{\i}}k and Hana Kub{\'{a}}tov{\'{a}}}, title = {Fault Tolerant Duplex System with High Availability for Practical Applications}, booktitle = {17th Euromicro Conference on Digital System Design, {DSD} 2014, Verona, Italy, August 27-29, 2014}, pages = {320--325}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/DSD.2014.54}, doi = {10.1109/DSD.2014.54}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/VitBKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/BagheriJ14, author = {Mehrdad Bagheri and Gert Jervan}, title = {Fault-Tolerant Scheduling of Mixed-Critical Applications on Multi-processor Platforms}, booktitle = {12th {IEEE} International Conference on Embedded and Ubiquitous Computing, {EUC} 2014, Milano, Italy, August 26-28, 2014}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/EUC.2014.13}, doi = {10.1109/EUC.2014.13}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/BagheriJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/IvakiA14, author = {Naghmeh Ramezani Ivaki and Filipe Ara{\'{u}}jo}, title = {Fault-Tolerant bi-directional communications in web-based applications}, booktitle = {20th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2014, Hsinchu, Taiwan, December 16-19, 2014}, pages = {833--836}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PADSW.2014.7097891}, doi = {10.1109/PADSW.2014.7097891}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/IvakiA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/AliSSH14, author = {Md. Mohsin Ali and James Southern and Peter E. Strazdins and Brendan Harding}, title = {Application Level Fault Recovery: Using Fault-Tolerant Open {MPI} in a {PDE} Solver}, booktitle = {2014 {IEEE} International Parallel {\&} Distributed Processing Symposium Workshops, Phoenix, AZ, USA, May 19-23, 2014}, pages = {1169--1178}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/IPDPSW.2014.132}, doi = {10.1109/IPDPSW.2014.132}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/AliSSH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/SchorBMPRABFLRTTVW14, author = {Lars Schor and Iuliana Bacivarov and Luis Gabriel Murillo and Pier Stanislao Paolucci and Fr{\'{e}}d{\'{e}}ric Rousseau and Ashraf El Antably and Robert Buecs and Nicolas Fournel and Rainer Leupers and Devendra Rai and Lothar Thiele and Laura Tosoratto and Piero Vicini and Jan Weinstock}, title = {{EURETILE} Design Flow: Dynamic and Fault Tolerant Mapping of Multiple Applications Onto Many-Tile Systems}, booktitle = {{IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2014, Milan, Italy, August 26-28, 2014}, pages = {182--189}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ISPA.2014.32}, doi = {10.1109/ISPA.2014.32}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/SchorBMPRABFLRTTVW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/FlorioDTRL14, author = {Vincenzo De Florio and Geert Deconinck and Mario Truyens and Wim Rosseel and Rudy Lauwereins}, title = {A Hypermedia Distributed Application for Monitoring and Fault-Injection in Embedded Fault-tolerant Parallel Programs}, journal = {CoRR}, volume = {abs/1401.2965}, year = {2014}, url = {http://arxiv.org/abs/1401.2965}, eprinttype = {arXiv}, eprint = {1401.2965}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/FlorioDTRL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijccbs/FayollasFPBND13, author = {Camille Fayollas and Jean{-}Charles Fabre and Philippe A. Palanque and Eric Barboni and David Navarre and Yannick Deleris}, title = {Interactive cockpits as critical applications: a model-based and a fault-tolerant approach}, journal = {Int. J. Crit. Comput. Based Syst.}, volume = {4}, number = {3}, pages = {202--226}, year = {2013}, url = {https://doi.org/10.1504/IJCCBS.2013.058407}, doi = {10.1504/IJCCBS.2013.058407}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijccbs/FayollasFPBND13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/WitczakPO13, author = {Marcin Witczak and Vicen{\c{c}} Puig and Sa{\'{u}}l Montes de Oca}, title = {A fault-tolerant control strategy for non-linear discrete-time systems: application to the twin-rotor system}, journal = {Int. J. Control}, volume = {86}, number = {10}, pages = {1788--1799}, year = {2013}, url = {https://doi.org/10.1080/00207179.2013.796592}, doi = {10.1080/00207179.2013.796592}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/WitczakPO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/BederUAC13, author = {Delano Medeiros Beder and J{\'{o}} Ueyama and Jo{\~{a}}o Porto de Albuquerque and Marcos Lordello Chaim}, title = {FlexFT: {A} Generic Framework for Developing Fault-Tolerant Applications in the Sensor Web}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/385892}, doi = {10.1155/2013/385892}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/BederUAC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/WuXHHHGH13, author = {Zhao Wu and Neal Naixue Xiong and Wenlin Han and Yan N. Huang and Chun Y. Hu and Qiong Gu and Bo Hang}, title = {A Fault-Tolerant Method for Enhancing Reliability of Services Composition Application in WSNs Based on {BPEL}}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/493678}, doi = {10.1155/2013/493678}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/WuXHHHGH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/JinFJ13, author = {Ying Jin and Jun Fu and Yuanwei Jing}, title = {Fault-tolerant control of a class of switched systems with strong structural uncertainties with application to haptic display systems}, journal = {Neurocomputing}, volume = {103}, pages = {143--148}, year = {2013}, url = {https://doi.org/10.1016/j.neucom.2012.08.037}, doi = {10.1016/J.NEUCOM.2012.08.037}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/JinFJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/HuX13, author = {Qinglei Hu and Bing Xiao}, title = {Adaptive fault tolerant control using integral sliding mode strategy with application to flexible spacecraft}, journal = {Int. J. Syst. Sci.}, volume = {44}, number = {12}, pages = {2273--2286}, year = {2013}, url = {https://doi.org/10.1080/00207721.2012.702236}, doi = {10.1080/00207721.2012.702236}, timestamp = {Wed, 30 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsysc/HuX13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/Liu0013, author = {Hugh H. T. Liu and Peng Shi and Bin Jiang}, title = {Fault detection, diagnosis, and fault tolerant control with flight applications}, journal = {J. Frankl. Inst.}, volume = {350}, number = {9}, pages = {2371--2372}, year = {2013}, url = {https://doi.org/10.1016/j.jfranklin.2013.07.001}, doi = {10.1016/J.JFRANKLIN.2013.07.001}, timestamp = {Tue, 03 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfi/Liu0013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/Ding0YD13, author = {Steven X. Ding and Ping Zhang and Shen Yin and Eve L. Ding}, title = {An Integrated Design Framework of Fault-Tolerant Wireless Networked Control Systems for Industrial Automatic Control Applications}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {9}, number = {1}, pages = {462--471}, year = {2013}, url = {https://doi.org/10.1109/TII.2012.2214390}, doi = {10.1109/TII.2012.2214390}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/Ding0YD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/WangW13, author = {Rongrong Wang and Junmin Wang}, title = {Passive Actuator Fault-Tolerant Control for a Class of Overactuated Nonlinear Systems and Applications to Electric Vehicles}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {62}, number = {3}, pages = {972--985}, year = {2013}, url = {https://doi.org/10.1109/TVT.2012.2232687}, doi = {10.1109/TVT.2012.2232687}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/WangW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/JainYS13, author = {Tushar Jain and Joseph{-}Julien Yam{\'{e}} and Dominique Sauter}, title = {A novel trajectory-based active fault-tolerant control: Application to a Wind Turbine system}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2013, Hyderabad, India, August 28-30, 2013}, pages = {164--169}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CCA.2013.6662761}, doi = {10.1109/CCA.2013.6662761}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/JainYS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEssd/ChouirefBAA13, author = {Houda Chouiref and Boumedyen Boussaid and Mohamed Naceur Abdelkrim and Christophe Aubrun}, title = {Nonlinear fault tolerant control based parameter estimation diagnosis: Application to induction motors}, booktitle = {10th International Multi-Conferences on Systems, Signals {\&} Devices, {SSD} 2013, Hammamet, Tunisia, March 18-21, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/SSD.2013.6564118}, doi = {10.1109/SSD.2013.6564118}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEssd/ChouirefBAA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/africon/BotmaBS13, author = {P. J. Botma and A. Barnard and W. H. Steyn}, title = {Low cost fault tolerant techniques for nano/pico-satellite applications}, booktitle = {{AFRICON} 2013, Pointe aux Piments, Mauritius, September 9-12, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/AFRCON.2013.6757859}, doi = {10.1109/AFRCON.2013.6757859}, timestamp = {Wed, 02 Nov 2022 09:08:09 +0100}, biburl = {https://dblp.org/rec/conf/africon/BotmaBS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LaoEC13, author = {Liangfeng Lao and Matthew J. Ellis and Panagiotis D. Christofides}, title = {Proactive fault-tolerant model predictive control: Concept and application}, booktitle = {American Control Conference, {ACC} 2013, Washington, DC, USA, June 17-19, 2013}, pages = {5140--5145}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACC.2013.6580637}, doi = {10.1109/ACC.2013.6580637}, timestamp = {Sat, 11 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/LaoEC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/HajkazemiBA13, author = {Mohammad Hossein Hajkazemi and Amirali Baniasadi and Hossein Asadi}, title = {{FARHAD:} {A} Fault-Tolerant Power-Aware Hybrid Adder for add intensive applications}, booktitle = {24th International Conference on Application-Specific Systems, Architectures and Processors, {ASAP} 2013, Washington, DC, USA, June 5-7, 2013}, pages = {153--159}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ASAP.2013.6567569}, doi = {10.1109/ASAP.2013.6567569}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/HajkazemiBA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/AlistarPM13, author = {Mirela Alistar and Paul Pop and Jan Madsen}, title = {Application-specific fault-tolerant architecture synthesis for digital microfluidic biochips}, booktitle = {18th Asia and South Pacific Design Automation Conference, {ASP-DAC} 2013, Yokohama, Japan, January 22-25, 2013}, pages = {794--800}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASPDAC.2013.6509697}, doi = {10.1109/ASPDAC.2013.6509697}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/AlistarPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/HanS13, author = {Xiaoran Han and Richard K. Stobart}, title = {Sliding mode fault tolerant control of uncertain systems with time varying delay: Application to {AFR} control}, booktitle = {Proceedings of the 52nd {IEEE} Conference on Decision and Control, {CDC} 2013, Florence, Italy, December 10-13, 2013}, pages = {2846--2851}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CDC.2013.6760315}, doi = {10.1109/CDC.2013.6760315}, timestamp = {Fri, 04 Mar 2022 13:29:02 +0100}, biburl = {https://dblp.org/rec/conf/cdc/HanS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/JafriPPHPT13, author = {Syed M. A. H. Jafri and Stanislaw J. Piestrak and Kolin Paul and Ahmed Hemani and Juha Plosila and Hannu Tenhunen}, title = {Energy-Aware Fault-Tolerant CGRAs Addressing Application with Different Reliability Needs}, booktitle = {2013 Euromicro Conference on Digital System Design, {DSD} 2013, Los Alamitos, CA, USA, September 4-6, 2013}, pages = {525--534}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/DSD.2013.62}, doi = {10.1109/DSD.2013.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/JafriPPHPT13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/BizotCZN13, author = {Gilles Bizot and Fabien Chaix and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {Variability-aware and fault-tolerant self-adaptive applications for many-core chips}, booktitle = {18th {IEEE} European Test Symposium, {ETS} 2013, Avignon, France, May 27-30, 2013}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ETS.2013.6569379}, doi = {10.1109/ETS.2013.6569379}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/BizotCZN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/DehestaniSNG13, author = {Davood Dehestani and Steven W. Su and Hung T. Nguyen and Ying Guo}, title = {Robust fault tolerant application for {HVAC} system based on combination of online {SVM} and {ANN} black box model}, booktitle = {12th European Control Conference, {ECC} 2013, Zurich, Switzerland, July 17-19, 2013}, pages = {2976--2981}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.23919/ECC.2013.6669140}, doi = {10.23919/ECC.2013.6669140}, timestamp = {Mon, 18 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eucc/DehestaniSNG13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/LesicVPJW13, author = {Vinko Lesic and Mario Vasak and Nedjeljko Peric and Gojko Joksimovic and Thomas M. Wolbank}, title = {Optimal flux magnitude tracking with application to fault-tolerant control of wind turbine generators}, booktitle = {12th European Control Conference, {ECC} 2013, Zurich, Switzerland, July 17-19, 2013}, pages = {466--471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.23919/ECC.2013.6669749}, doi = {10.23919/ECC.2013.6669749}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eucc/LesicVPJW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/BoettcherRF13, author = {Matthias Boettcher and Jan Reese and Friedrich Wilhelm Fuchs}, title = {Reliability comparison of fault-tolerant 3L-NPC based converter topologies for application in wind turbine systems}, booktitle = {{IECON} 2013 - 39th Annual Conference of the {IEEE} Industrial Electronics Society, Vienna, Austria, November 10-13, 2013}, pages = {1223--1229}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IECON.2013.6699307}, doi = {10.1109/IECON.2013.6699307}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iecon/BoettcherRF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/QuesadaSSC13, author = {Jeronimo Quesada and Jose Antonio Sainz and Rafael Sebasti{\'{a}}n and Manuel Castro}, title = {Application of real-time fault-tolerant distributed control in parallel operation of inverters}, booktitle = {{IECON} 2013 - 39th Annual Conference of the {IEEE} Industrial Electronics Society, Vienna, Austria, November 10-13, 2013}, pages = {471--476}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IECON.2013.6699181}, doi = {10.1109/IECON.2013.6699181}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/QuesadaSSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BizotCZN13, author = {Gilles Bizot and Fabien Chaix and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {Variability-aware and fault-tolerant self-adaptive applications for many-core chips}, booktitle = {2013 {IEEE} 19th International On-Line Testing Symposium (IOLTS), Chania, Crete, Greece, July 8-10, 2013}, pages = {37--42}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IOLTS.2013.6604048}, doi = {10.1109/IOLTS.2013.6604048}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/iolts/BizotCZN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/HanFQ13, author = {Qiushi Han and Ming Fan and Gang Quan}, editor = {Pai H. Chou and Ru Huang and Yuan Xie and Tanay Karnik}, title = {Energy minimization for fault tolerant real-time applications on multiprocessor platforms using checkpointing}, booktitle = {International Symposium on Low Power Electronics and Design (ISLPED), Beijing, China, September 4-6, 2013}, pages = {76--81}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISLPED.2013.6629270}, doi = {10.1109/ISLPED.2013.6629270}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/HanFQ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/norchip/KhoroushR13, author = {Somayeh Khoroush and Midia Reshadi}, title = {A fault tolerant approach for application-specific Network-on-Chip}, booktitle = {2013 NORCHIP, Vilnius, Lithuania, November 11-12, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NORCHIP.2013.6702014}, doi = {10.1109/NORCHIP.2013.6702014}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/norchip/KhoroushR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbac-pad/ArantesS13, author = {Luciana Arantes and Julien Sopena}, title = {Easily Rendering Token-Ring Algorithms of Distributed and Parallel Applications Fault Tolerant}, booktitle = {25th International Symposium on Computer Architecture and High Performance Computing, {SBAC-PAD} 2013, Porto de Galinhas, Pernambuco, Brazil, October 23-26, 2013}, pages = {206--213}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SBAC-PAD.2013.11}, doi = {10.1109/SBAC-PAD.2013.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sbac-pad/ArantesS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:series/sci/AliouatA13, author = {Zibouda Aliouat and Makhlouf Aliouat}, editor = {Abdelmalek Amine and Otmane A{\"{\i}}t Mohamed and Ladjel Bellatreche}, title = {Improving Wireless Sensor Networks Robustness through Multi-level Fault Tolerant Routing Protocol}, booktitle = {Modeling Approaches and Algorithms for Advanced Computer Applications}, series = {Studies in Computational Intelligence}, volume = {488}, pages = {115--124}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-00560-7\_16}, doi = {10.1007/978-3-319-00560-7\_16}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/series/sci/AliouatA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amcs/EdwardsAT12, author = {Christopher Edwards and Halim Alwi and Chee Pin Tan}, title = {Sliding mode methods for fault detection and fault tolerant control with application to aerospace systems}, journal = {Int. J. Appl. Math. Comput. Sci.}, volume = {22}, number = {1}, pages = {109--124}, year = {2012}, url = {https://doi.org/10.2478/v10006-012-0008-7}, doi = {10.2478/V10006-012-0008-7}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amcs/EdwardsAT12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amcs/OcaPWD12, author = {Sa{\'{u}}l Montes de Oca and Vicen{\c{c}} Puig and Marcin Witczak and Lukasz Dziekan}, title = {Fault-tolerant control strategy for actuator faults using {LPV} techniques: Application to a two degree of freedom helicopter}, journal = {Int. J. Appl. Math. Comput. Sci.}, volume = {22}, number = {1}, pages = {161--171}, year = {2012}, url = {https://doi.org/10.2478/v10006-012-0012-y}, doi = {10.2478/V10006-012-0012-Y}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amcs/OcaPWD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/DouRSBBVG12, author = {Zhifeng Dou and Fr{\'{e}}d{\'{e}}ric Richardeau and Emmanuel Sarraute and Vincent Bley and Jean{-}Marc Blaqui{\`{e}}re and Claire Vella and Gilles Gonthier}, title = {{PCB} dual-switch fuse with energetic materials embedded: Application for new fail-safe and fault-tolerant converters}, journal = {Microelectron. Reliab.}, volume = {52}, number = {9-10}, pages = {2457--2464}, year = {2012}, url = {https://doi.org/10.1016/j.microrel.2012.06.082}, doi = {10.1016/J.MICROREL.2012.06.082}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/DouRSBBVG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/ShenJC12, author = {Qikun Shen and Bin Jiang and Vincent Cocquempot}, title = {Fault-Tolerant Control for {T-S} Fuzzy Systems With Application to Near-Space Hypersonic Vehicle With Actuator Faults}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {20}, number = {4}, pages = {652--665}, year = {2012}, url = {https://doi.org/10.1109/TFUZZ.2011.2181181}, doi = {10.1109/TFUZZ.2011.2181181}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/ShenJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/SanchezAG12, author = {Daniel S{\'{a}}nchez and Juan L. Arag{\'{o}}n and Jos{\'{e}} M. Garc{\'{\i}}a}, title = {A fault-tolerant architecture for parallel applications in tiled-CMPs}, journal = {J. Supercomput.}, volume = {61}, number = {3}, pages = {997--1023}, year = {2012}, url = {https://doi.org/10.1007/s11227-011-0670-9}, doi = {10.1007/S11227-011-0670-9}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/SanchezAG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/ZhengZLK12, author = {Zibin Zheng and Tom Chao Zhou and Michael R. Lyu and Irwin King}, title = {Component Ranking for Fault-Tolerant Cloud Applications}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {5}, number = {4}, pages = {540--550}, year = {2012}, url = {https://doi.org/10.1109/TSC.2011.42}, doi = {10.1109/TSC.2011.42}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsc/ZhengZLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LiuZJ12, author = {Chunsheng Liu and Xinzhong Zhu and Bin Jiang}, title = {Fault tolerant control based on adaptive control allocation with a multiple effectors aircraft application}, booktitle = {American Control Conference, {ACC} 2012, Montreal, QC, Canada, June 27-29, 2012}, pages = {2533--2538}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ACC.2012.6314694}, doi = {10.1109/ACC.2012.6314694}, timestamp = {Sun, 08 Aug 2021 01:40:54 +0200}, biburl = {https://dblp.org/rec/conf/amcc/LiuZJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/KhaliliZ12, author = {Fatemeh Khalili and Hamid R. Zarandi}, title = {A Fault-Tolerant Low-Energy Multi-Application Mapping onto NoC-based Multiprocessors}, booktitle = {15th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2012, Paphos, Cyprus, December 5-7, 2012}, pages = {421--428}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICCSE.2012.65}, doi = {10.1109/ICCSE.2012.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/KhaliliZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/JainYS12, author = {Tushar Jain and Joseph{-}Julien Yam{\'{e}} and Dominique Sauter}, title = {Case study on behavioral approach to fault-tolerant control: Application to an electric circuit}, booktitle = {12th International Conference on Control Automation Robotics {\&} Vision, {ICARCV} 2012, Guangzhou, China, December 5-7, 2012}, pages = {311--316}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICARCV.2012.6485177}, doi = {10.1109/ICARCV.2012.6485177}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/JainYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/JinFZJ12, author = {Ying Jin and Jun Fu and Youmin Zhang and Yuanwei Jing}, editor = {Chun{-}Yi Su and Subhash Rakheja and Honghai Liu}, title = {Fault-Tolerant Control of a Class of Switched Nonlinear Systems with Application to Flight Control}, booktitle = {Intelligent Robotics and Applications - 5th International Conference, {ICIRA} 2012, Montreal, Canada, October 3-5, 2012, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7506}, pages = {453--462}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33509-9\_45}, doi = {10.1007/978-3-642-33509-9\_45}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icira/JinFZJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/ToscaniCBPF12, author = {Andrea Toscani and Paolo Cova and Fulvio Bertoluzza and Nicola Pini and Giovanni Franceschini}, title = {Fault tolerant digital control of 2 {MVA} parallelable frequency converters for harbor applications}, booktitle = {38th Annual Conference on {IEEE} Industrial Electronics Society, {IECON} 2012, Montreal, QC, Canada, October 25-28, 2012}, pages = {416--421}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IECON.2012.6388785}, doi = {10.1109/IECON.2012.6388785}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iecon/ToscaniCBPF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/YaoWCTS12, author = {Erlin Yao and Rui Wang and Mingyu Chen and Guangming Tan and Ninghui Sun}, title = {A Case Study of Designing Efficient Algorithm-based Fault Tolerant Application for Exascale Parallelism}, booktitle = {26th {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2012, Shanghai, China, May 21-25, 2012}, pages = {438--448}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/IPDPS.2012.48}, doi = {10.1109/IPDPS.2012.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/YaoWCTS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/CaiPJZ12, author = {Haibin Cai and Chao Peng and Linhua Jiang and Yue Zhang}, title = {A Novel Self-Adaptive Fault-Tolerant Mechanism and Its Application for a Dynamic Pervasive Computing Environment}, booktitle = {15th {IEEE} International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing Workshops, {ISORC} Workshops 2012, Shenzhen, China, April 11, 2012}, pages = {48--52}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISORCW.2012.19}, doi = {10.1109/ISORCW.2012.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/CaiPJZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itaero/LiPL12, author = {Junquan Li and Mark A. Post and Regina Li}, title = {Real Time Fault Tolerant Nonlinear Attitude Control System for Nanosatellite Applications}, booktitle = {Infotech@Aerospace 2012, Garden Grove, California, USA, June 19-21, 2012}, year = {2012}, url = {https://doi.org/10.2514/6.2012-2428}, doi = {10.2514/6.2012-2428}, timestamp = {Wed, 13 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itaero/LiPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/serene/FayollasFNPD12, author = {Camille Fayollas and Jean{-}Charles Fabre and David Navarre and Philippe A. Palanque and Yannick Deleris}, editor = {Paris Avgeriou}, title = {Fault-Tolerant Interactive Cockpits for Critical Applications: Overall Approach}, booktitle = {Software Engineering for Resilient Systems - 4th International Workshop, {SERENE} 2012, Pisa, Italy, September 27-28, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7527}, pages = {32--46}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33176-3\_3}, doi = {10.1007/978-3-642-33176-3\_3}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/serene/FayollasFNPD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Juliato11, author = {Marcio Juliato}, title = {Fault Tolerant Cryptographic Primitives for Space Applications}, school = {University of Waterloo, Ontario, Canada}, year = {2011}, url = {https://hdl.handle.net/10012/5876}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Juliato11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Ho11, author = {Chi Ho}, title = {Reducing Costs of Byzantine Fault Tolerant Distributed Applications}, school = {Cornell University, {USA}}, year = {2011}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Ho11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cis/Fekih11, author = {Afef Fekih}, title = {Integrated Fault Tolerant Flight Control Design with Application to an {F-16} Aircraft}, journal = {Control. Intell. Syst.}, volume = {39}, number = {3}, year = {2011}, url = {https://doi.org/10.2316/Journal.201.2011.3.201-2208}, doi = {10.2316/JOURNAL.201.2011.3.201-2208}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cis/Fekih11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssp/XuJTG11, author = {Yufei Xu and Bin Jiang and Gang Tao and Zhifeng Gao}, title = {Fault Tolerant Control for a Class of Nonlinear Systems with Application to Near Space Vehicle}, journal = {Circuits Syst. Signal Process.}, volume = {30}, number = {3}, pages = {655--672}, year = {2011}, url = {https://doi.org/10.1007/s00034-010-9239-8}, doi = {10.1007/S00034-010-9239-8}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssp/XuJTG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/CharrCL11, author = {Jean{-}Claude Charr and Rapha{\"{e}}l Couturier and David Laiymani}, title = {{JACEP2P-V2:} {A} fully decentralized and fault tolerant environment for executing parallel iterative asynchronous applications on volatile distributed architectures}, journal = {Future Gener. Comput. Syst.}, volume = {27}, number = {5}, pages = {606--613}, year = {2011}, url = {https://doi.org/10.1016/j.future.2010.04.013}, doi = {10.1016/J.FUTURE.2010.04.013}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/CharrCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/JungHYK11, author = {Hyungsoo Jung and Hyuck Han and Heon Young Yeom and Sooyong Kang}, title = {Athanasia: {A} User-Transparent and Fault-Tolerant System for Parallel Applications}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {10}, pages = {1653--1668}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2011.63}, doi = {10.1109/TPDS.2011.63}, timestamp = {Tue, 29 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/JungHYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/SojoudiLM11, author = {Somayeh Sojoudi and Javad Lavaei and Richard M. Murray}, title = {Fault-tolerant controller design with applications in power systems and synthetic biology}, booktitle = {American Control Conference, {ACC} 2011, San Francisco, CA, USA, June 29 - July 1, 2011}, pages = {4135--4142}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ACC.2011.5991381}, doi = {10.1109/ACC.2011.5991381}, timestamp = {Wed, 08 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/SojoudiLM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/FangLL11, author = {Yuntan Fang and Huawei Li and Xiaowei Li}, title = {A Fault Criticality Evaluation Framework of Digital Systems for Error Tolerant Video Applications}, booktitle = {Proceedings of the 20th {IEEE} Asian Test Symposium, {ATS} 2011, New Delhi, India, November 20-23, 2011}, pages = {329--334}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ATS.2011.72}, doi = {10.1109/ATS.2011.72}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ats/FangLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/DjeghaliGDB11, author = {Nadia Djeghali and Malek Ghanes and Sa{\"{\i}}d Djennoune and Jean{-}Pierre Barbot}, title = {Backstepping fault tolerant control based on second order sliding mode observer: Application to induction motors}, booktitle = {50th {IEEE} Conference on Decision and Control and European Control Conference, 11th European Control Conference, {CDC/ECC} 2011, Orlando, FL, USA, December 12-15, 2011}, pages = {4598--4603}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CDC.2011.6161224}, doi = {10.1109/CDC.2011.6161224}, timestamp = {Wed, 24 Feb 2021 08:49:08 +0100}, biburl = {https://dblp.org/rec/conf/cdc/DjeghaliGDB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/WangW11, author = {Rongrong Wang and Junmin Wang}, title = {Passive fault-tolerant control of a class of over-actuated nonlinear systems and applications to electric vehicles}, booktitle = {50th {IEEE} Conference on Decision and Control and European Control Conference, 11th European Control Conference, {CDC/ECC} 2011, Orlando, FL, USA, December 12-15, 2011}, pages = {2263--2268}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CDC.2011.6161421}, doi = {10.1109/CDC.2011.6161421}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/WangW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cluster/MenesesKB11, author = {Esteban Meneses and Laxmikant V. Kal{\'{e}} and Greg Bronevetsky}, title = {Dynamic Load Balance for Optimized Message Logging in Fault Tolerant {HPC} Applications}, booktitle = {2011 {IEEE} International Conference on Cluster Computing (CLUSTER), Austin, TX, USA, September 26-30, 2011}, pages = {281--289}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CLUSTER.2011.39}, doi = {10.1109/CLUSTER.2011.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cluster/MenesesKB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ets/KologeskiCCK11, author = {Anelise Kologeski and Caroline Concatto and Luigi Carro and Fernanda Lima Kastensmidt}, title = {Improving Reliability in NoCs by Application-Specific Mapping Combined with Adaptive Fault-Tolerant Method in the Links}, booktitle = {16th European Test Symposium, {ETS} 2011, Trondheim, Norway, May 23-27, 2011}, pages = {123--128}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ETS.2011.62}, doi = {10.1109/ETS.2011.62}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ets/KologeskiCCK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fusion/SinhaMLMB11, author = {Abhijit Sinha and Abir Mukherjee and Xia Liu and Simon Monckton and Gregory Broten}, title = {A fault tolerant state estimation framework with application to {UGV} navigation in complex terrain}, booktitle = {Proceedings of the 14th International Conference on Information Fusion, {FUSION} 2011, Chicago, Illinois, USA, July 5-8, 2011}, pages = {1--8}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/5977460/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fusion/SinhaMLMB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/GarciaKC11, author = {Gonzalo Andres Garcia and Shawn Shahriar Keshmiri and Richard D. Colgren}, title = {H-Infinity gain scheduling design for the meridian {UAS} for a broader range of operation and for fault tolerant applications}, booktitle = {9th {IEEE} International Conference on Control and Automation, {ICCA} 2011, Santiago, Chile, December 19-21, 2011}, pages = {1174--1180}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICCA.2011.6137962}, doi = {10.1109/ICCA.2011.6137962}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icca/GarciaKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsce/MidFY11, author = {E. C. Mid and A. H. Faranadia and S. S. Yang}, title = {Application of model based sensor fault tolerant control system}, booktitle = {2011 {IEEE} International Conference on Control System, Computing and Engineering, Penang, Malaysia, November 25-27, 2011}, pages = {150--155}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ICCSCE.2011.6190513}, doi = {10.1109/ICCSCE.2011.6190513}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/iccsce/MidFY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdipc/TabbaaEM11, author = {Nabil Tabbaa and Reza Entezari{-}Maleki and Ali Movaghar}, editor = {V{\'{a}}clav Sn{\'{a}}sel and Jan Platos and Eyas El{-}Qawasmeh}, title = {A Fault Tolerant Scheduling Algorithm for {DAG} Applications in Cluster Environments}, booktitle = {Digital Information Processing and Communications - International Conference , {ICDIPC} 2011, Ostrava, Czech Republic, July 7-9, 2011, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {188}, pages = {189--199}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-22389-1\_18}, doi = {10.1007/978-3-642-22389-1\_18}, timestamp = {Wed, 12 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdipc/TabbaaEM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/HurseyG11a, author = {Joshua Hursey and Richard L. Graham}, title = {Building a Fault Tolerant {MPI} Application: {A} Ring Communication Example}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May 2011 - Workshop Proceedings}, pages = {1549--1556}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.308}, doi = {10.1109/IPDPS.2011.308}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/HurseyG11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuSZ11, author = {Zhiyi Yu and Zewen Shi and Xiaoyang Zeng}, title = {Fault tolerant computing for stream {DSP} applications using {GALS} multi-core processors}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2305--2308}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938063}, doi = {10.1109/ISCAS.2011.5938063}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/YuSZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LungCSC11, author = {Chiao{-}Ling Lung and Jui{-}Hung Chien and Yiyu Shi and Shih{-}Chieh Chang}, title = {{TSV} fault-tolerant mechanisms with application to 3D clock networks}, booktitle = {International SoC Design Conference, {ISOCC} 2011, Jeju, South Korea, November 17-18, 2011}, pages = {127--130}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISOCC.2011.6138663}, doi = {10.1109/ISOCC.2011.6138663}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LungCSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/GaltierMV11, author = {Virginie Galtier and Constantinos Makassikis and St{\'{e}}phane Vialle}, editor = {Yiannis Cotronis and Marco Danelutto and George Angelos Papadopoulos}, title = {A Javaspace-Based Framework for Efficient Fault-Tolerant Master-Worker Distributed Applications}, booktitle = {Proceedings of the 19th International Euromicro Conference on Parallel, Distributed and Network-based Processing, {PDP} 2011, Ayia Napa, Cyprus, 9-11 February 2011}, pages = {272--276}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/PDP.2011.82}, doi = {10.1109/PDP.2011.82}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/GaltierMV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/ZhengKCHCL11, author = {Yi{-}Xue Zheng and Po{-}Ping Kan and Liang{-}Bi Chen and Kai{-}Yang Hsieh and Bo{-}Chuan Cheng and Katherine Shu{-}Min Li}, title = {Fault tolerant application-specific NoC topology synthesis for three-dimensional integrated circuits}, booktitle = {{IEEE} 24th International SoC Conference, {SOCC} 2011, Taipei, Taiwan, September 26-28, 2011}, pages = {296--301}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/SOCC.2011.6085088}, doi = {10.1109/SOCC.2011.6085088}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/ZhengKCHCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@book{DBLP:phd/de/Walter10, author = {Maximilian F. L. Walter}, title = {Application-oriented evaluation of fault-tolerant systems}, year = {2010}, url = {https://mediatum.ub.tum.de/821238}, timestamp = {Fri, 20 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/de/Walter10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amcs/Puig10, author = {Vicen{\c{c}} Puig}, title = {Fault diagnosis and fault tolerant control using set-membership approaches: Application to real case studies}, journal = {Int. J. Appl. Math. Comput. Sci.}, volume = {20}, number = {4}, pages = {619--635}, year = {2010}, url = {https://doi.org/10.2478/v10006-010-0046-y}, doi = {10.2478/V10006-010-0046-Y}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/amcs/Puig10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/AbbesCJ10, author = {Heithem Abbes and Christophe C{\'{e}}rin and Mohamed Jemni}, title = {A decentralized and fault-tolerant Desktop Grid system for distributed applications}, journal = {Concurr. Comput. Pract. Exp.}, volume = {22}, number = {3}, pages = {261--277}, year = {2010}, url = {https://doi.org/10.1002/cpe.1477}, doi = {10.1002/CPE.1477}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/AbbesCJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/evs/ChivalaMSC10, author = {Davyd da Cruz Chivala and Lu{\'{\i}}s F. Mendon{\c{c}}a and Jo{\~{a}}o M. C. Sousa and Jos{\'{e}} M. G. S{\'{a}} da Costa}, title = {Application of evolving fuzzy modeling to fault tolerant control}, journal = {Evol. Syst.}, volume = {1}, number = {4}, pages = {209--223}, year = {2010}, url = {https://doi.org/10.1007/s12530-010-9019-5}, doi = {10.1007/S12530-010-9019-5}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/evs/ChivalaMSC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/ShiPRJJ10, author = {Xuanhua Shi and Jean{-}Louis Pazat and Eric Rodriguez and Hai Jin and Hongbo Jiang}, title = {Adapting grid applications to safety using fault-tolerant methods: Design, implementation and evaluations}, journal = {Future Gener. Comput. Syst.}, volume = {26}, number = {2}, pages = {236--244}, year = {2010}, url = {https://doi.org/10.1016/j.future.2009.07.015}, doi = {10.1016/J.FUTURE.2009.07.015}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/ShiPRJJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/WuSZS10, author = {Yi{-}Lei Wu and Fuchun Sun and Jinchuan Zheng and Qing Song}, title = {A robust training algorithm of discrete-time {MIMO} {RNN} and application in fault tolerant control of robotic system}, journal = {Neural Comput. Appl.}, volume = {19}, number = {7}, pages = {1013--1027}, year = {2010}, url = {https://doi.org/10.1007/s00521-010-0343-2}, doi = {10.1007/S00521-010-0343-2}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nca/WuSZS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LilloEWKGOH10, author = {Liliana de Lillo and Lee Empringham and Pat Wheeler and Sudarat Khwan{-}on and Chris Gerada and M. Nazri Othman and Xiaoyan Huang}, title = {Multiphase Power Converter Drive for Fault-Tolerant Machine Development in Aerospace Applications}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {57}, number = {2}, pages = {575--583}, year = {2010}, url = {https://doi.org/10.1109/TIE.2009.2036026}, doi = {10.1109/TIE.2009.2036026}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LilloEWKGOH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEisic/GaoJ0S10, author = {Zhifeng Gao and Bin Jiang and Youmin Zhang and Fuchun Sun}, title = {Active fault-tolerant control design for {T-S} fuzzy systems with application to a near space vehicle}, booktitle = {2010 {IEEE} International Symposium on Intelligent Control, {ISIC} 2010, Yokohama, Japan, September 8-10, 2010}, pages = {123--128}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISIC.2010.5612853}, doi = {10.1109/ISIC.2010.5612853}, timestamp = {Wed, 20 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEisic/GaoJ0S10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/SunGE10, author = {Yulei Sun and Sathyendra Ghantasala and Nael H. El{-}Farra}, title = {Monitoring and fault-tolerant control of distributed power generation: Application to solid oxide fuel cells}, booktitle = {American Control Conference, {ACC} 2010, Baltimore, Maryland, USA, June 30 - July 2, 2010}, pages = {448--453}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ACC.2010.5531012}, doi = {10.1109/ACC.2010.5531012}, timestamp = {Sun, 08 Aug 2021 01:40:56 +0200}, biburl = {https://dblp.org/rec/conf/amcc/SunGE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/XuXL10, author = {Jun Xu and Lihua Xie and Kai Yew Lum}, title = {Extended blending techniques with applications in robust tracking control and fault-tolerant control}, booktitle = {11th International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2010, Singapore, 7-10 December 2010, Proceedings}, pages = {911--916}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICARCV.2010.5707876}, doi = {10.1109/ICARCV.2010.5707876}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/XuXL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/ZhengZLK10, author = {Zibin Zheng and Tom Chao Zhou and Michael R. Lyu and Irwin King}, title = {FTCloud: {A} Component Ranking Framework for Fault-Tolerant Cloud Applications}, booktitle = {{IEEE} 21st International Symposium on Software Reliability Engineering, {ISSRE} 2010, San Jose, CA, USA, 1-4 November 2010}, pages = {398--407}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ISSRE.2010.28}, doi = {10.1109/ISSRE.2010.28}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/issre/ZhengZLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/FadiAHM10, author = {Fadi M. Al{-}Turjman and Ashraf E. Al{-}Fagih and Hossam S. Hassanein and Mohamed Ibnkahla}, title = {Deploying fault-tolerant grid-based wireless sensor networks for environmental applications}, booktitle = {The 35th Annual {IEEE} Conference on Local Computer Networks, {LCN} 2010, 10-14 October 2010, Denver, Colorado, USA, Proceedings}, pages = {715--722}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/LCN.2010.5735798}, doi = {10.1109/LCN.2010.5735798}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/FadiAHM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/MakassikisGV10, author = {Constantinos Makassikis and Virginie Galtier and St{\'{e}}phane Vialle}, title = {A Skeletal-Based Approach for the Development of Fault-Tolerant {SPMD} Applications}, booktitle = {2010 International Conference on Parallel and Distributed Computing, Applications and Technologies, {PDCAT} 2010, Wuhan, China, 8-11 December, 2010}, pages = {239--248}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/PDCAT.2010.89}, doi = {10.1109/PDCAT.2010.89}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/MakassikisGV10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/BalasubramanianGDWLGS10, author = {Jaiganesh Balasubramanian and Aniruddha S. Gokhale and Abhishek Dubey and Friedhelm Wolf and Chenyang Lu and Christopher D. Gill and Douglas C. Schmidt}, editor = {Marco Caccamo}, title = {Middleware for Resource-Aware Deployment and Configuration of Fault-Tolerant Real-time Systems}, booktitle = {16th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2010, Stockholm, Sweden, April 12-15, 2010}, pages = {69--78}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/RTAS.2010.30}, doi = {10.1109/RTAS.2010.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/BalasubramanianGDWLGS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/SaraswatPM10, author = {Prabhat Kumar Saraswat and Paul Pop and Jan Madsen}, editor = {Marco Caccamo}, title = {Task Mapping and Bandwidth Reservation for Mixed Hard/Soft Fault-Tolerant Embedded Systems}, booktitle = {16th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2010, Stockholm, Sweden, April 12-15, 2010}, pages = {89--98}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/RTAS.2010.31}, doi = {10.1109/RTAS.2010.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/SaraswatPM10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/arc/MuenchhofBI09, author = {Marco Muenchhof and Mark Beck and Rolf Isermann}, title = {Fault-tolerant actuators and drives - Structures, fault detection principles and applications}, journal = {Annu. Rev. Control.}, volume = {33}, number = {2}, pages = {136--148}, year = {2009}, url = {https://doi.org/10.1016/j.arcontrol.2009.08.002}, doi = {10.1016/J.ARCONTROL.2009.08.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/arc/MuenchhofBI09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/AyyubAEGT09, author = {Shahaan Ayyub and David Abramson and Colin Enticott and Slavisa Garic and Jefferson Tan}, title = {Fault-tolerant execution of large parameter sweep applications across multiple VOs with storage constraints}, journal = {Concurr. Comput. Pract. Exp.}, volume = {21}, number = {3}, pages = {377--392}, year = {2009}, url = {https://doi.org/10.1002/cpe.1353}, doi = {10.1002/CPE.1353}, timestamp = {Tue, 20 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/AyyubAEGT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iajit/Badawi09, author = {Usama Badawi}, title = {{TS-PVM:} a fault tolerant {PVM} extension for real time applications}, journal = {Int. Arab J. Inf. Technol.}, volume = {6}, number = {4}, pages = {424--430}, year = {2009}, url = {http://iajit.org/index.php?option=com\_content\&task=blogcategory\&id=0\&Itemid=295}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iajit/Badawi09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/LeePL09, author = {Guanling Lee and Sheng{-}Lung Peng and Yuh{-}Tzu Lin}, title = {Proportional fault-tolerant data mining with applications to bioinformatics}, journal = {Inf. Syst. Frontiers}, volume = {11}, number = {4}, pages = {461--469}, year = {2009}, url = {https://doi.org/10.1007/s10796-009-9158-z}, doi = {10.1007/S10796-009-9158-Z}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isf/LeePL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooLY09, author = {Jerald Yoo and Seulki Lee and Hoi{-}Jun Yoo}, title = {A 1.12 pJ/b Inductive Transceiver With a Fault-Tolerant Network Switch for Multi-Layer Wearable Body Area Network Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {11}, pages = {2999--3010}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2028952}, doi = {10.1109/JSSC.2009.2028952}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YooLY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adhs/0001C009, author = {Hao Yang and Vincent Cocquempot and Bin Jiang}, editor = {Alessandro Giua and Cristian Mahulea and Manuel Silva and Janan Zaytoon}, title = {Dissipativity of Switched Systems With Application to Supervisory Fault Tolerant Control}, booktitle = {3rd {IFAC} Conference on Analysis and Design of Hybrid Systems, {ADHS} 2009, Zaragoza, Spain, September 16-18, 2009}, series = {{IFAC} Proceedings Volumes}, volume = {42}, number = {17}, pages = {340--345}, publisher = {Elsevier}, year = {2009}, url = {https://doi.org/10.3182/20090916-3-ES-3003.00059}, doi = {10.3182/20090916-3-ES-3003.00059}, timestamp = {Thu, 11 Oct 2018 11:27:01 +0200}, biburl = {https://dblp.org/rec/conf/adhs/0001C009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/YeZWR09, author = {Sijun Ye and Youmin Zhang and Xinmin Wang and Camille Alain Rabbath}, title = {Robust Fault-Tolerant Control using on-line control re-allocation with application to aircraft}, booktitle = {American Control Conference, {ACC} 2009. St. Louis, Missouri, USA, June 10-12, 2009}, pages = {5534--5539}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ACC.2009.5160615}, doi = {10.1109/ACC.2009.5160615}, timestamp = {Fri, 03 Dec 2021 13:02:58 +0100}, biburl = {https://dblp.org/rec/conf/amcc/YeZWR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/SullivanLR09, author = {Margaret A. Sullivan and Herschel H. Loomis Jr. and Alan A. Ross}, editor = {Kenneth L. Pocek and Duncan A. Buell}, title = {Employment of Reduced Precision Redundancy for Fault Tolerant {FPGA} Applications}, booktitle = {{FCCM} 2009, 17th {IEEE} Symposium on Field Programmable Custom Computing Machines, Napa, California, USA, 5-7 April 2009, Proceedings}, pages = {283--286}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FCCM.2009.53}, doi = {10.1109/FCCM.2009.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/SullivanLR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/DemighaBAM09, author = {Walid Demigha and Nadjib Badache and Mohamed Aissani and Abdelhamid Mellouk}, title = {Fault-Tolerant Prediction-Based Scheme for Target Tracking Application}, booktitle = {Proceedings of the Global Communications Conference, 2009. {GLOBECOM} 2009, Honolulu, Hawaii, USA, 30 November - 4 December 2009}, pages = {1--6}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/GLOCOM.2009.5426034}, doi = {10.1109/GLOCOM.2009.5426034}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/DemighaBAM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/CharrCL09, author = {Jean{-}Claude Charr and Rapha{\"{e}}l Couturier and David Laiymani}, editor = {Nabil Abdennadher and Dana Petcu}, title = {{JACEP2P-V2:} {A} Fully Decentralized and Fault Tolerant Environment for Executing Parallel Iterative Asynchronous Applications on Volatile Distributed Architectures}, booktitle = {Advances in Grid and Pervasive Computing, 4th International Conference, {GPC} 2009, Geneva, Switzerland, May 4-8, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5529}, pages = {446--458}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01671-4\_40}, doi = {10.1007/978-3-642-01671-4\_40}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/gpc/CharrCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/ChayehCJ09, author = {Rim Chayeh and Christophe C{\'{e}}rin and Mohamed Jemni}, editor = {Nabil Abdennadher and Dana Petcu}, title = {A Probabilistic Fault-Tolerant Recovery Mechanism for Task and Result Certification of Large-Scale Distributed Applications}, booktitle = {Advances in Grid and Pervasive Computing, 4th International Conference, {GPC} 2009, Geneva, Switzerland, May 4-8, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5529}, pages = {471--482}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-01671-4\_42}, doi = {10.1007/978-3-642-01671-4\_42}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gpc/ChayehCJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcn/JohnenH09, author = {Colette Johnen and Lisa Higham}, editor = {Vijay K. Garg and Roger Wattenhofer and Kishore Kothapalli}, title = {Fault-Tolerant Implementations of Regular Registers by Safe Registers with Applications to Networks}, booktitle = {Distributed Computing and Networking, 10th International Conference, {ICDCN} 2009, Hyderabad, India, January 3-6, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5408}, pages = {337--348}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-540-92295-7\_41}, doi = {10.1007/978-3-540-92295-7\_41}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/icdcn/JohnenH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcn/OprescuKF09, author = {Ana{-}Maria Oprescu and Thilo Kielmann and Wan J. Fokkink}, editor = {Vijay K. Garg and Roger Wattenhofer and Kishore Kothapalli}, title = {FTRepMI: Fault-Tolerant, Sequentially-Consistent Object Replication for Grid Applications}, booktitle = {Distributed Computing and Networking, 10th International Conference, {ICDCN} 2009, Hyderabad, India, January 3-6, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5408}, pages = {368--376}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-540-92295-7\_44}, doi = {10.1007/978-3-540-92295-7\_44}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdcn/OprescuKF09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iceccs/BryansFRR09, author = {Jeremy W. Bryans and John S. Fitzgerald and Alexander B. Romanovsky and Andreas Roth}, title = {Formal Modelling and Analysis of Business Information Applications with Fault Tolerant Middleware}, booktitle = {14th {IEEE} International Conference on Engineering of Complex Computer Systems, {ICECCS} 2009, Potsdam, Germany, 2-4 June 2009}, pages = {68--77}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICECCS.2009.29}, doi = {10.1109/ICECCS.2009.29}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iceccs/BryansFRR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/RhodC09, author = {Eduardo Luis Rhod and Luigi Carro}, title = {A Low Cost Low Power Quaternary {LUT} Cell for Fault Tolerant Applications in Future Technologies}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2009, 13-15 May 2009, Tampa, Florida, {USA}}, pages = {292--297}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISVLSI.2009.34}, doi = {10.1109/ISVLSI.2009.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/RhodC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/BracFVM09, author = {Ezequiel Brac and Pablo A. Ferreyra and Raoul Velazco and Carlos A. Marqu{\'{e}}s}, title = {Test and qualification of a Fault Tolerant {FPGA} based Active Antenna System for space applications}, booktitle = {10th Latin American Test Workshop, {LATW} 2009, Rio de Janeiro, Brazil, March 2-5, 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/LATW.2009.4813814}, doi = {10.1109/LATW.2009.4813814}, timestamp = {Tue, 01 Aug 2023 13:48:54 +0200}, biburl = {https://dblp.org/rec/conf/latw/BracFVM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/otm/FrantzCM09, author = {Rafael Z. Frantz and Rafael Corchuelo and Carlos Molina{-}Jim{\'{e}}nez}, editor = {Robert Meersman and Pilar Herrero and Tharam S. Dillon}, title = {Towards a Fault-Tolerant Architecture for Enterprise Application Integration Solutions}, booktitle = {On the Move to Meaningful Internet Systems: {OTM} 2009 Workshops, Confederated International Workshops and Posters, ADI, CAMS, EI2N, ISDE, IWSSA, MONET, OnToContent, ODIS, ORM, {OTM} Academy, SWWS, SEMELS, Beyond SAWSDL, and {COMBEK} 2009, Vilamoura, Portugal, November 1-6, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5872}, pages = {294--303}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-05290-3\_42}, doi = {10.1007/978-3-642-05290-3\_42}, timestamp = {Thu, 14 Oct 2021 10:28:27 +0200}, biburl = {https://dblp.org/rec/conf/otm/FrantzCM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/weah/TeerakittikulTT09, author = {Pitiwut Teerakittikul and Gianluca Tempesti and Andy M. Tyrrell}, title = {The application of evolvable hardware to fault tolerant robot control}, booktitle = {2009 {IEEE} Workshop on Evolvable and Adaptive Hardware, {WEAH} 2009, Nashville, TN, USA, March 30, 2009}, pages = {1--8}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/WEAH.2009.4925661}, doi = {10.1109/WEAH.2009.4925661}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/weah/TeerakittikulTT09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:reference/db/X09ur, editor = {Ling Liu and M. Tamer {\"{O}}zsu}, title = {Fault Tolerant Applications}, booktitle = {Encyclopedia of Database Systems}, pages = {1109}, publisher = {Springer {US}}, year = {2009}, url = {https://doi.org/10.1007/978-0-387-39940-9\_2625}, doi = {10.1007/978-0-387-39940-9\_2625}, timestamp = {Sun, 02 Jun 2019 21:10:27 +0200}, biburl = {https://dblp.org/rec/reference/db/X09ur.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LuckowS08, author = {Andr{\'{e}} Luckow and Bettina Schnor}, title = {Migol: {A} fault-tolerant service framework for {MPI} applications in the grid}, journal = {Future Gener. Comput. Syst.}, volume = {24}, number = {2}, pages = {142--152}, year = {2008}, url = {https://doi.org/10.1016/j.future.2007.03.007}, doi = {10.1016/J.FUTURE.2007.03.007}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LuckowS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/HoferF08, author = {J{\"{u}}rgen Hofer and Thomas Fahringer}, title = {Synthesizing Byzantine Fault-Tolerant Grid Application Wrapper Services}, booktitle = {8th {IEEE} International Symposium on Cluster Computing and the Grid (CCGrid 2008), 19-22 May 2008, Lyon, France}, pages = {467--474}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/CCGRID.2008.26}, doi = {10.1109/CCGRID.2008.26}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/HoferF08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/SterponeATG08, author = {Luca Sterpone and M. A. Aguirre and Jonathan Noel Tombs and Hip{\'{o}}lito Guzm{\'{a}}n{-}Miranda}, editor = {Donatella Sciuto}, title = {On the design of tunable fault tolerant circuits on SRAM-based FPGAs for safety critical applications}, booktitle = {Design, Automation and Test in Europe, {DATE} 2008, Munich, Germany, March 10-14, 2008}, pages = {336--341}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1109/DATE.2008.4484702}, doi = {10.1109/DATE.2008.4484702}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/SterponeATG08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/ShiPRJJ08, author = {Xuanhua Shi and Jean{-}Louis Pazat and Eric Rodriguez and Hai Jin and Hongbo Jiang}, editor = {Manish Parashar and Karsten Schwan and Jon B. Weissman and Domenico Laforenza}, title = {Dynasa: adapting grid applications to safety using fault-tolerant methods}, booktitle = {Proceedings of the 17th International Symposium on High-Performance Distributed Computing {(HPDC-17} 2008), 23-27 June 2008, Boston, MA, {USA}}, pages = {237--238}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1383422.1383463}, doi = {10.1145/1383422.1383463}, timestamp = {Fri, 13 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/ShiPRJJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/BansalBJPTV08, author = {Nikhil Bansal and Ranjita Bhagwan and Navendu Jain and Yoonho Park and Deepak S. Turaga and Chitra Venkatramani}, title = {Towards Optimal Resource Allocation in Partial-Fault Tolerant Applications}, booktitle = {{INFOCOM} 2008. 27th {IEEE} International Conference on Computer Communications, Joint Conference of the {IEEE} Computer and Communications Societies, 13-18 April 2008, Phoenix, AZ, {USA}}, pages = {1319--1327}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/INFOCOM.2008.189}, doi = {10.1109/INFOCOM.2008.189}, timestamp = {Tue, 15 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/infocom/BansalBJPTV08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/HamidRLJGT08, author = {Brahim Hamid and Ansgar Radermacher and Agnes Lanusse and Christophe Jouvray and S{\'{e}}bastien G{\'{e}}rard and Fran{\c{c}}ois Terrier}, editor = {Uwe Brinkschulte and Tony Givargis and Stefano Russo}, title = {Designing Fault-Tolerant Component Based Applications with a Model Driven Approach}, booktitle = {Software Technologies for Embedded and Ubiquitous Systems, 6th {IFIP} {WG} 10.2 International Workshop, {SEUS} 2008, Anacarpi, Capri Island, Italy, October 1-3, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5287}, pages = {9--20}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-87785-1\_2}, doi = {10.1007/978-3-540-87785-1\_2}, timestamp = {Wed, 22 Mar 2023 16:53:33 +0100}, biburl = {https://dblp.org/rec/conf/seus/HamidRLJGT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/Bilbao-CastroGF07, author = {Jose{-}Roman Bilbao{-}Castro and Inmaculada Garc{\'{\i}}a and Jos{\'{e}}{-}Jes{\'{u}}s Fern{\'{a}}ndez}, title = {EGEETomo: a user-friendly, fault-tolerant and grid-enabled application for 3D reconstruction in electron tomography}, journal = {Bioinform.}, volume = {23}, number = {24}, pages = {3391--3393}, year = {2007}, url = {https://doi.org/10.1093/bioinformatics/btm459}, doi = {10.1093/BIOINFORMATICS/BTM459}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/Bilbao-CastroGF07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/VeeravalliCP07, author = {Bharadwaj Veeravalli and Chaoyang Chen and Viktor K. Prasanna}, title = {Fault-tolerant analysis for multiple servers movie retrieval strategy for distributed multimedia applications}, journal = {Multim. Tools Appl.}, volume = {32}, number = {1}, pages = {1--27}, year = {2007}, url = {https://doi.org/10.1007/s11042-006-0052-0}, doi = {10.1007/S11042-006-0052-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/VeeravalliCP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/GaoB007, author = {Zhiwei Gao and Tim Breikin and Hong Wang}, title = {High-Gain Estimator and Fault-Tolerant Design With Application to a Gas Turbine Dynamic System}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {15}, number = {4}, pages = {740--753}, year = {2007}, url = {https://doi.org/10.1109/TCST.2006.890282}, doi = {10.1109/TCST.2006.890282}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/GaoB007.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/RapoportO07a, author = {Ilia Rapoport and Yaakov Oshman}, title = {Weiss-Weinstein Lower Bounds for Markovian Systems. Part 2: Applications to Fault-Tolerant Filtering}, journal = {{IEEE} Trans. Signal Process.}, volume = {55}, number = {5-2}, pages = {2031--2042}, year = {2007}, url = {https://doi.org/10.1109/TSP.2007.893209}, doi = {10.1109/TSP.2007.893209}, timestamp = {Tue, 10 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsp/RapoportO07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/BenbouzidDZ07, author = {Mohamed El Hachemi Benbouzid and Demba Diallo and Mounir Zeraoulia}, title = {Advanced Fault-Tolerant Control of Induction-Motor Drives for {EV/HEV} Traction Applications: From Conventional to Modern and Intelligent Control Techniques}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {56}, number = {2}, pages = {519--528}, year = {2007}, url = {https://doi.org/10.1109/TVT.2006.889579}, doi = {10.1109/TVT.2006.889579}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/BenbouzidDZ07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ParsaT07, author = {Leila Parsa and Hamid A. Toliyat}, title = {Fault-Tolerant Interior-Permanent-Magnet Machines for Hybrid Electric Vehicle Applications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {56}, number = {4}, pages = {1546--1552}, year = {2007}, url = {https://doi.org/10.1109/TVT.2007.896978}, doi = {10.1109/TVT.2007.896978}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ParsaT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/AlwiE07, author = {Halim Alwi and Christopher Edwards}, title = {Application of Fault Tolerant Control Using Sliding Modes With On-line Control Allocation on a Large Civil Aircraft}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2007, Singapore, October 1-3, 2007}, pages = {1215--1220}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CCA.2007.4389401}, doi = {10.1109/CCA.2007.4389401}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/AlwiE07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comsware/ReddyC07, author = {M. Vivekananda Reddy and Sanjay Chaudhary}, editor = {Sanjoy Paul and Henning Schulzrinne and G. Venkatesh}, title = {Scheduling in Grid: Rescheduling {MPI} applications using a fault-tolerant {MPI} implementation}, booktitle = {Proceedings of the Second International Conference on COMmunication System softWAre and MiddlewaRE {(COMSWARE} 2007), January 7-12, 2007, Bangalore, India}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/COMSWA.2007.382446}, doi = {10.1109/COMSWA.2007.382446}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/comsware/ReddyC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipc/WaltersC07, author = {John Paul Walters and Vipin Chaudhary}, editor = {Srinivas Aluru and Manish Parashar and Ramamurthy Badrinath and Viktor K. Prasanna}, title = {A Scalable Asynchronous Replication-Based Strategy for Fault Tolerant {MPI} Applications}, booktitle = {High Performance Computing - HiPC 2007, 14th International Conference, Goa, India, December 18-21, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4873}, pages = {257--268}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77220-0\_26}, doi = {10.1007/978-3-540-77220-0\_26}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hipc/WaltersC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issac/RochV07, author = {Jean{-}Louis Roch and S{\'{e}}bastien Varrette}, editor = {Marc Moreno Maza and Stephen M. Watt}, title = {Probabilistic certification of divide {\&} conquer algorithms on global computing platforms: application to fault-tolerant exact matrix-vector product}, booktitle = {Parallel Symbolic Computation, {PASCO} 2007, International Workshop, 27-28 July 2007, University of Western Ontario, London, Ontario, Canada}, pages = {88--92}, publisher = {{ACM}}, year = {2007}, url = {https://doi.org/10.1145/1278177.1278191}, doi = {10.1145/1278177.1278191}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issac/RochV07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mse/FayCMC07, author = {Dan Fay and Scott Campbell and Greg Miller and Dan Connors}, title = {Teaching Fault Tolerant {FPGA} Design for Aerospace Applications}, booktitle = {{IEEE} International Conference on Microelectronic Systems Education, {MSE} '07, San Diego, CA, USA, June 3-4, 2007}, pages = {61--62}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MSE.2007.81}, doi = {10.1109/MSE.2007.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mse/FayCMC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/MourinoMGD07, author = {Jos{\'{e}} Carlos Mouri{\~{n}}o and Mar{\'{\i}}a J. Mart{\'{\i}}n and Patricia Gonz{\'{a}}lez and Ramon Doallo}, title = {Fault-tolerant solutions for a {MPI} compute intensive application}, booktitle = {15th Euromicro International Conference on Parallel, Distributed and Network-Based Processing {(PDP} 2007), 7-9 February 2007, Naples, Italy}, pages = {246--253}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PDP.2007.44}, doi = {10.1109/PDP.2007.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/MourinoMGD07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppam/DiazPMGR07, author = {Daniel D{\'{\i}}az and Xo{\'{a}}n C. Pardo and Mar{\'{\i}}a J. Mart{\'{\i}}n and Patricia Gonz{\'{a}}lez and Gabriel Rodr{\'{\i}}guez}, editor = {Roman Wyrzykowski and Jack J. Dongarra and Konrad Karczewski and Jerzy Wasniewski}, title = {{CPPC-G:} Fault-Tolerant Applications on the Grid}, booktitle = {Parallel Processing and Applied Mathematics, 7th International Conference, {PPAM} 2007, Gdansk, Poland, September 9-12, 2007, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {4967}, pages = {852--859}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-68111-3\_90}, doi = {10.1007/978-3-540-68111-3\_90}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppam/DiazPMGR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/grid/TanimuraINTS06, author = {Yusuke Tanimura and Tsutomu Ikegami and Hidemoto Nakada and Yoshio Tanaka and Satoshi Sekiguchi}, title = {Implementation of Fault-Tolerant GridRPC Applications}, journal = {J. Grid Comput.}, volume = {4}, number = {2}, pages = {145--157}, year = {2006}, url = {https://doi.org/10.1007/s10723-006-9044-6}, doi = {10.1007/S10723-006-9044-6}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/grid/TanimuraINTS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/HassanS06, author = {Umair Hassan and Ben Soh}, title = {Fault-tolerant performance checking application for distributed computing and supply chain networks}, journal = {J. Comput. Methods Sci. Eng.}, volume = {6}, number = {5-6}, pages = {217--228}, year = {2006}, url = {https://doi.org/10.3233/jcm-2006-6s201}, doi = {10.3233/JCM-2006-6S201}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcmse/HassanS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ParkL06, author = {Jae{-}Hyun Park and Heung{-}Kyu Lee}, title = {Algebraic analysis of the topological properties of a banyan network and its application in fault-tolerant switching networks}, journal = {J. Parallel Distributed Comput.}, volume = {66}, number = {6}, pages = {773--779}, year = {2006}, url = {https://doi.org/10.1016/j.jpdc.2005.09.001}, doi = {10.1016/J.JPDC.2005.09.001}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ParkL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/JoshiWSK06, author = {Nikhil Joshi and Kaijie Wu and Jayachandran Sundararajan and Ramesh Karri}, title = {Concurrent error detection for involutional functions with applications in fault-tolerant cryptographic hardware design}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {25}, number = {6}, pages = {1163--1169}, year = {2006}, url = {https://doi.org/10.1109/TCAD.2005.855980}, doi = {10.1109/TCAD.2005.855980}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/JoshiWSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/YeY06, author = {Dan Ye and Guang{-}Hong Yang}, title = {Adaptive Fault-Tolerant Tracking Control Against Actuator Faults With Application to Flight Control}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {14}, number = {6}, pages = {1088--1096}, year = {2006}, url = {https://doi.org/10.1109/TCST.2006.883191}, doi = {10.1109/TCST.2006.883191}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/YeY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ubiquity/Saha06c, author = {Goutam Kumar Saha}, title = {Application semantic driven assertions toward fault tolerant computing}, journal = {Ubiquity}, volume = {2006}, number = {June}, pages = {1:1--1:27}, year = {2006}, url = {http://doi.acm.org/10.1145/1143394.1143395}, doi = {10.1145/1143394.1143395}, timestamp = {Wed, 01 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ubiquity/Saha06c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/HallamaLS06, author = {Nicole Hallama and Andr{\'{e}} Luckow and Bettina Schnor}, editor = {Gregory D. Peterson}, title = {Grid Security for Fault Tolerant Grid Applications}, booktitle = {Proceedings of the {ISCA} 19th International Conference on Parallel and Distributed Computing Systems, September 20-11, 2006, San Francisco, California, {USA}}, pages = {76--83}, publisher = {{ISCA}}, year = {2006}, timestamp = {Mon, 09 Aug 2021 16:35:46 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/HallamaLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/Abdel-GelielBG06, author = {Mostafa Ahmed Abdel{-}Geliel and Essameddin Badreddin and Adrian Gambier}, title = {Application of model predictive control for fault tolerant system using dynamic safety margin}, booktitle = {American Control Conference, {ACC} 2006, Minneapolis, MN, USA, 14-16 June, 2006}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ACC.2006.1657598}, doi = {10.1109/ACC.2006.1657598}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/Abdel-GelielBG06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/Chamseddine0O06, author = {Abbas Chamseddine and Hassan Noura and Mustapha Ouladsine}, title = {Sensor fault detection, identification and fault tolerant control: application to active suspension}, booktitle = {American Control Conference, {ACC} 2006, Minneapolis, MN, USA, 14-16 June, 2006}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ACC.2006.1656571}, doi = {10.1109/ACC.2006.1656571}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/Chamseddine0O06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dics/GerlachSH06, author = {Sebastian Gerlach and Basile Schaeli and Roger D. Hersch}, editor = {J{\"{u}}rg Kohlas and Bertrand Meyer and Andr{\'{e}} Schiper}, title = {Fault-Tolerant Parallel Applications with Dynamic Parallel Schedules: {A} Programmer's Perspective}, booktitle = {Dependable Systems: Software, Computing, Networks, Research Results of the {DICS} Program}, series = {Lecture Notes in Computer Science}, volume = {4028}, pages = {195--210}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11808107\_9}, doi = {10.1007/11808107\_9}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/dics/GerlachSH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/IzosimovPEP06, author = {Viacheslav Izosimov and Paul Pop and Petru Eles and Zebo Peng}, title = {Mapping of Fault-Tolerant Applications with Transparency on Distributed Embedded Systems*}, booktitle = {Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik, Croatia}, pages = {313--322}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSD.2006.65}, doi = {10.1109/DSD.2006.65}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/IzosimovPEP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/BezzineGVBBDH06, author = {Sebastien Bezzine and Virginie Galtier and St{\'{e}}phane Vialle and Fran{\c{c}}oise Baude and Mireille Bossy and Viet Dung Doan and Ludovic Henrio}, title = {A Fault Tolerant and Multi-Paradigm Grid Architecture for Time Constrained Problems. Application to Option Pricing in Finance}, booktitle = {Second International Conference on e-Science and Grid Technologies (e-Science 2006), 4-6 December 2006, Amsterdam, The Netherlands}, pages = {49}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/E-SCIENCE.2006.261133}, doi = {10.1109/E-SCIENCE.2006.261133}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eScience/BezzineGVBBDH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/CorradiniSMP06, author = {Maria Letizia Corradini and Giovanni Sammarco and Andrea Manni and Gianfranco Parlangeli}, title = {VRL, a Novel Environment for Control Engineering Practicing: An Application to a Fault Tolerant Control System}, booktitle = {Ninth International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2006, Singapore, 5-8 December 2006, Proceedings}, pages = {1--6}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICARCV.2006.345223}, doi = {10.1109/ICARCV.2006.345223}, timestamp = {Thu, 25 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icarcv/CorradiniSMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/SilveiraW06, author = {Karina Kohl Silveira and Taisy Silva Weber}, title = {As Aspect-Oriented Fault Injection Tool to Test Fault Tolerant Mechanisms of Dependable Java-based Network Applications}, booktitle = {7th Latin American Test Workshop, {LATW} 2006, Buenos Aires, Argentina, March 26-29, 2006}, pages = {159--164}, publisher = {{IEEE}}, year = {2006}, timestamp = {Thu, 27 Jul 2023 13:45:38 +0200}, biburl = {https://dblp.org/rec/conf/latw/SilveiraW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/PlankX06, author = {James S. Plank and Lihao Xu}, title = {Optimizing Cauchy Reed-Solomon Codes for Fault-Tolerant Network Storage Applications}, booktitle = {Fifth {IEEE} International Symposium on Network Computing and Applications, {NCA} 2006, 24-26 July 2006, Cambridge, Massachusetts, {USA}}, pages = {173--180}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/NCA.2006.43}, doi = {10.1109/NCA.2006.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/PlankX06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KomatsuF05, author = {Satoshi Komatsu and Masahiro Fujita}, title = {Low Power and Fault Tolerant Encoding Methods for On-Chip Data Transfer in Practical Applications}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {88-A}, number = {12}, pages = {3282--3289}, year = {2005}, url = {https://doi.org/10.1093/ietfec/e88-a.12.3282}, doi = {10.1093/IETFEC/E88-A.12.3282}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KomatsuF05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aia/Garza-CastanonMO05, author = {Luis E. Garza{-}Casta{\~{n}}{\'{o}}n and Rub{\'{e}}n Morales{-}Men{\'{e}}ndez and Francisco J. Cant{\'{u}} Ortiz}, editor = {M. H. Hamza}, title = {A Framework to Design Fault-Tolerant Mechatronics Systems Under Uncertainty}, booktitle = {{IASTED} International Conference on Artificial Intelligence and Applications, part of the 23rd Multi-Conference on Applied Informatics, Innsbruck, Austria, February 14-16, 2005}, pages = {207--211}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Mon, 17 Oct 2005 14:07:48 +0200}, biburl = {https://dblp.org/rec/conf/aia/Garza-CastanonMO05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/YangCG05, author = {Yan Yang and Leslie Cheung and Leana Golubchik}, editor = {Lois M. L. Delcambre and Genevieve Giuliano}, title = {Data assignment in fault tolerant uploads for digital government applications: a genetic algorithms approach}, booktitle = {Proceedings of the 2005 National Conference on Digital Government Research, {DG.O} 2005, Atlanta, Georgia, USA, May 15-18, 2005}, series = {{ACM} International Conference Proceeding Series}, volume = {89}, pages = {29--38}, publisher = {Digital Government Research Center}, year = {2005}, url = {http://dl.acm.org/citation.cfm?id=1065238}, timestamp = {Fri, 20 Nov 2015 13:56:21 +0100}, biburl = {https://dblp.org/rec/conf/dgo/YangCG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egc/AnglanoC05, author = {Cosimo Anglano and Massimo Canonico}, editor = {Peter M. A. Sloot and Alfons G. Hoekstra and Thierry Priol and Alexander Reinefeld and Marian Bubak}, title = {Fault-Tolerant Scheduling for Bag-of-Tasks Grid Applications}, booktitle = {Advances in Grid Computing - {EGC} 2005, European Grid Conference, Amsterdam, The Netherlands, February 14-16, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3470}, pages = {630--639}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11508380\_64}, doi = {10.1007/11508380\_64}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/egc/AnglanoC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcsw/KimM05, author = {Joong Man Kim and Yoshifumi Manabe}, title = {A Byzantine Fault-Tolerant Mutual Exclusion Algorithm and Its Application to Byzantine Fault-Tolerant Storage Systems}, booktitle = {25th International Conference on Distributed Computing Systems Workshops {(ICDCS} 2005 Workshops), 6-10 June 2005, Columbus, OH, {USA}}, pages = {12--19}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICDCSW.2005.5}, doi = {10.1109/ICDCSW.2005.5}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcsw/KimM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifm/BostromW05, author = {Pontus Bostr{\"{o}}m and Marina Wald{\'{e}}n}, editor = {Judi Romijn and Graeme Smith and Jaco van de Pol}, title = {Development of Fault Tolerant Grid Applications Using Distributed {B}}, booktitle = {Integrated Formal Methods, 5th International Conference, {IFM} 2005, Eindhoven, The Netherlands, November 29 - December 2, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3771}, pages = {167--186}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11589976\_11}, doi = {10.1007/11589976\_11}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifm/BostromW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GerlachH05, author = {Sebastian Gerlach and Roger D. Hersch}, title = {Fault-Tolerant Parallel Applications with Dynamic Parallel Schedules}, booktitle = {19th International Parallel and Distributed Processing Symposium {(IPDPS} 2005), {CD-ROM} / Abstracts Proceedings, 4-8 April 2005, Denver, CO, {USA}}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/IPDPS.2005.226}, doi = {10.1109/IPDPS.2005.226}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/GerlachH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pvm/LuckowS05, author = {Andr{\'{e}} Luckow and Bettina Schnor}, editor = {Beniamino Di Martino and Dieter Kranzlm{\"{u}}ller and Jack J. Dongarra}, title = {Migol: {A} Fault-Tolerant Service Framework for {MPI} Applications in the Grid}, booktitle = {Recent Advances in Parallel Virtual Machine and Message Passing Interface, 12th European {PVM/MPI} Users' Group Meeting, Sorrento, Italy, September 18-21, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3666}, pages = {258--267}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11557265\_35}, doi = {10.1007/11557265\_35}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/pvm/LuckowS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/srds/MeridethIMTRN05, author = {Michael G. Merideth and Arun Iyengar and Thomas A. Mikalsen and Stefan Tai and Isabelle Rouvellou and Priya Narasimhan}, title = {Thema: Byzantine-Fault-Tolerant Middleware forWeb-Service Applications}, booktitle = {24th {IEEE} Symposium on Reliable Distributed Systems {(SRDS} 2005),26-28 October 2005, Orlando, FL, {USA}}, pages = {131--142}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/RELDIS.2005.28}, doi = {10.1109/RELDIS.2005.28}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/srds/MeridethIMTRN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Taiani04, author = {Fran{\c{c}}ois Ta{\"{\i}}ani}, title = {La r{\'{e}}flexivit{\'{e}} dans les architectures multi-niveaux : application aux syst{\`{e}}mes tol{\'{e}}rant les fautes. (The composite "Know Thyself" : fault-tolerance in complex computer systems)}, school = {Paul Sabatier University, Toulouse, France}, year = {2004}, url = {https://tel.archives-ouvertes.fr/tel-00010940}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Taiani04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/it/Tagliaferri04, author = {Luca Tagliaferri}, title = {Design techniques of fault tolerant applications}, school = {Polytechnic University of Turin, Italy}, year = {2004}, url = {https://opac.bncf.firenze.sbn.it/bncf-prod/resource?uri=BNI0005194}, timestamp = {Wed, 10 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/it/Tagliaferri04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/BoniventoIMP04, author = {Claudio Bonivento and Alberto Isidori and Lorenzo Marconi and Andrea Paoli}, title = {Implicit fault-tolerant control: application to induction motors}, journal = {Autom.}, volume = {40}, number = {3}, pages = {355--371}, year = {2004}, url = {https://doi.org/10.1016/j.automatica.2003.10.003}, doi = {10.1016/J.AUTOMATICA.2003.10.003}, timestamp = {Wed, 19 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/BoniventoIMP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jss/ChenJZ04, author = {Changgui Chen and Weijia Jia and Wanlei Zhou}, title = {A reactive system architecture for building fault-tolerant distributed applications}, journal = {J. Syst. Softw.}, volume = {72}, number = {3}, pages = {401--415}, year = {2004}, url = {https://doi.org/10.1016/S0164-1212(03)00098-0}, doi = {10.1016/S0164-1212(03)00098-0}, timestamp = {Thu, 21 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jss/ChenJZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/SuryanarayananTS04, author = {Shashikanth Suryanarayanan and Masayoshi Tomizuka and Tatsuya Suzuki}, title = {Design of simultaneously stabilizing controllers and its application to fault-tolerant lane-keeping controller design for automated vehicles}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {12}, number = {3}, pages = {329--339}, year = {2004}, url = {https://doi.org/10.1109/TCST.2004.825130}, doi = {10.1109/TCST.2004.825130}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/SuryanarayananTS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/DialloBM04, author = {Demba Diallo and Mohamed El Hachemi Benbouzid and Abdessalam Makouf}, title = {A fault-tolerant control architecture for induction motor drives in automotive applications}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {53}, number = {6}, pages = {1847--1855}, year = {2004}, url = {https://doi.org/10.1109/TVT.2004.833610}, doi = {10.1109/TVT.2004.833610}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvt/DialloBM04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/LauterbachGSSWKS04, author = {Christl Lauterbach and Rupert Glaser and Domnic Savio and Markus Schnell and Werner Weber and Susanne Kornely and Annelie St{\"{o}}hr}, editor = {Sven Brueckner and Giovanna Di Marzo Serugendo and Anthony Karageorgos and Radhika Nagpal}, title = {A Self-Organizing and Fault-Tolerant Wired Peer-to-Peer Sensor Network for Textile Applications}, booktitle = {Engineering Self-Organising Systems, Methodologies and Applications [revised versions of papers presented at the Engineering Selforganising Applications {(ESOA} 2004) workshop, held during the Autonomous Agents and Multi-agent Systems conference {(AAMAS} 2004) in New York in July 2004, and selected invited papers]}, series = {Lecture Notes in Computer Science}, volume = {3464}, pages = {256--266}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/11494676\_17}, doi = {10.1007/11494676\_17}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/atal/LauterbachGSSWKS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/PinelloCS04, author = {Claudio Pinello and Luca P. Carloni and Alberto L. Sangiovanni{-}Vincentelli}, title = {Fault-Tolerant Deployment of Embedded Software for Cost-Sensitive Real-Time Feedback-Control Applications}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {1164--1169}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1269049}, doi = {10.1109/DATE.2004.1269049}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/PinelloCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grid/KolaKL04, author = {George Kola and Tevfik Kosar and Miron Livny}, editor = {Rajkumar Buyya}, title = {Phoenix: Making Data-Intensive Grid Applications Fault-Tolerant}, booktitle = {5th International Workshop on Grid Computing {(GRID} 2004), 8 November 2004, Pittsburgh, PA, USA, Proceedings}, pages = {251--258}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/GRID.2004.51}, doi = {10.1109/GRID.2004.51}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/grid/KolaKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iastedCCS/Rios-GutierrezA04, author = {Fernando Rios{-}Guti{\'{e}}rrez and Roc{\'{\i}}o Alba{-}Flores}, editor = {M. H. Rashid}, title = {Low-cost fault-tolerant microprocessing system for control and educational applications}, booktitle = {Proceedings of the Second {IASTED} International Conference on Circuits, Signals, and Systems, Clearwater Beach, FL, USA, November 28, 2004 - December 1, 2004}, pages = {102--107}, publisher = {{IASTED/ACTA} Press}, year = {2004}, timestamp = {Thu, 11 Jan 2007 14:05:40 +0100}, biburl = {https://dblp.org/rec/conf/iastedCCS/Rios-GutierrezA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/RycerzBMS04, author = {Katarzyna Rycerz and Marian Bubak and Maciej Malawski and Peter M. A. Sloot}, editor = {Marian Bubak and G. Dick van Albada and Peter M. A. Sloot and Jack J. Dongarra}, title = {Support for Effective and Fault Tolerant Execution of HLA-Based Applications in the {OGSA} Framework}, booktitle = {Computational Science - {ICCS} 2004, 4th International Conference, Krak{\'{o}}w, Poland, June 6-9, 2004, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3038}, pages = {848--855}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24688-6\_109}, doi = {10.1007/978-3-540-24688-6\_109}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccS/RycerzBMS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/RaoOK04, author = {Wenjing Rao and Alex Orailoglu and Ramesh Karri}, title = {Fault Tolerant Arithmetic with Applications in Nanotechnology based Systems}, booktitle = {Proceedings 2004 International Test Conference {(ITC} 2004), October 26-28, 2004, Charlotte, NC, {USA}}, pages = {472--478}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/TEST.2004.1386983}, doi = {10.1109/TEST.2004.1386983}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/RaoOK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/GilGBG03, author = {Daniel Gil and Joaquin Gracia and Juan Carlos Baraza and Pedro J. Gil}, title = {Study, comparison and application of different VHDL-based fault injection techniques for the experimental validation of a fault-tolerant system}, journal = {Microelectron. J.}, volume = {34}, number = {1}, pages = {41--51}, year = {2003}, url = {https://doi.org/10.1016/S0026-2692(02)00128-3}, doi = {10.1016/S0026-2692(02)00128-3}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/GilGBG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/BaleaniFMSPP03, author = {Massimo Baleani and Alberto Ferrari and Leonardo Mangeruca and Alberto L. Sangiovanni{-}Vincentelli and Maurizio Peri and Saverio Pezzini}, editor = {Jaime H. Moreno and Praveen K. Murthy and Thomas M. Conte and Paolo Faraboschi}, title = {Fault-tolerant platforms for automotive safety-critical applications}, booktitle = {Proceedings of the International Conference on Compilers, Architectures and Synthesis for Embedded Systems, {CASES} 2003, San Jose, California, USA, October 30 - November 1, 2003}, pages = {170--177}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/951710.951734}, doi = {10.1145/951710.951734}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cases/BaleaniFMSPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/AlimaEBH03, author = {Luc Onana Alima and Sameh El{-}Ansary and Per Brand and Seif Haridi}, title = {{DKS} (N, k, f): {A} Family of Low Communication, Scalable and Fault-Tolerant Infrastructures for {P2P} Applications}, booktitle = {3rd {IEEE} International Symposium on Cluster Computing and the Grid (CCGrid 2003), 12-15 May 2003, Tokyo, Japan}, pages = {344--350}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/CCGRID.2003.1199386}, doi = {10.1109/CCGRID.2003.1199386}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/AlimaEBH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaESA/ZhangDPZ03, author = {X. Zhang and Gabriel Dragffy and Anthony G. Pipe and Quan Min Zhu}, editor = {Hamid R. Arabnia and Laurence Tianruo Yang}, title = {Ontogenetic Cellular Hardware for Fault Tolerant Systems}, booktitle = {Proceedings of the International Conference on Embedded Systems and Applications, {ESA} '03, June 23 - 26, 2003, Las Vegas, Nevada, {USA}}, pages = {144--150}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Sun, 28 Jun 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csreaESA/ZhangDPZ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/HongKH03, author = {Sungjune Hong and Keecheon Kim and Sunyoung Han}, editor = {Vipin Kumar and Marina L. Gavrilova and Chih Jeng Kenneth Tan and Pierre L'Ecuyer}, title = {Intelligent Fault-Tolerant Web Caching Service on Application Level Active Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {2667}, pages = {144--152}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44839-X\_16}, doi = {10.1007/3-540-44839-X\_16}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/HongKH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/BronevetskyMPS03, author = {Greg Bronevetsky and Daniel Marques and Keshav Pingali and Paul Stodghill}, editor = {Utpal Banerjee and Kyle A. Gallivan and Antonio Gonz{\'{a}}lez}, title = {Collective operations in application-level fault-tolerant {MPI}}, booktitle = {Proceedings of the 17th Annual International Conference on Supercomputing, {ICS} 2003, San Francisco, CA, USA, June 23-26, 2003}, pages = {234--243}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/782814.782847}, doi = {10.1145/782814.782847}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/BronevetskyMPS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/ManzoneG03, author = {Alberto Manzone and Claudio Genta}, title = {Automatic toolset for fault tolerant design: results demonstration on a running industrial application}, booktitle = {9th {IEEE} International On-Line Testing Symposium {(IOLTS} 2003), 7-9 July 2003, Kos Island, Greece}, pages = {197--201}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/OLT.2003.1214398}, doi = {10.1109/OLT.2003.1214398}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/ManzoneG03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ladc/MouraE03, author = {Marcos A. M. de Moura and Markus Endler}, editor = {Rog{\'{e}}rio de Lemos and Taisy Silva Weber and Jo{\~{a}}o Batista Camargo Jr.}, title = {Managing Adaptive Fault Tolerant {CORBA} Applications}, booktitle = {Dependable Computing, First Latin-American Symposium, {LADC} 2003, Sao Paulo, Brazil, October 21-24, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2847}, pages = {160--180}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45214-0\_14}, doi = {10.1007/978-3-540-45214-0\_14}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/ladc/MouraE03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppam/HurfinNPP03, author = {Michel Hurfin and Jean{-}Pierre Le Narzul and Julien Pley and Philippe Raipin Parv{\'{e}}dy}, editor = {Roman Wyrzykowski and Jack J. Dongarra and Marcin Paprzycki and Jerzy Wasniewski}, title = {A Fault-Tolerant Protocol for Resource Allocation in a Grid Dedicated to Genomic Applications}, booktitle = {Parallel Processing and Applied Mathematics, 5th International Conference, {PPAM} 2003, Czestochowa, Poland, September 7-10, 2003. Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {3019}, pages = {1154--1161}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24669-5\_148}, doi = {10.1007/978-3-540-24669-5\_148}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/ppam/HurfinNPP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ccr/ZhaoKJ02, author = {Ben Y. Zhao and John Kubiatowicz and Anthony D. Joseph}, title = {Tapestry: a fault-tolerant wide-area application infrastructure}, journal = {Comput. Commun. Rev.}, volume = {32}, number = {1}, pages = {81}, year = {2002}, url = {https://doi.org/10.1145/510726.510755}, doi = {10.1145/510726.510755}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ccr/ZhaoKJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/NarasimhanMM02, author = {Priya Narasimhan and Louise E. Moser and P. M. Melliar{-}Smith}, title = {Strongly consistent replication and recovery of fault-tolerant {CORBA} applications}, journal = {Comput. Syst. Sci. Eng.}, volume = {17}, number = {2}, pages = {103--114}, year = {2002}, timestamp = {Wed, 05 May 2004 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/NarasimhanMM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfi/HadjicostisV02, author = {Christoforos N. Hadjicostis and George C. Verghese}, title = {Fault-tolerant computation in groups and semigroups: applications to automata, dynamic systems and Petri nets}, journal = {J. Frankl. Inst.}, volume = {339}, number = {4-5}, pages = {387--430}, year = {2002}, url = {https://doi.org/10.1016/S0016-0032(02)00025-X}, doi = {10.1016/S0016-0032(02)00025-X}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jfi/HadjicostisV02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigmod/BargaL02, author = {Roger S. Barga and David B. Lomet}, title = {Phoenix Project: Fault-Tolerant Applications}, journal = {{SIGMOD} Rec.}, volume = {31}, number = {2}, pages = {94--100}, year = {2002}, url = {https://doi.org/10.1145/565117.565139}, doi = {10.1145/565117.565139}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigmod/BargaL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/delta/AlderighiCDSS02, author = {Monica Alderighi and Fabio Casini and Sergio D'Angelo and Davide Salvi and Giacomo R. Sechi}, title = {A Fault-Tolerant FPGA-based Multi-Stage Interconnection Network for Space Applications}, booktitle = {1st {IEEE} International Workshop on Electronic Design, Test and Applications {(DELTA} 2002), 29-31 January 2002, Christchurch, New Zealand}, pages = {302--308}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DELTA.2002.994635}, doi = {10.1109/DELTA.2002.994635}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/delta/AlderighiCDSS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-3/TownendXM02, author = {Paul Townend and Jie Xu and Malcolm Munro}, editor = {Bernd Kleinjohann and K. H. Kim and Lisa Kleinjohann and Achim Rettberg}, title = {Building Embedded Fault-Tolerant Systems for Critical Applications: An Experimental Study}, booktitle = {Design and Analysis of Distributed Embedded Systems, {IFIP} 17\({}^{\mbox{th}}\) World Computer Congress - {TC10} Stream on Distributed and Parallel Embedded Systems {(DIPES} 2002), August 25-29, 2002, Montr{\'{e}}al, Qu{\'{e}}bec, Canada}, series = {{IFIP} Conference Proceedings}, volume = {219}, pages = {101--112}, publisher = {Kluwer}, year = {2002}, timestamp = {Sat, 23 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-3/TownendXM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irtaw/PinhoV02, author = {Lu{\'{\i}}s Miguel Pinho and Francisco Vasques}, editor = {William Currie Colket}, title = {Using Ravenscar to support fault-tolerant real-time applications}, booktitle = {Proceedings of the 11th International Workshop on Real-Time Ada, {IRTAW} 2002, Mont-Tremblant, Quebec, Canada, April 8-12, 2002}, pages = {47--52}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/584418.584424}, doi = {10.1145/584418.584424}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irtaw/PinhoV02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/Destro-FilhoR02, author = {Jo{\~{a}}o{-}Batista Destro{-}Filho and M. V. Ribeiro}, title = {A Software Technique for Fault-Tolerant Adaptive Circuits in Spatial Applications}, booktitle = {3rd Latin American Test Workshop, {LATW} 2002, Montevideo, Uruguay, February 10-13, 2002}, pages = {26--31}, publisher = {{IEEE}}, year = {2002}, timestamp = {Wed, 26 Jul 2023 15:57:25 +0200}, biburl = {https://dblp.org/rec/conf/latw/Destro-FilhoR02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/GrandoniCGB01, author = {Fabrizio Grandoni and Silvano Chiaradonna and Felicita Di Giandomenico and Andrea Bondavalli}, title = {Evaluation of Fault-Tolerant Multiprocessor Systems for High Assurance Applications}, journal = {Comput. J.}, volume = {44}, number = {6}, pages = {544--556}, year = {2001}, url = {https://doi.org/10.1093/comjnl/44.6.544}, doi = {10.1093/COMJNL/44.6.544}, timestamp = {Mon, 05 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/GrandoniCGB01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/endm/Cohen01, author = {Jaime Cohen}, title = {A Graph Partitioning Scheme and Applications to Fault Tolerant Computing}, journal = {Electron. Notes Discret. Math.}, volume = {7}, pages = {82--85}, year = {2001}, url = {https://doi.org/10.1016/S1571-0653(04)00230-6}, doi = {10.1016/S1571-0653(04)00230-6}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/endm/Cohen01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/Thybo01, author = {Claus Thybo}, title = {Fault-tolerant control of induction motor drive applications}, booktitle = {American Control Conference, {ACC} 2001, Arlington, VA, USA, 25-27 June, 2001}, pages = {2621--2622}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ACC.2001.946266}, doi = {10.1109/ACC.2001.946266}, timestamp = {Wed, 05 Jan 2022 10:14:49 +0100}, biburl = {https://dblp.org/rec/conf/amcc/Thybo01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/ShuY01, author = {LihChyun Shu and Michal Young}, title = {Fault-Tolerant Scheduling under Time and Resource Constraints}, booktitle = {Proceedings of the 7th {IEEE} Real-Time Technology and Applications Symposium {(RTAS} 2001), 30 May - 1 June 2001, Taipei, Taiwan}, pages = {117--119}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/RTTAS.2001.929873}, doi = {10.1109/RTTAS.2001.929873}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/ShuY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/words/NarasimhanMM01, author = {Priya Narasimhan and Louise E. Moser and P. M. Melliar{-}Smith}, title = {Strong Replica Consistency for Fault-Tolerant {CORBA} Applications}, booktitle = {6th Workshop on Object-Oriented Real-Time Dependable Systems {(WORDS} 2001), 8-10 January 2001, Rome, Italy}, pages = {10--20}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/WORDS.2001.945108}, doi = {10.1109/WORDS.2001.945108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/words/NarasimhanMM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/entcs/GobboM01, author = {Diego Del Gobbo and Ali Mili}, editor = {Wolfram Kahl and David Lorge Parnas and Gunther Schmidt}, title = {An Application of Relational Algebra: Specification of a Fault Tolerant Flight Control System}, booktitle = {First International Workshop on Relational Methods in Software, RelMiS 2001, a Satellite Event of {ETAPS} 2001, Genova, Italy, April 7-8, 2001}, series = {Electronic Notes in Theoretical Computer Science}, volume = {44}, number = {3}, pages = {94--111}, publisher = {Elsevier}, year = {2001}, url = {https://doi.org/10.1016/S1571-0661(04)80933-2}, doi = {10.1016/S1571-0661(04)80933-2}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entcs/GobboM01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsysc/ChoL00, author = {K.{-}H. Cho and Jong{-}Tae Lim}, title = {Fault-tolerant supervisory control under C, {D} observability and its application}, journal = {Int. J. Syst. Sci.}, volume = {31}, number = {12}, pages = {1573--1583}, year = {2000}, url = {https://doi.org/10.1080/00207720050217340}, doi = {10.1080/00207720050217340}, timestamp = {Wed, 15 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijsysc/ChoL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/ParkY00, author = {Taesoon Park and Heon Young Yeom}, title = {Application controlled checkpointing coordination for fault-tolerant distributed computing systems}, journal = {Parallel Comput.}, volume = {26}, number = {4}, pages = {467--482}, year = {2000}, url = {https://doi.org/10.1016/S0167-8191(99)00112-X}, doi = {10.1016/S0167-8191(99)00112-X}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/ParkY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KarriKP00, author = {Ramesh Karri and Kyosun Kim and Miodrag Potkonjak}, title = {Computer Aided Design of Fault-Tolerant Application Specific Programmable Processors}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {11}, pages = {1272--1284}, year = {2000}, url = {https://doi.org/10.1109/12.895942}, doi = {10.1109/12.895942}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KarriKP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/BoskovicLM00a, author = {Jovan D. Boskovic and Sai{-}Ming Li and Raman K. Mehra}, title = {A decentralized fault-tolerant scheme for flight control applications}, booktitle = {American Control Conference, {ACC} 2000, Chicago, Illinois, USA, 28-30 June, 2000}, pages = {4214--4218}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ACC.2000.877015}, doi = {10.1109/ACC.2000.877015}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/BoskovicLM00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/LiMH00, author = {Xiaochun Li and John E. McInroy and Jerry C. Hamann}, title = {Optimal fault tolerant control of flexure jointed hexapods for applications requiring less than six degrees of freedom}, booktitle = {39th {IEEE} Conference on Decision and Control, {CDC} 2000, Sydney, Australia, December 12-15, 2000}, pages = {3337--3338}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CDC.2000.912215}, doi = {10.1109/CDC.2000.912215}, timestamp = {Thu, 31 Mar 2022 11:10:43 +0200}, biburl = {https://dblp.org/rec/conf/cdc/LiMH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BensoCP00, author = {Alfredo Benso and Silvia Chiusano and Paolo Prinetto}, title = {A {COTS} Wrapping Toolkit for Fault Tolerant Applications under Windows {NT}}, booktitle = {6th {IEEE} International On-Line Testing Workshop {(IOLTW} 2000), 3-5 July 2000, Palma de Mallorca, Spain}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.ieeecomputersociety.org/10.1109/OLT.2000.856605}, doi = {10.1109/OLT.2000.856605}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BensoCP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LinW00, author = {Kwei{-}Jay Lin and Yu{-}Chung Wang}, editor = {Jos{\'{e}} D. P. Rolim}, title = {Supporting Fault-Tolerant Real-Time Applications Using the RED-Linux General Scheduling Framework}, booktitle = {Parallel and Distributed Processing, 15 {IPDPS} 2000 Workshops, Cancun, Mexico, May 1-5, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1800}, pages = {692--698}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45591-4\_94}, doi = {10.1007/3-540-45591-4\_94}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/ipps/LinW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/latw/CastroAB00, author = {Helano S. Castro and Regis C. de Araujo and Giovanni C. Barroso}, title = {FTRT{\_}OS - {A} Fault-Tolerant Operating System for Real-Time Applications: An Experience with Digital Signal Processor {(DSP)} Architecture}, booktitle = {1st Latin American Test Workshop, {LATW} 2000, Rio de Janeiro, RJ, Brazil, March 13-15, 2000}, pages = {22--28}, publisher = {{IEEE}}, year = {2000}, timestamp = {Tue, 25 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/latw/CastroAB00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pvm/FaggD00, author = {Graham E. Fagg and Jack J. Dongarra}, editor = {Jack J. Dongarra and P{\'{e}}ter Kacsuk and Norbert Podhorszki}, title = {{FT-MPI:} Fault Tolerant MPI, Supporting Dynamic Applications in a Dynamic World}, booktitle = {Recent Advances in Parallel Virtual Machine and Message Passing Interface, 7th European {PVM/MPI} Users' Group Meeting, Balatonf{\"{u}}red, Hungary, September 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1908}, pages = {346--353}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/3-540-45255-9\_47}, doi = {10.1007/3-540-45255-9\_47}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/pvm/FaggD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/informaticaSI/Setz99, author = {Thomas Setz}, title = {Fault tolerant execution od Compute-intensive Distributed Applications in LiPS}, journal = {Informatica (Slovenia)}, volume = {23}, number = {1}, year = {1999}, timestamp = {Mon, 15 Feb 2016 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/informaticaSI/Setz99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spe/EganKMMM99, author = {Anthony Egan and David Kutz and Dmitry Mikulin and Rami G. Melhem and Daniel Moss{\'{e}}}, title = {Fault-Tolerant RT-Mach (FT-RT-Mach) and an Application to Real-Time Train Control}, journal = {Softw. Pract. Exp.}, volume = {29}, number = {4}, pages = {379--395}, year = {1999}, url = {https://doi.org/10.1002/(SICI)1097-024X(19990410)29:4\<379::AID-SPE235\>3.0.CO;2-6}, doi = {10.1002/(SICI)1097-024X(19990410)29:4\<379::AID-SPE235\>3.0.CO;2-6}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spe/EganKMMM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChisholmW99, author = {Gregory H. Chisholm and Anthony S. Wojcik}, title = {An Application of Formal Analysis to Software in a Fault-Tolerant Environment}, journal = {{IEEE} Trans. Computers}, volume = {48}, number = {10}, pages = {1053--1064}, year = {1999}, url = {https://doi.org/10.1109/12.805155}, doi = {10.1109/12.805155}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ChisholmW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/ParkL99, author = {Seong{-}Jin Park and Jong{-}Tae Lim}, title = {Fault-tolerant robust supervisor for discrete event systems with model uncertainty and its application to a workcell}, journal = {{IEEE} Trans. Robotics Autom.}, volume = {15}, number = {2}, pages = {386--391}, year = {1999}, url = {https://doi.org/10.1109/70.760362}, doi = {10.1109/70.760362}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/ParkL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ats/GaoS99, author = {Jianhua Gao and Shihuang Shao}, title = {Fault-Tolerant Strategies and Their Design Methods for Application Software}, booktitle = {8th Asian Test Symposium {(ATS} '99), 16-18 November 1999, Shanghai, China}, pages = {214--217}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ATS.1999.810753}, doi = {10.1109/ATS.1999.810753}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ats/GaoS99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esa/IwamaM99, author = {Kazuo Iwama and Eiji Miyano}, editor = {Jaroslav Nesetril}, title = {Multipacket Routing on 2-D Meshes and Its Application to Fault-Tolerant Routing}, booktitle = {Algorithms - {ESA} '99, 7th Annual European Symposium, Prague, Czech Republic, July 16-18, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1643}, pages = {53--64}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-48481-7\_6}, doi = {10.1007/3-540-48481-7\_6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esa/IwamaM99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/Lopez-ToribioPD99a, author = {C. J. Lopez{-}Toribio and Ron John Patton and Steve Daley}, title = {A multiple model approach to fault-tolerant control using Takagi-Sugeno fuzzy modelling: Real application to an induction motor drive system}, booktitle = {5th European Control Conference, {ECC} 1999, Karlsruhe, Germany, August 31 - September 3, 1999}, pages = {4479--4484}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.23919/ECC.1999.7100040}, doi = {10.23919/ECC.1999.7100040}, timestamp = {Fri, 12 Mar 2021 16:09:40 +0100}, biburl = {https://dblp.org/rec/conf/eucc/Lopez-ToribioPD99a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eucc/PonsartTN99, author = {Jean{-}Christophe Ponsart and Didier Theilliol and Hassan Noura}, title = {Fault-tolerant control of a nonlinear system application to a three-tank-system}, booktitle = {5th European Control Conference, {ECC} 1999, Karlsruhe, Germany, August 31 - September 3, 1999}, pages = {1592--1597}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.23919/ECC.1999.7099540}, doi = {10.23919/ECC.1999.7099540}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eucc/PonsartTN99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/AbramoviciSHWV99, author = {Miron Abramovici and Charles E. Stroud and Carter Hamilton and Sajitha Wijesuriya and Vinay Verma}, title = {Using roving STARs for on-line testing and diagnosis of FPGAs in fault-tolerant applications}, booktitle = {Proceedings {IEEE} International Test Conference 1999, Atlantic City, NJ, USA, 27-30 September 1999}, pages = {973--982}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/TEST.1999.805830}, doi = {10.1109/TEST.1999.805830}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/AbramoviciSHWV99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcc/Hwang99, author = {Kai Hwang}, title = {Fault-Tolerant Cluster Architecture for Business and Scientific Applications}, booktitle = {International Workshop on Cluster Computing {(IWCC} '99), 2-3 December 1999, Melbourne, Australia}, pages = {3}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.ieeecomputersociety.org/10.1109/IWCC.1999.10005}, doi = {10.1109/IWCC.1999.10005}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iwcc/Hwang99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/WongD99, author = {Allan K. Y. Wong and Tharam S. Dillon}, title = {A Fault-Tolerant Data Communication Setup to Improve Reliability and Performance for Internet Based Distributed Applications}, booktitle = {1999 Pacific Rim International Symposium on Dependable Computing {(PRDC} 1999), 16-17 December 1999, Hong Kong}, pages = {268}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/PRDC.1999.816238}, doi = {10.1109/PRDC.1999.816238}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/WongD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/DevallaBZ99, author = {B. Devalla and Riccardo Bettati and Wei Zhao}, title = {Fault Tolerant Real-Time Connection Admission Control for Mission Critical Applications over ATM-Based Networks}, booktitle = {6th International Workshop on Real-Time Computing and Applications Symposium {(RTCSA} '99), 13-16 December 1999, Hong Kong, China}, pages = {340--347}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/RTCSA.1999.811275}, doi = {10.1109/RTCSA.1999.811275}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/DevallaBZ99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cds/MatosW98, author = {Gilberto Matos and Elizabeth L. White}, title = {Application of dynamic reconfiguration in the design of fault tolerant production systems}, booktitle = {Fourth International Conference on Configurable Distributed Systems, 1998, Proceedings, Annapolis, MA, USA, 6 May, 1998}, pages = {2--9}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/CDS.1998.675752}, doi = {10.1109/CDS.1998.675752}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cds/MatosW98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Khan98, author = {Gul N. Khan}, title = {Fault-tolerant architecture for high performance embedded system applications}, booktitle = {International Conference on Computer Design: {VLSI} in Computers and Processors, {ICCD} 1998, Proceedings, 5-7 October, 1998, Austin, TX, {USA}}, pages = {384--389}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ICCD.1998.727078}, doi = {10.1109/ICCD.1998.727078}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/Khan98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/FlorioDTRL98, author = {Vincenzo De Florio and Geert Deconinck and Mario Truyens and Wim Rosseel and Rudy Lauwereins}, title = {A hypermedia distributed application for monitoring and fault-injection in embedded fault-tolerant parallel programs}, booktitle = {Proceedings of the Sixth Euromicro Workshop on Parallel and Distributed Processing - {PDP} '98, University of Madrid, Madrid, Spain, January 21-23, 1998-}, pages = {349--355}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/EMPDP.1998.647219}, doi = {10.1109/EMPDP.1998.647219}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/FlorioDTRL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/safecomp/DilgerFM98, author = {Elmar Dilger and Thomas F{\"{u}}hrer and Bernd M{\"{u}}ller}, editor = {Wolfgang D. Ehrenberger}, title = {Distributed Fault-Tolerant and Safety-Critical Application in Vehicles - {A} Time-Triggered Approach}, booktitle = {Computer Safety, Reliability and Security, 17th International Conference, SAFECOMP'98, Heidelberg, Germany, October 5-7, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1516}, pages = {267--283}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/3-540-49646-7\_21}, doi = {10.1007/3-540-49646-7\_21}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/safecomp/DilgerFM98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/srds/KillijianFRC98, author = {Marc{-}Olivier Killijian and Jean{-}Charles Fabre and Juan{-}Carlos Ruiz{-}Garcia and Shigeru Chiba}, title = {A Metaobject Protocol for Fault-Tolerant {CORBA} Applications}, booktitle = {The Seventeenth Symposium on Reliable Distributed Systems, {SRDS} 1998, West Lafayette, Indiana, USA, October 20-22, 1998, Proceedings}, pages = {127--134}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/RELDIS.1998.740483}, doi = {10.1109/RELDIS.1998.740483}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/srds/KillijianFRC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ethos/Smith97, author = {James Antony Smith}, title = {Fault-tolerant parallel applications using a network of workstations}, school = {Newcastle University, Newcastle upon Tyne, {UK}}, year = {1997}, url = {https://hdl.handle.net/10443/2085}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ethos/Smith97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbcs/Lisboa97, author = {Maria L{\'{u}}cia Blanck Lisb{\^{o}}a}, title = {A New Trend on the Development of Fault-Tolerant Applications: Software Meta-Level Architectures}, journal = {J. Braz. Comput. Soc.}, volume = {4}, number = {2}, year = {1997}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbcs/Lisboa97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/WuH97a, author = {Jie Wu and Ke Huang}, title = {The Balanced Hypercube: {A} Cube-Based System for Fault-Tolerant Applications}, journal = {{IEEE} Trans. Computers}, volume = {46}, number = {4}, pages = {484--490}, year = {1997}, url = {https://doi.org/10.1109/12.588063}, doi = {10.1109/12.588063}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/WuH97a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adaEurope/GuerraMAA97, author = {Francisco Guerra Santana and Javier Miranda and Angel Alvarez and Sergio Ar{\'{e}}valo}, editor = {Keith Hardy and James S. Briggs}, title = {An Ada Library to Program Fault-Tolerant Distributed Applications}, booktitle = {Reliable Software Technologies - Ada-Europe '97, 1997 Ada-Europe International Conference on Reliable Software Technologies, London, UK, June 2-6, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1251}, pages = {230--243}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/3-540-63114-3\_21}, doi = {10.1007/3-540-63114-3\_21}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/adaEurope/GuerraMAA97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/ChowdhuryFGB97, author = {Abdur Chowdhury and Ophir Frieder and David A. Grossman and Eric William Burger}, title = {{DRS:} {A} Fault Tolerant Network Routing System for Mission Critical Distributed Applications}, booktitle = {Proceedings of the International Conference On Computer Communications and Networks {(ICCCN} 1997), September 22-25, 1997 Las Vegas, NV, {USA}}, pages = {106--115}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICCCN.1997.623298}, doi = {10.1109/ICCCN.1997.623298}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icccn/ChowdhuryFGB97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SmithS97, author = {J. A. Smith and Santosh K. Shrivastava}, title = {Fault-Tolerant Parallel Applications Using Queues and Actions}, booktitle = {1997 International Conference on Parallel Processing {(ICPP} '97), August 11-15, 1997, Bloomington, IL, USA, Proceedings}, pages = {145--149}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPP.1997.622578}, doi = {10.1109/ICPP.1997.622578}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SmithS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/podc/Wolfinger97, author = {Bernd E. Wolfinger}, editor = {James E. Burns and Hagit Attiya}, title = {On the Potential of {FEC} Algorithms in Building Fault-Tolerant Distributed Applications to Support High QoS Video Communications}, booktitle = {Proceedings of the Sixteenth Annual {ACM} Symposium on Principles of Distributed Computing, Santa Barbara, California, USA, August 21-24, 1997}, pages = {129--138}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/259380.259433}, doi = {10.1145/259380.259433}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/podc/Wolfinger97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adaEurope/MirandaAAG96, author = {Javier Miranda and Angel Alvarez and Sergio Ar{\'{e}}valo and Francisco Guerra Santana}, editor = {Alfred Strohmeier}, title = {Drago: An Ada Extension to Program Fault-Tolerant Distributed Applications}, booktitle = {Reliable Software Technologies - Ada-Europe '96, 1996 Ada-Europe International Conference on Reliable Software Technologies, Montreux, Switzerland, June 10-14, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1088}, pages = {235--246}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/BFb0013492}, doi = {10.1007/BFB0013492}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/adaEurope/MirandaAAG96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edcc/FabreP96, author = {Jean{-}Charles Fabre and Tanguy P{\'{e}}rennou}, editor = {Andrzej Hlawiczka and Jo{\~{a}}o Gabriel Silva and Luca Simoncini}, title = {Friends - {A} Flexible Architecture for Implementing Fault Tolerant and Secure Distributed Applications}, booktitle = {Dependable Computing - EDCC-2, Second European Dependable Computing Conference, Taormina, Italy, October 2-4, 1996, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1150}, pages = {3--20}, publisher = {Springer}, year = {1996}, url = {https://doi.org/10.1007/3-540-61772-8\_27}, doi = {10.1007/3-540-61772-8\_27}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/edcc/FabreP96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/BoutinD96, author = {Matthew Boutin and Peter Dziel}, title = {Application of Boundary Scan in a Fault Tolerant Computer System}, booktitle = {Proceedings {IEEE} International Test Conference 1996, Test and Design Validity, Washington, DC, USA, October 20-25, 1996}, pages = {809--817}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/TEST.1996.557141}, doi = {10.1109/TEST.1996.557141}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/BoutinD96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/DaliborHH96, author = {Stefan Dalibor and A. Hein and Wolfgang Hohl}, title = {Application-Dependent Performability Evaluation of Fault-Tolerant Multiprocessors}, booktitle = {4th Euromicro Workshop on Parallel and Distributed Processing {(PDP} '96), January 24-26, 1996, Portugal}, pages = {310--318}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/EMPDP.1996.500602}, doi = {10.1109/EMPDP.1996.500602}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/DaliborHH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/Bestavros96, author = {Azer Bestavros}, title = {AIDA-based real-time fault-tolerant broadcast disks}, booktitle = {2nd {IEEE} Real-Time Technology and Applications Symposium, {RTAS} '96, Boston, MA, USA, June 10-12, 1996}, pages = {49--58}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/RTTAS.1996.509522}, doi = {10.1109/RTTAS.1996.509522}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtas/Bestavros96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigada/KermarrecNP96, author = {Yvon Kermarrec and Laurent Nana and Laurent Pautet}, editor = {Susan Carlson}, title = {Providing Fault-Tolerant Services to Distributed Ada 95 Applications}, booktitle = {The annual meeting of the Ada programming world, TRI-Ada 1996, December 3-7, 1996, Philadelphia, Pennsylvania, {USA}}, pages = {39--47}, publisher = {{ACM}}, year = {1996}, url = {https://doi.org/10.1145/240678.240688}, doi = {10.1145/240678.240688}, timestamp = {Fri, 06 May 2022 16:16:51 +0200}, biburl = {https://dblp.org/rec/conf/sigada/KermarrecNP96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/words/Yen96, author = {I{-}Ling Yen}, title = {Multiple invariant system design for fault-tolerant real-time applications}, booktitle = {Proceedings of WORDS'96. The Second Workshop on Object-Oriented Real-Time Dependable Systems, February 1-2, 1996, Laguna Beach, California, {USA}}, pages = {101--107}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/WORDS.1996.506267}, doi = {10.1109/WORDS.1996.506267}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/words/Yen96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/networks/Roccetti95, author = {Marco Roccetti}, title = {Reliability analysis of tree-based networks and its application to fault-tolerant {VLSI} systems}, journal = {Networks}, volume = {26}, number = {4}, pages = {217--230}, year = {1995}, url = {https://doi.org/10.1002/net.3230260406}, doi = {10.1002/NET.3230260406}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/networks/Roccetti95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SmithT95, author = {Jermy C. Smith and Fred J. Taylor}, title = {A Fault-Tolerant {GEQRNS} Processing Element for Linear Systolic Array {DSP} Applications}, journal = {{IEEE} Trans. Computers}, volume = {44}, number = {9}, pages = {1121--1130}, year = {1995}, url = {https://doi.org/10.1109/12.464390}, doi = {10.1109/12.464390}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SmithT95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/FabreNPSW95, author = {Jean{-}Charles Fabre and Vincent Nicomette and Tanguy P{\'{e}}rennou and Robert J. Stroud and Zhixue Wu}, title = {Implementing Fault Tolerant Applications using Reflective Object-Oriented Programming}, booktitle = {Digest of Papers: FTCS-25, The Twenty-Fifth International Symposium on Fault-Tolerant Computing, Pasadena, California, USA, June 27-30, 1995}, pages = {489--498}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/FTCS.1995.466949}, doi = {10.1109/FTCS.1995.466949}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ftcs/FabreNPSW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ices/HiguchiIKIHFM95, author = {Tetsuya Higuchi and Masaya Iwata and Isamu Kajitani and Hitoshi Iba and Yuji Hirao and Tatsumi Furuya and Bernard Manderick}, editor = {Eduardo Sanchez and Marco Tomassini}, title = {Evolvable Hardware and Its Applications to Pattern Recognition and Fault-Tolerant Systems}, booktitle = {Towards Evolvable Hardware, The Evolutionary Engineering Approach, Papers from an international workshop, Lausanne, Switzerland, October 2-3, 1995}, series = {Lecture Notes in Computer Science}, volume = {1062}, pages = {118--135}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/3-540-61093-6\_6}, doi = {10.1007/3-540-61093-6\_6}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/ices/HiguchiIKIHFM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/ZhangS95, author = {Tianming Zhang and Arun K. Somani}, title = {{DIRSMIN:} {A} Fault-Tolerant Switch for {B-ISDN} Applications Using Dilated Reduced-Stage {MIN}}, booktitle = {Proceedings {IEEE} {INFOCOM} '95, The Conference on Computer Communications, Fourteenth Annual Joint Conference of the {IEEE} Computer and Communications Societies, Bringing Information to People, Boston, Massachusetts, USA, April 2-6, 1995}, pages = {643--650}, publisher = {{IEEE} Computer Society}, year = {1995}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/infocom/ZhangS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vl/MugangaPMW95, author = {Blaise Muganga and Fran{\c{c}}ois Pacull and Karim Mazouni and Armel{-}David Wolff}, title = {Visual Programming of Fault-Tolerant Distributed Applications}, booktitle = {Proceedings 11th International {IEEE} Symposium on Visual Languages, Darmstadt, Germany, September 5-9, 1995}, pages = {128--130}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/VL.1995.520799}, doi = {10.1109/VL.1995.520799}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vl/MugangaPMW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jrm/AbeK094, author = {Shigeki Abe and Michitaka Kameyama and Tatsuo Higuchi}, title = {Design of an Intelligent Fault-Tolerant System for Real-World Applications}, journal = {J. Robotics Mechatronics}, volume = {6}, number = {2}, pages = {150--154}, year = {1994}, url = {https://doi.org/10.20965/jrm.1994.p0150}, doi = {10.20965/JRM.1994.P0150}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jrm/AbeK094.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/BalakrishnanR94, author = {Meera Balakrishnan and Andrew L. Reibman}, title = {Reliability Models for Fault-Tolerant Private Network Applications}, journal = {{IEEE} Trans. Computers}, volume = {43}, number = {9}, pages = {1039--1053}, year = {1994}, url = {https://doi.org/10.1109/12.312113}, doi = {10.1109/12.312113}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/BalakrishnanR94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/adaEurope/RogersP94, author = {Patrick Rogers and Marc Pitarys}, editor = {Marcel Toussaint}, title = {The {AECSS} Fault Tolerant Distributed Ada Testbed and Application}, booktitle = {Ada in Europe, First International Eurospace - Ada-Europe Symposium, Copenhagen, Denmark, September 26-30, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {887}, pages = {43--59}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58822-1\_87}, doi = {10.1007/3-540-58822-1\_87}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/adaEurope/RogersP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edcc/FolliotS94, author = {Bertil Folliot and Pierre Sens}, editor = {Klaus Echtle and Dieter K. Hammer and David Powell}, title = {{GATOSTAR:} {A} Fault Tolerant Load Sharing Facility for Parallel Applications}, booktitle = {Dependable Computing - EDCC-1, First European Dependable Computing Conference, Berlin, Germany, October 4-6, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {852}, pages = {581--598}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58426-9\_159}, doi = {10.1007/3-540-58426-9\_159}, timestamp = {Wed, 18 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edcc/FolliotS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/glvlsi/SmithT94, author = {Jermy C. Smith and Fred J. Taylor}, title = {The design of a fault tolerant {GEQRNS} processing element for linear systolic array {DSP} applications}, booktitle = {Fourth Great Lakes Symposium on Design Automation of High Performance {VLSI} Systems, {GLSV} '94, Notre Dame, IN, USA, March 4-5, 1994}, pages = {46--49}, publisher = {{IEEE}}, year = {1994}, url = {https://doi.org/10.1109/GLSV.1994.289997}, doi = {10.1109/GLSV.1994.289997}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/glvlsi/SmithT94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/KimK93, author = {K. H. Kim and Alireza Kavianpour}, title = {A Distributed Recovery Block Approach to Fault-Tolerant Execution of Application Tasks in Hypercubes}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {4}, number = {1}, pages = {104--111}, year = {1993}, url = {https://doi.org/10.1109/71.205657}, doi = {10.1109/71.205657}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/KimK93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/RussinovichSS93, author = {Mark Russinovich and Zary Segall and Daniel P. Siewiorek}, title = {Application Transparent Fault Management in Fault Tolerant Match}, booktitle = {Digest of Papers: FTCS-23, The Twenty-Third Annual International Symposium on Fault-Tolerant Computing, Toulouse, France, June 22-24, 1993}, pages = {10--19}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/FTCS.1993.627303}, doi = {10.1109/FTCS.1993.627303}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/RussinovichSS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hsaft/Locke93, author = {C. Douglass Locke}, editor = {Michel Ban{\^{a}}tre and Peter A. Lee}, title = {Fault Tolerant Applications Systems: {A} Requirements Perspective}, booktitle = {Hardware and Software Architectures for Fault Tolerance, Experiences and Perspecives [revised papers from a workshop at Le Mont Saint Michel, France, June 1993]}, series = {Lecture Notes in Computer Science}, volume = {774}, pages = {21--25}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/BFb0020020}, doi = {10.1007/BFB0020020}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hsaft/Locke93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/TsoHM93, author = {Kam S. Tso and Myron Hecht and Neville I. Marzwell}, title = {Fault-Tolerant Robotic System for Critical Applications}, booktitle = {Proceedings of the 1993 {IEEE} International Conference on Robotics and Automation, Atlanta, Georgia, USA, May 1993}, pages = {691--696}, publisher = {{IEEE} Computer Society Press}, year = {1993}, url = {https://doi.org/10.1109/ROBOT.1993.291825}, doi = {10.1109/ROBOT.1993.291825}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icra/TsoHM93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siemens/PetscheD93, author = {Thomas Petsche and Bradley W. Dickinson}, editor = {Stephen Jose Hanson and Werner Remmele and Ronald L. Rivest}, title = {Trellis Codes, Receptive Fields, and Fault Tolerant, Self-Repairing Neural Networks}, booktitle = {Machine Learning: From Theory to Applications - Cooperative Research at Siemens and {MIT}}, series = {Lecture Notes in Computer Science}, volume = {661}, pages = {241--268}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/3-540-56483-7\_34}, doi = {10.1007/3-540-56483-7\_34}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/siemens/PetscheD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/SensF93, author = {Pierre Sens and Bertil Folliot}, title = {{STAR:} {A} Fault-Tolerant System for Distributed Applications}, booktitle = {Proceedings of the Fifth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1993, Dallas, Texas, USA, December 2-5, 1993}, pages = {656--660}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/SPDP.1993.395471}, doi = {10.1109/SPDP.1993.395471}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/SensF93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Wood92, author = {Mark D. Wood}, title = {Fault-Tolerant Management of Distributed Applications Using the Reactive System Architecture}, school = {Cornell University, {USA}}, year = {1992}, timestamp = {Thu, 07 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Wood92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cds/BarbacciDWGL92, author = {Mario Barbacci and Dennis L. Doubleday and Charles B. Weinstock and Michael J. Gardner and Randall W. Lichota}, title = {Building fault tolerant distributed applications with Durra}, booktitle = {First International Workshop on Configurable Distributed Systems, 1992, Proceedings, London, UK, 25-27 May, 1992}, pages = {128--139}, publisher = {{IEEE}}, year = {1992}, url = {https://ieeexplore.ieee.org/document/152134/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cds/BarbacciDWGL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip/NakamuraT92, author = {Hideo Nakamura and Kiyoshi Takeshi}, editor = {Jan van Leeuwen}, title = {Fault-Tolerant Microcomputer Design and Application for Railway Train Control}, booktitle = {Algorithms, Software, Architecture - Information Processing '92, Volume 1, Proceedings of the {IFIP} 12th World Computer Congress, Madrid, Spain, 7-11 September 1992}, series = {{IFIP} Transactions}, volume = {{A-12}}, pages = {652--658}, publisher = {North-Holland}, year = {1992}, timestamp = {Wed, 14 Aug 2002 08:51:19 +0200}, biburl = {https://dblp.org/rec/conf/ifip/NakamuraT92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issre/LiangAMS92, author = {Deron Liang and Ashok K. Agrawala and Daniel Moss{\'{e}} and Yiheng Shi}, title = {Designing fault tolerant application in Maruti}, booktitle = {Third International Symposium on Software Reliability Engineering, {ISSRE} 1992, Research Triangle Park, NC, USA, October 7-10, 1992}, pages = {264--273}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/ISSRE.1992.285867}, doi = {10.1109/ISSRE.1992.285867}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/issre/LiangAMS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pos/BuzatoC92, author = {Luiz Eduardo Buzato and A. Calsavara}, editor = {Antonio Albano and Ronald Morrison}, title = {Stabilis: {A} Case Study in Writing Fault-Tolerant Distributed Applications Using Persistent Objects}, booktitle = {Persistent Object Systems, Proceedings of the Fifth International Workshop on Persistent Object Systems, San Miniato (Pisa), Italy, 1-4 September, 1992}, series = {Workshops in Computing}, pages = {354--375}, publisher = {Springer}, year = {1992}, timestamp = {Mon, 10 Feb 2014 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pos/BuzatoC92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/CastroG91, author = {Helano de Sousa Castro and Michael Paul Gough}, title = {A fault-tolerant multitransputer system for space applications}, journal = {Microprocess. Microsystems}, volume = {15}, number = {7}, pages = {361--367}, year = {1991}, url = {https://doi.org/10.1016/0141-9331(91)90097-Y}, doi = {10.1016/0141-9331(91)90097-Y}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/CastroG91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/AlamM91, author = {M. Sultan Alam and Rami G. Melhem}, title = {An Efficient Modular Spare Allocation Scheme and Its Application to Fault Tolerant Binary Hypercubes}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {2}, number = {1}, pages = {117--126}, year = {1991}, url = {https://doi.org/10.1109/71.80194}, doi = {10.1109/71.80194}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/AlamM91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/ChenG91, author = {C. L. Chen and L. E. Grosbach}, title = {Fault-Tolerant Memory Design in the {IBM} Application System/400}, booktitle = {Proceedings of the 1991 International Symposium on Fault-Tolerant Computing, Montreal, Canada}, pages = {393--400}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/FTCS.1991.146691}, doi = {10.1109/FTCS.1991.146691}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/ChenG91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/HechtAHK91, author = {Myron Hecht and J. Agron and Herbert Hecht and K. H. Kim}, title = {A Distributed Fault Tolerant Architecture for Nuclear Reactor and Other Critical Process Control Applications}, booktitle = {Proceedings of the 1991 International Symposium on Fault-Tolerant Computing, Montreal, Canada}, pages = {462--469}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/FTCS.1991.146702}, doi = {10.1109/FTCS.1991.146702}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/HechtAHK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ShrivastavaW90, author = {Santosh K. Shrivastava and Stuart M. Wheater}, title = {Implementing Fault-Tolerant Distributed Applications}, booktitle = {10th International Conference on Distributed Computing Systems {(ICDCS} 1990), May 28 - June 1, 1990, Paris, France}, pages = {203--210}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICDCS.1990.89273}, doi = {10.1109/ICDCS.1990.89273}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/ShrivastavaW90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigopsE/RicciardiB90, author = {Aleta Ricciardi and Kenneth P. Birman}, title = {A formalism for fault-tolerant applications in asynchronous systems}, booktitle = {Proceedings of the 4th {ACM} {SIGOPS} European Workshop, Bologna, Italy, September 3-5, 1990}, publisher = {{ACM}}, year = {1990}, url = {https://doi.org/10.1145/504136.504167}, doi = {10.1145/504136.504167}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigopsE/RicciardiB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/ShiehGCT89, author = {Yuan{-}Bao Shieh and Dipak Ghosal and Prasad R. Chintamaneni and Satish K. Tripathi}, title = {Application of Petri net models for the evaluation of fault-tolerant techniques in distributed systems}, booktitle = {9th International Conference on Distributed Computing Systems, {ICDCS} 1989, Newport Beach, CA, USA, June 5-9, 1989}, pages = {151--159}, publisher = {{IEEE} Computer Society}, year = {1989}, url = {https://doi.org/10.1109/ICDCS.1989.37943}, doi = {10.1109/ICDCS.1989.37943}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/ShiehGCT89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icftcs/Johnson89, author = {Barry W. Johnson}, editor = {Winfried G{\"{o}}rke and Holger S{\"{o}}rensen}, title = {Design and Analysis of Fault-Tolerant Systems for Industrial Applications}, booktitle = {Fehlertolerierende Rechensysteme / Fault-Tolerant Computing Systems, Automatisierungssysteme, Methoden, Anwendungen / Automation Systems, Methods, Applications; 4. Internationale GI/ITG/GMA-Fachtagung, Baden-Baden, Deutschland, 20.-22. September 1989, Proceedings}, series = {Informatik-Fachberichte}, volume = {214}, pages = {57--73}, publisher = {Springer}, year = {1989}, url = {https://doi.org/10.1007/978-3-642-75002-1\_5}, doi = {10.1007/978-3-642-75002-1\_5}, timestamp = {Sat, 23 Jun 2018 18:45:01 +0200}, biburl = {https://dblp.org/rec/conf/icftcs/Johnson89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icftcs/1989, editor = {Winfried G{\"{o}}rke and Holger S{\"{o}}rensen}, title = {Fehlertolerierende Rechensysteme / Fault-Tolerant Computing Systems, Automatisierungssysteme, Methoden, Anwendungen / Automation Systems, Methods, Applications; 4. Internationale GI/ITG/GMA-Fachtagung, Baden-Baden, Deutschland, 20.-22. September 1989, Proceedings}, series = {Informatik-Fachberichte}, volume = {214}, publisher = {Springer}, year = {1989}, url = {https://doi.org/10.1007/978-3-642-75002-1}, doi = {10.1007/978-3-642-75002-1}, isbn = {3-540-51565-8}, timestamp = {Sat, 23 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icftcs/1989.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/ndltd/Song88, author = {William S. Song}, title = {A fault-tolerant multiprocessor architecture for digital signal processing applications}, school = {Massachusetts Institute of Technology, Cambridge, MA, {USA}}, year = {1988}, url = {https://hdl.handle.net/1721.1/14427}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/ndltd/Song88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/CarpenterHT88, author = {Geof F. Carpenter and David J. Holding and Andy M. Tyrrell}, title = {The design and simulation of software fault tolerant mechanisms for application in distributed processing systems}, journal = {Microprocess. Microprogramming}, volume = {22}, number = {3}, pages = {175--185}, year = {1988}, url = {https://doi.org/10.1016/0165-6074(88)90420-6}, doi = {10.1016/0165-6074(88)90420-6}, timestamp = {Fri, 05 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/CarpenterHT88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scjapan/KameyamaZH88, author = {Michitaka Kameyama and Li Zheng and Tatsuo Higuchi}, title = {Design of a Fault-Tolerant System Based on Knowledge-Engineering Approach and Its Application to a Digital Control System}, journal = {Syst. Comput. Jpn.}, volume = {19}, number = {12}, pages = {81--91}, year = {1988}, url = {https://doi.org/10.1002/scj.4690191209}, doi = {10.1002/SCJ.4690191209}, timestamp = {Wed, 13 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scjapan/KameyamaZH88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cbms/McAllisterN88, author = {David F. McAllister and H. Troy Nagle}, title = {Toward a fault-tolerant processor for medical applications}, booktitle = {First Annual {IEEE} Symposium on Computer-Based Medical Systems (CBMS'88), June 8-10, 1988, Minneapolis, MN, {USA}}, pages = {101--104}, publisher = {{IEEE}}, year = {1988}, url = {https://doi.org/10.1109/ECBS.1988.5456}, doi = {10.1109/ECBS.1988.5456}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/cbms/McAllisterN88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/TamirTR88, author = {Yuval Tamir and Marc Tremblay and David A. Rennels}, title = {The implementation and application of micro rollback in fault-tolerant {VLSI} systems}, booktitle = {Proceedings of the Eighteenth International Symposium on Fault-Tolerant Computing, {FTCS} 1988, Tokyo, Japan, 27-30 June, 1988}, pages = {234--239}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/FTCS.1988.5325}, doi = {10.1109/FTCS.1988.5325}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/TamirTR88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/srds/CaglayanLE88, author = {Alper K. Caglayan and Paul R. Lorczak and Dave E. Eckhardt}, title = {A Experimental Investigation of Software Diversity in a Fault-Tolerant Avionics Application}, booktitle = {Seventh Symposium on Reliable Distributed Systems, {SRDS} 1988, Columbus, Ohio, USA, October 10-12, 1988, Proceedings}, pages = {63--70}, publisher = {{IEEE} Computer Society}, year = {1988}, url = {https://doi.org/10.1109/RELDIS.1988.25781}, doi = {10.1109/RELDIS.1988.25781}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/srds/CaglayanLE88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Chen87b, author = {Chien{-}Yi Chen}, title = {Design and Analysis of Fault-Tolerant Processor Arrays for Numerical Applications}, school = {University of Illinois Urbana-Champaign, {USA}}, year = {1987}, url = {https://hdl.handle.net/2142/69573}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Chen87b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mst/ChungR86, author = {Fan R. K. Chung and Arnold L. Rosenberg}, title = {Minced Trees, with Applications to Fault-Tolerant {VLSI} Processor Arrays}, journal = {Math. Syst. Theory}, volume = {19}, number = {1}, pages = {1--12}, year = {1986}, url = {https://doi.org/10.1007/BF01704902}, doi = {10.1007/BF01704902}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mst/ChungR86.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computer/Serlin84, author = {Omri Serlin}, title = {Fault-Tolerant Systems in Commercial Applications}, journal = {Computer}, volume = {17}, number = {8}, pages = {19--30}, year = {1984}, url = {https://doi.org/10.1109/MC.1984.1659214}, doi = {10.1109/MC.1984.1659214}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computer/Serlin84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/Aichelmann84, author = {F. J. Aichelmann Jr.}, title = {Fault-Tolerant Design Techniques for Semiconductor Memory Applications}, journal = {{IBM} J. Res. Dev.}, volume = {28}, number = {2}, pages = {177--183}, year = {1984}, url = {https://doi.org/10.1147/rd.282.0177}, doi = {10.1147/RD.282.0177}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/Aichelmann84.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ibmrd/Comfort83, author = {Webb T. Comfort}, title = {A Fault-Tolerant System Architecture for Navy Applications}, journal = {{IBM} J. Res. Dev.}, volume = {27}, number = {3}, pages = {219--236}, year = {1983}, url = {https://doi.org/10.1147/rd.273.0219}, doi = {10.1147/RD.273.0219}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ibmrd/Comfort83.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SenguptaCPBC81, author = {A. Sengupta and D. K. Chattopadhyay and A. Palit and A. K. Bandyopadhyay and Arun K. Choudhury}, title = {Realization of Fault-Tolerant Machines - Linear Code Application}, journal = {{IEEE} Trans. Computers}, volume = {30}, number = {3}, pages = {237--240}, year = {1981}, url = {https://doi.org/10.1109/TC.1981.1675762}, doi = {10.1109/TC.1981.1675762}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/SenguptaCPBC81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apn/AyacheAD81, author = {Jean{-}Michel Ayache and Pierre Az{\'{e}}ma and Michel Diaz}, editor = {Claude Girault and Wolfgang Reisig}, title = {Towards Fault Tolerant Real Time Systems by Using Petri Nets}, booktitle = {Application and Theory of Petri Nets, Selected Papers from the First and the Second European Workshop on Application and Theory of Petri Nets, Strasbourg, France 23.-26. September 1980, Bad Honnef, Germany, 28.-30. September 1981}, series = {Informatik-Fachberichte}, volume = {52}, pages = {228--233}, publisher = {Springer}, year = {1981}, url = {https://doi.org/10.1007/978-3-642-68353-4\_39}, doi = {10.1007/978-3-642-68353-4\_39}, timestamp = {Tue, 19 Jun 2018 18:32:05 +0200}, biburl = {https://dblp.org/rec/conf/apn/AyacheAD81.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Pradhan80, author = {Dhiraj K. Pradhan}, title = {A New Class of Error-Correcting/Detecting Codes for Fault-Tolerant Computer Applications}, journal = {{IEEE} Trans. Computers}, volume = {29}, number = {6}, pages = {471--481}, year = {1980}, url = {https://doi.org/10.1109/TC.1980.1675606}, doi = {10.1109/TC.1980.1675606}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Pradhan80.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/Shapiro79, author = {Stephen D. Shapiro}, title = {Application of pattern recognition techniques to fault tolerant software systems}, booktitle = {The {IEEE} Computer Society's Third International Computer Software and Applications Conference, {COMPSAC} 1979, 6-8 November, 1979, Chicago, Illinois, {USA}}, pages = {776--782}, publisher = {{IEEE}}, year = {1979}, url = {https://doi.org/10.1109/CMPSAC.1979.762599}, doi = {10.1109/CMPSAC.1979.762599}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/compsac/Shapiro79.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/Hecht76, author = {Herbert Hecht}, title = {Fault-Tolerant Software for Real-Time Applications}, journal = {{ACM} Comput. Surv.}, volume = {8}, number = {4}, pages = {391--407}, year = {1976}, url = {https://doi.org/10.1145/356678.356681}, doi = {10.1145/356678.356681}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csur/Hecht76.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/FischlerF73, author = {Martin A. Fischler and Oscar Firschein}, editor = {G. Jack Lipovski and Stephen A. Szygenda}, title = {A Fault Tolerant Multiprocessor Architecture for Real Time Control Applications}, booktitle = {Proceedings of the 1st Annual Symposium on Computer Architecture, Gainesville, FL, USA, December 1973}, pages = {151--157}, publisher = {{ACM}}, year = {1973}, url = {https://doi.org/10.1145/800123.803980}, doi = {10.1145/800123.803980}, timestamp = {Tue, 20 Jul 2021 13:44:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/FischlerF73.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.