Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "deadlock-free routing"
@article{DBLP:journals/algorithms/ElMesadyRAI23, author = {Ahmed Ibrahim El{-}Mesady and Aleksandr Yu. Romanov and Aleksandr A. Amerikanov and Alexander Ivannikov}, title = {On Bipartite Circulant Graph Decompositions Based on Cartesian and Tensor Products with Novel Topologies and Deadlock-Free Routing}, journal = {Algorithms}, volume = {16}, number = {1}, pages = {10}, year = {2023}, url = {https://doi.org/10.3390/a16010010}, doi = {10.3390/A16010010}, timestamp = {Wed, 01 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/algorithms/ElMesadyRAI23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/YangDGQZTS23, author = {Lingxiao Yang and Xuewen Dong and Sheng Gao and Qiang Qu and Xiaodong Zhang and Wensheng Tian and Yulong Shen}, title = {Optimal Hub Placement and Deadlock-Free Routing for Payment Channel Network Scalability}, booktitle = {43rd {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2023, Hong Kong, July 18-21, 2023}, pages = {692--702}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDCS57875.2023.00087}, doi = {10.1109/ICDCS57875.2023.00087}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/YangDGQZTS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ZhangWZLY23, author = {Xiaoran Zhang and Mingyu Wang and Yicong Zhang and Tao Lu and Zhiyi Yu}, title = {A Scalable Deadlock-Free Static Routing Algorithm for Chiplet-Based Systems}, booktitle = {29th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2023, Ocean Flower Island, China, December 17-21, 2023}, pages = {1350--1357}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICPADS60453.2023.00193}, doi = {10.1109/ICPADS60453.2023.00193}, timestamp = {Sun, 14 Apr 2024 20:48:59 +0200}, biburl = {https://dblp.org/rec/conf/icpads/ZhangWZLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/LiuR23, author = {Shuang Liu and Martin Radetzki}, title = {Systematic Construction of Deadlock-Free Routing for NoC Using Integer Linear Programming}, booktitle = {16th {IEEE} International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2023, Singapore, December 18-21, 2023}, pages = {332--339}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MCSoC60832.2023.00056}, doi = {10.1109/MCSOC60832.2023.00056}, timestamp = {Fri, 09 Feb 2024 20:38:48 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/LiuR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/YeLLJ23, author = {Yaoyao Ye and Zixuan Liu and Jungan Liu and Li Jiang}, title = {{ASDR:} An Application-Specific Deadlock-Free Routing for Chiplet-Based Systems}, booktitle = {Proceedings of the 16th International Workshop on Network on Chip Architectures, NoCArc 2023, Toronto, ON, Canada, 28 October 2023}, pages = {46--51}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3610396.3618088}, doi = {10.1145/3610396.3618088}, timestamp = {Mon, 04 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/YeLLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-19182, author = {Lingxiao Yang and Xuewen Dong and Sheng Gao and Qiang Qu and Xiaodong Zhang and Wensheng Tian and Yulong Shen}, title = {Optimal Hub Placement and Deadlock-Free Routing for Payment Channel Network Scalability}, journal = {CoRR}, volume = {abs/2305.19182}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.19182}, doi = {10.48550/ARXIV.2305.19182}, eprinttype = {arXiv}, eprint = {2305.19182}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-19182.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/OlenevK22, author = {Valentin Olenev and Alexandr Karandashev}, title = {Configuring Methods for Deadlock-Free Routing}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {13}, number = {1}, pages = {1--20}, year = {2022}, url = {https://doi.org/10.4018/IJERTCS.289199}, doi = {10.4018/IJERTCS.289199}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/OlenevK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ManzoorMH22, author = {Misbah Manzoor and Roohie Naaz Mir and Najeeb{-}ud{-}Din Hakim}, title = {Prime turn model and first last turn model: An adaptive deadlock free routing for network-on-chips}, journal = {Microprocess. Microsystems}, volume = {89}, pages = {104454}, year = {2022}, url = {https://doi.org/10.1016/j.micpro.2022.104454}, doi = {10.1016/J.MICPRO.2022.104454}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/ManzoorMH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/ManzoorMH22a, author = {Misbah Manzoor and Roohie Naaz Mir and Najeeb{-}ud{-}Din Hakim}, title = {{PAAD} (Partially adaptive and deterministic routing): {A} deadlock free congestion aware hybrid routing for 2D mesh network-on-chips}, journal = {Microprocess. Microsystems}, volume = {92}, pages = {104551}, year = {2022}, url = {https://doi.org/10.1016/j.micpro.2022.104551}, doi = {10.1016/J.MICPRO.2022.104551}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mam/ManzoorMH22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/DaiLMC22, author = {Yi Dai and Kai Lu and Sheng Ma and Junsheng Chang}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {Full-credit Flow Control: {A} Novel Technique to Implement Deadlock-free Adaptive Routing}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1041--1046}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774519}, doi = {10.23919/DATE54114.2022.9774519}, timestamp = {Wed, 25 May 2022 22:56:19 +0200}, biburl = {https://dblp.org/rec/conf/date/DaiLMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/TaheriPN22, author = {Ebadollah Taheri and Sudeep Pasricha and Mahdi Nikdast}, editor = {Cristiana Bolchini and Ingrid Verbauwhede and Ioana Vatajelu}, title = {DeFT: {A} Deadlock-Free and Fault-Tolerant Routing Algorithm for 2.5D Chiplet Networks}, booktitle = {2022 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2022, Antwerp, Belgium, March 14-23, 2022}, pages = {1047--1052}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/DATE54114.2022.9774617}, doi = {10.23919/DATE54114.2022.9774617}, timestamp = {Wed, 25 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/TaheriPN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eitce/LiuLY22, author = {Jungan Liu and Chang Li and Yaoyao Ye}, title = {A Path Utilization-Based Congestion-Aware Deadlock-Free Routing for Network-on-Chip}, booktitle = {Proceedings of the 2022 6th International Conference on Electronic Information Technology and Computer Engineering, {EITCE} 2022, Xiamen, China, October 21-23, 2022}, pages = {883--889}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3573428.3573587}, doi = {10.1145/3573428.3573587}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eitce/LiuLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic-nc/KawanoMKA22, author = {Ryuta Kawano and Hiroki Matsutani and Michihiro Koibuchi and Hideharu Amano}, title = {Dynamic Routing Reconfiguration for Low-Latency and Deadlock-Free Interconnection Networks}, booktitle = {Tenth International Symposium on Computing and Networking, {CANDAR} 2022, Himeji, Japan, November 21-24, 2022}, pages = {117--123}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CANDAR57322.2022.00023}, doi = {10.1109/CANDAR57322.2022.00023}, timestamp = {Mon, 13 Feb 2023 21:53:10 +0100}, biburl = {https://dblp.org/rec/conf/ic-nc/KawanoMKA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/MatheyEGQZ21, author = {German {Maglione Mathey} and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Eitan Zahavi}, title = {Leveraging InfiniBand controller to configure deadlock-free routing engines for Dragonflies}, journal = {J. Parallel Distributed Comput.}, volume = {147}, pages = {16--33}, year = {2021}, url = {https://doi.org/10.1016/j.jpdc.2020.07.010}, doi = {10.1016/J.JPDC.2020.07.010}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/MatheyEGQZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-09234, author = {Ebadollah Taheri and Sudeep Pasricha and Mahdi Nikdast}, title = {DeFT: {A} Deadlock-Free and Fault-Tolerant Routing Algorithm for 2.5D Chiplet Networks}, journal = {CoRR}, volume = {abs/2112.09234}, year = {2021}, url = {https://arxiv.org/abs/2112.09234}, eprinttype = {arXiv}, eprint = {2112.09234}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-09234.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Parasar20, author = {Mayank Parasar}, title = {Subactive techniques for guaranteeing routing and protocol deadlock freedom in interconnection networks}, school = {Georgia Institute of Technology, Atlanta, GA, {USA}}, year = {2020}, url = {https://hdl.handle.net/1853/63654}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Parasar20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeenl/SaeedSLPL20, author = {Taqwa Saeed and Vassos Soteriou and Christos Liaskos and Andreas Pitsillides and Marios Lestas}, title = {Toward Fault-Tolerant Deadlock-Free Routing in HyperSurface-Embedded Controller Networks}, journal = {{IEEE} Netw. Lett.}, volume = {2}, number = {3}, pages = {140--144}, year = {2020}, url = {https://doi.org/10.1109/lnet.2020.3010436}, doi = {10.1109/LNET.2020.3010436}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeenl/SaeedSLPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/CaiXJ20, author = {Yuan Cai and Dong Xiang and Xiang Ji}, title = {Deadlock-free adaptive 3D network-on-chips routing algorithm with repetitive turn concept}, journal = {{IET} Commun.}, volume = {14}, number = {11}, pages = {1784--1793}, year = {2020}, url = {https://doi.org/10.1049/iet-com.2019.0269}, doi = {10.1049/IET-COM.2019.0269}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/CaiXJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/DasGCNBK20, author = {Tuhin Subhra Das and Prasun Ghosal and Navonil Chatterjee and Arnab Nath and Akash Banerjee and Subhojyoti Khastagir}, title = {Application of Logical Sub-networking in Congestion-aware Deadlock-free SDmesh Routing}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {19}, number = {4}, pages = {24:1--24:26}, year = {2020}, url = {https://doi.org/10.1145/3387928}, doi = {10.1145/3387928}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/DasGCNBK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tetc/CharifCZN20, author = {Amir Charif and Alexandre Coelho and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {A Dynamic Sufficient Condition of Deadlock-Freedom for High-Performance Fault-Tolerant Routing in Networks-on-Chips}, journal = {{IEEE} Trans. Emerg. Top. Comput.}, volume = {8}, number = {3}, pages = {642--654}, year = {2020}, url = {https://doi.org/10.1109/TETC.2017.2776909}, doi = {10.1109/TETC.2017.2776909}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tetc/CharifCZN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-04407, author = {Taqwa Saeed and Vassos Soteriou and Christos Liaskos and Andreas Pitsillides and Marios Lestas}, title = {Toward Fault-Tolerant Deadlock-Free Routing in HyperSurface-Embedded Controller Networks}, journal = {CoRR}, volume = {abs/2011.04407}, year = {2020}, url = {https://arxiv.org/abs/2011.04407}, eprinttype = {arXiv}, eprint = {2011.04407}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-04407.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/YebenesEGQH19, author = {Pedro Y{\'{e}}benes and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Torsten Hoefler}, title = {Head-of-line blocking avoidance in Slim Fly networks using deadlock-free non-minimal and adaptive routing}, journal = {Concurr. Comput. Pract. Exp.}, volume = {31}, number = {2}, year = {2019}, url = {https://doi.org/10.1002/cpe.4441}, doi = {10.1002/CPE.4441}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/YebenesEGQH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic-nc/KawanoMA19, author = {Ryuta Kawano and Hiroki Matsutani and Hideharu Amano}, title = {Deadlock-Free Layered Routing for Infiniband Networks}, booktitle = {Seventh International Symposium on Computing and Networking Workshops, {CANDAR} 2019 Workshops, Nagasaki, Japan, November 26-29, 2019}, pages = {84--90}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CANDARW.2019.00023}, doi = {10.1109/CANDARW.2019.00023}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic-nc/KawanoMA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/MohseniR18, author = {Zeynab Mohseni and Midia Reshadi}, title = {A deadlock-free routing algorithm for irregular 3D network-on-chips with wireless links}, journal = {J. Supercomput.}, volume = {74}, number = {2}, pages = {953--969}, year = {2018}, url = {https://doi.org/10.1007/s11227-017-2173-9}, doi = {10.1007/S11227-017-2173-9}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/MohseniR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/GhaderiAB18, author = {Zana Ghaderi and Ayed Alqahtani and Nader Bagherzadeh}, title = {AROMa: Aging-Aware Deadlock-Free Adaptive Routing Algorithm and Online Monitoring in 3D NoCs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {4}, pages = {772--788}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2017.2780173}, doi = {10.1109/TPDS.2017.2780173}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/GhaderiAB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/MatheyYEGQZ18, author = {German {Maglione Mathey} and Pedro Y{\'{e}}benes and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Eitan Zahavi}, title = {Scalable Deadlock-Free Deterministic Minimal-Path Routing Engine for InfiniBand-Based Dragonfly Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {29}, number = {1}, pages = {183--197}, year = {2018}, url = {https://doi.org/10.1109/TPDS.2017.2742503}, doi = {10.1109/TPDS.2017.2742503}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/MatheyYEGQZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fruct/KurbanovRS18, author = {Lev Kurbanov and Ksenia Rozhdestvenskaya and Elena Suvorova}, title = {Deadlock-Free Routing in SpaceWire Onboard Network}, booktitle = {22nd Conference of Open Innovations Association, {FRUCT} 2018, Jyv{\"{a}}skyl{\"{a}}, Finland, May 15-18, 2018}, pages = {107--114}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/FRUCT.2018.8468268}, doi = {10.23919/FRUCT.2018.8468268}, timestamp = {Mon, 16 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fruct/KurbanovRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/CaiXJ18, author = {Yuan Cai and Dong Xiang and Xiang Ji}, editor = {Jinjun Chen and Laurence T. Yang}, title = {Deadlock-Free Adaptive Routing Based on the Repetitive Turn Model for 3D Network-on-Chip}, booktitle = {{IEEE} International Conference on Parallel {\&} Distributed Processing with Applications, Ubiquitous Computing {\&} Communications, Big Data {\&} Cloud Computing, Social Computing {\&} Networking, Sustainable Computing {\&} Communications, ISPA/IUCC/BDCloud/SocialCom/SustainCom 2018, Melbourne, Australia, December 11-13, 2018}, pages = {722--728}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BDCloud.2018.00109}, doi = {10.1109/BDCLOUD.2018.00109}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ispa/CaiXJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/StroobantATACP18, author = {Pieter Stroobant and Sergi Abadal and Wouter Tavernier and Eduard Alarc{\'{o}}n and Didier Colle and Mario Pickavet}, title = {A General, Fault tolerant, Adaptive, Deadlock-free Routing Protocol for Network-on-chip}, booktitle = {11th International Workshop on Network on Chip Architectures, NoCArc@MICRO 2018, Fukuoka, Japan, October 20, 2018}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/NOCARC.2018.8541212}, doi = {10.1109/NOCARC.2018.8541212}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/StroobantATACP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1811-11262, author = {Pieter Stroobant and Sergi Abadal and Wouter Tavernier and Eduard Alarc{\'{o}}n and Didier Colle and Mario Pickavet}, title = {A General, Fault tolerant, Adaptive, Deadlock-free Routing Protocol for Network-on-chip}, journal = {CoRR}, volume = {abs/1811.11262}, year = {2018}, url = {http://arxiv.org/abs/1811.11262}, eprinttype = {arXiv}, eprint = {1811.11262}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1811-11262.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/dnb/Domke17, author = {Jens Domke}, title = {Routing on the Channel Dependency Graph:: {A} New Approach to Deadlock-Free, Destination-Based, High-Performance Routing for Lossless Interconnection Networks}, school = {Dresden University of Technology, Germany}, year = {2017}, url = {https://nbn-resolving.org/urn:nbn:de:bsz:14-qucosa-225902}, urn = {urn:nbn:de:bsz:14-qucosa-225902}, timestamp = {Sat, 17 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/dnb/Domke17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/YebenesEGAF17, author = {Pedro Y{\'{e}}benes and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Alfaro{-}Cortes and Francisco Jos{\'{e}} Quiles Flor}, title = {Providing differentiated services, congestion management, and deadlock freedom in dragonfly networks with adaptive routing}, journal = {Concurr. Comput. Pract. Exp.}, volume = {29}, number = {13}, year = {2017}, url = {https://doi.org/10.1002/cpe.4066}, doi = {10.1002/CPE.4066}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/YebenesEGAF17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/YebenesEGAQ17, author = {Pedro Y{\'{e}}benes and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Alfaro{-}Cortes and Francisco J. Quiles}, title = {Providing differentiated services, congestion management, and deadlock freedom in dragonfly networks with adaptive routing}, journal = {Concurr. Comput. Pract. Exp.}, volume = {29}, number = {21}, year = {2017}, url = {https://doi.org/10.1002/cpe.4292}, doi = {10.1002/CPE.4292}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/concurrency/YebenesEGAQ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KawanoNTFMKA17, author = {Ryuta Kawano and Hiroshi Nakahara and Seiichi Tade and Ikki Fujiwara and Hiroki Matsutani and Michihiro Koibuchi and Hideharu Amano}, title = {A Novel Channel Assignment Method to Ensure Deadlock-Freedom for Deterministic Routing}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {100-D}, number = {8}, pages = {1798--1806}, year = {2017}, url = {https://doi.org/10.1587/transinf.2016EDP7477}, doi = {10.1587/TRANSINF.2016EDP7477}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KawanoNTFMKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ewdts/JanfazaB17, author = {Vahid Janfaza and Elaheh Baharlouei}, title = {A new fault-tolerant deadlock-free fully adaptive routing in {NOC}}, booktitle = {2017 {IEEE} East-West Design {\&} Test Symposium, {EWDTS} 2017, Novi Sad, Serbia, September 29 - October 2, 2017}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/EWDTS.2017.8110139}, doi = {10.1109/EWDTS.2017.8110139}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ewdts/JanfazaB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/YebenesEG0H17, author = {Pedro Y{\'{e}}benes and Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a and Francisco J. Quiles and Torsten Hoefler}, editor = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a}, title = {An Effective Queuing Scheme to Provide Slim Fly Topologies with HoL Blocking Reduction and Deadlock Freedom for Minimal-Path Routing}, booktitle = {3rd {IEEE} International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era, HiPINEB@HPCA 2017, Austin, TX, USA, February 5, 2017}, pages = {25--32}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HiPINEB.2017.9}, doi = {10.1109/HIPINEB.2017.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/YebenesEG0H17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/KawanoYMKA17, author = {Ryuta Kawano and Ryota Yasudo and Hiroki Matsutani and Michihiro Koibuchi and Hideharu Amano}, title = {HiRy: An Advanced Theory on Design of Deadlock-Free Adaptive Routing for Arbitrary Topologies}, booktitle = {23rd {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2017, Shenzhen, China, December 15-17, 2017}, pages = {664--673}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ICPADS.2017.00091}, doi = {10.1109/ICPADS.2017.00091}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/KawanoYMKA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mcsoc/DaiJLW17, author = {Jindun Dai and Xin Jiang and Renjie Li and Takahiro Watanabe}, title = {An Efficient Deadlock-Free Adaptive Routing Algorithm for 3D Network-on-Chips}, booktitle = {11th {IEEE} International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC 2017, Seoul, South Korea, September 18-20, 2017}, pages = {29--36}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/MCSoC.2017.10}, doi = {10.1109/MCSOC.2017.10}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mcsoc/DaiJLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ZengPJW16, author = {Lian Zeng and Tieyuan Pan and Xin Jiang and Takahiro Watanabe}, title = {An Efficient Highly Adaptive and Deadlock-Free Routing Algorithm for 3D Network-on-Chip}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {99-A}, number = {7}, pages = {1334--1344}, year = {2016}, url = {https://doi.org/10.1587/transfun.E99.A.1334}, doi = {10.1587/TRANSFUN.E99.A.1334}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ZengPJW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/WangGWYW16, author = {Junhui Wang and Huaxi Gu and Kang Wang and Yintang Yang and Kun Wang}, title = {{DRTL:} {A} heat-balanced deadlock-free routing algorithm for 3D topology network-on-chip}, journal = {Microprocess. Microsystems}, volume = {45}, pages = {95--104}, year = {2016}, url = {https://doi.org/10.1016/j.micpro.2016.04.002}, doi = {10.1016/J.MICPRO.2016.04.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/WangGWYW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/XiangL16, author = {Dong Xiang and Xiaowei Liu}, title = {Deadlock-Free Broadcast Routing in Dragonfly Networks without Virtual Channels}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {9}, pages = {2520--2532}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2503746}, doi = {10.1109/TPDS.2015.2503746}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/XiangL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/KawanoNTFMKA16, author = {Ryuta Kawano and Hiroshi Nakahara and Seiichi Tade and Ikki Fujiwara and Hiroki Matsutani and Michihiro Koibuchi and Hideharu Amano}, title = {{ACRO:} Assignment of channels in reverse order to make arbitrary routing deadlock-free}, booktitle = {15th {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2016, Okayama, Japan, June 26-29, 2016}, pages = {1--6}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICIS.2016.7550818}, doi = {10.1109/ICIS.2016.7550818}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/KawanoNTFMKA16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/CharifZN16, author = {Amir Charif and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {A new approach to deadlock-free fully adaptive routing for high-performance fault-tolerant NoCs}, booktitle = {2016 {IEEE} International Symposium on Defect and Fault Tolerance in {VLSI} and Nanotechnology Systems, {DFT} 2016, Storrs, CT, USA, September 19-20, 2016}, pages = {121--126}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DFT.2016.7684082}, doi = {10.1109/DFT.2016.7684082}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/CharifZN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/MoriamF16, author = {Sadia Moriam and Gerhard P. Fettweis}, editor = {Paris Kitsos}, title = {Fault Tolerant Deadlock-Free Adaptive Routing Algorithms for Hexagonal Networks-on-Chip}, booktitle = {2016 Euromicro Conference on Digital System Design, {DSD} 2016, Limassol, Cyprus, August 31 - September 2, 2016}, pages = {131--137}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/DSD.2016.71}, doi = {10.1109/DSD.2016.71}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/MoriamF16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/QuintinV16, author = {Jean{-}No{\"{e}}l Quintin and Pierre Vign{\'{e}}ras}, editor = {Jes{\'{u}}s Escudero{-}Sahuquillo and Pedro Javier Garc{\'{\i}}a}, title = {Transitively Deadlock-Free Routing Algorithms}, booktitle = {2nd {IEEE} International Workshop on High-Performance Interconnection Networks in the Exascale and Big-Data Era HiPINEB@HPCA 2016, Barcelona, Spain, March 12, 2016}, pages = {16--24}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HIPINEB.2016.10}, doi = {10.1109/HIPINEB.2016.10}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/QuintinV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/DomkeHM16, author = {Jens Domke and Torsten Hoefler and Satoshi Matsuoka}, editor = {Hiroshi Nakashima and Kenjiro Taura and Jack Lange}, title = {Routing on the Dependency Graph: {A} New Approach to Deadlock-Free High-Performance Routing}, booktitle = {Proceedings of the 25th {ACM} International Symposium on High-Performance Parallel and Distributed Computing, {HPDC} 2016, Kyoto, Japan, May 31 - June 04, 2016}, pages = {3--14}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2907294.2907313}, doi = {10.1145/2907294.2907313}, timestamp = {Tue, 06 Nov 2018 11:07:21 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/DomkeHM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/XiaoYZ15, author = {Canwen Xiao and Yue Yang and Jianwen Zhu}, title = {A Sufficient Condition for Deadlock-Free Adaptive Routing in Mesh Networks}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {14}, number = {2}, pages = {111--114}, year = {2015}, url = {https://doi.org/10.1109/LCA.2014.2363829}, doi = {10.1109/LCA.2014.2363829}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/XiaoYZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/LeeKC15, author = {Jinho Lee and Kyungsu Kang and Kiyoung Choi}, title = {{REDELF:} An Energy-Efficient Deadlock-Free Routing for 3D NoCs with Partial Vertical Connections}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {12}, number = {3}, pages = {26:1--26:22}, year = {2015}, url = {https://doi.org/10.1145/2751560}, doi = {10.1145/2751560}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/LeeKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/YuXW15, author = {Zhigang Yu and Dong Xiang and Xinyu Wang}, title = {Balancing virtual channel utilization for deadlock-free routing in torus networks}, journal = {J. Supercomput.}, volume = {71}, number = {8}, pages = {3094--3115}, year = {2015}, url = {https://doi.org/10.1007/s11227-015-1428-6}, doi = {10.1007/S11227-015-1428-6}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/YuXW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/CastilloMBC15, author = {Ernesto Villegas Castillo and Gabriele Miorandi and Davide Bertozzi and Jiang Chau Wang}, editor = {Kentaro Sano and Dimitrios Soudris and Michael H{\"{u}}bner and Pedro C. Diniz}, title = {DyAFNoC: Dynamically Reconfigurable NoC Characterization Using a Simple Adaptive Deadlock-Free Routing Algorithm with a Low Implementation Cost}, booktitle = {Applied Reconfigurable Computing - 11th International Symposium, {ARC} 2015, Bochum, Germany, April 13-17, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9040}, pages = {419--426}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-16214-0\_38}, doi = {10.1007/978-3-319-16214-0\_38}, timestamp = {Wed, 28 Apr 2021 16:06:56 +0200}, biburl = {https://dblp.org/rec/conf/arc/CastilloMBC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/BahrebarS15, author = {Poona Bahrebar and Dirk Stroobandt}, title = {Hamiltonian Path Strategy for Deadlock-Free and Adaptive Routing in Diametrical 2D Mesh NoCs}, booktitle = {15th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2015, Shenzhen, China, May 4-7, 2015}, pages = {1209--1212}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CCGrid.2015.112}, doi = {10.1109/CCGRID.2015.112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/BahrebarS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/YuWSL15, author = {Zhigang Yu and Xinyu Wang and Kele Shen and Haikuo Liu}, editor = {Guojun Wang and Albert Y. Zomaya and Gregorio Mart{\'{\i}}nez P{\'{e}}rez and Kenli Li}, title = {A General Methodology to Design Deadlock-Free Routing Algorithms for Mesh Networks}, booktitle = {Algorithms and Architectures for Parallel Processing - 15th International Conference, {ICA3PP} 2015, Zhangjiajie, China, November 18-20, 2015, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9528}, pages = {478--491}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-27119-4\_33}, doi = {10.1007/978-3-319-27119-4\_33}, timestamp = {Sat, 06 Aug 2022 22:05:44 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/YuWSL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispdc/AlbughdarM15, author = {Marwah Albughdar and Ausif Mahmood}, editor = {Daniel Grosu and Hai Jin and George Papadopoulos}, title = {Maximally Adaptive, Deadlock-Free Routing in Spidergon-Donut Network for Large Multicore NOCs}, booktitle = {14th International Symposium on Parallel and Distributed Computing, {ISPDC} 2015, Limassol, Cyprus, June 29 - July 2, 2015}, pages = {210--214}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ISPDC.2015.31}, doi = {10.1109/ISPDC.2015.31}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispdc/AlbughdarM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/CastilloCMB15, author = {Ernesto Villegas Castillo and Jiang Chau Wang and Gabriele Miorandi and Davide Bertozzi}, title = {Dynamically Reconfigurable NoC using a deadlock-free flexible routing algorithm with a low hardware implementation cost}, booktitle = {{IEEE} 6th Latin American Symposium on Circuits {\&} Systems, {LASCAS} 2015, Montevideo, Uruguay, February 24-27, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/LASCAS.2015.7250477}, doi = {10.1109/LASCAS.2015.7250477}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/lascas/CastilloCMB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iajit/Kadhar14, author = {Mohiadeen Abdul Kadhar}, title = {A deadlock-free dynamic reconfiguration protocol for distributed routing on interconnection networks}, journal = {Int. Arab J. Inf. Technol.}, volume = {11}, number = {6}, pages = {616--622}, year = {2014}, url = {http://iajit.org/index.php?option=com\_content\&task=blogcategory\&id=94\&Itemid=364}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iajit/Kadhar14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/AhmedA14, author = {Ben Akram Ahmed and Abderazek Ben Abdallah}, title = {Graceful deadlock-free fault-tolerant routing algorithm for 3D Network-on-Chip architectures}, journal = {J. Parallel Distributed Comput.}, volume = {74}, number = {4}, pages = {2229--2240}, year = {2014}, url = {https://doi.org/10.1016/j.jpdc.2014.01.002}, doi = {10.1016/J.JPDC.2014.01.002}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/AhmedA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/SomasundaramPV14, author = {Kanagasabapathi Somasundaram and Juha Plosila and Nallasamy Viswanathan}, title = {Deadlock free routing algorithm for minimizing congestion in a Hamiltonian connected recursive 3D-NoCs}, journal = {Microelectron. J.}, volume = {45}, number = {8}, pages = {989--1000}, year = {2014}, url = {https://doi.org/10.1016/j.mejo.2014.05.003}, doi = {10.1016/J.MEJO.2014.05.003}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/SomasundaramPV14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/VerbeekS14, author = {Freek Verbeek and Julien Schmaltz}, title = {A Decision Procedure for Deadlock-Free Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {25}, number = {8}, pages = {1935--1944}, year = {2014}, url = {https://doi.org/10.1109/TPDS.2013.121}, doi = {10.1109/TPDS.2013.121}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/VerbeekS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hipeac/AndujarVA0D14, author = {Francisco J. And{\'{u}}jar and Juan A. Villar and Francisco J. Alfaro and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, editor = {Giorgos Dimitrakopoulos and S{\"{o}}ren Sonntag and Jos{\'{e}} Flich and Pascal Vivet}, title = {Deadlock-free routing mechanism for 3D twin torus networks}, booktitle = {Proceedings of the 8th International Workshop on Interconnection Network Architecture - On-Chip, Multi-Chip, {INA-OCMC} 2014, Vienna, Austria, January 22, 2014}, pages = {3:1--3:4}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556857.2556862}, doi = {10.1145/2556857.2556862}, timestamp = {Fri, 17 Dec 2021 12:59:56 +0100}, biburl = {https://dblp.org/rec/conf/hipeac/AndujarVA0D14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/PerronnetAM14, author = {Florent Perronnet and Abdeljalil Abbas{-}Turki and Abdellah El Moudni}, title = {Vehicle routing through deadlock-free policy for cooperative traffic control in a network of intersections: Reservation and congestion}, booktitle = {17th International {IEEE} Conference on Intelligent Transportation Systems, {ITSC} 2014, Qingdao, China, October 8-11, 2014}, pages = {2233--2238}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ITSC.2014.6958034}, doi = {10.1109/ITSC.2014.6958034}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/PerronnetAM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwssip/DziurzanskiM14, author = {Piotr Dziurzanski and Tomasz Maka}, title = {Network on chips link bandwidth minimization of deadlock-free multi-path routing}, booktitle = {International Conference on Systems, Signals and Image Processing, {IWSSIP} 2014, Dubrovnik, Croatia, May 12-15, 2014}, pages = {131--134}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=6837648}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iwssip/DziurzanskiM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/CastilloMC14, author = {Ernesto Villegas Castillo and Gabriele Miorandi and Jiang Chau Wang}, editor = {Davide Bertozzi and Luca Benini and Sudhakar Yalamanchili and J{\"{o}}rg Henkel}, title = {DyAFNoC: Characterization and analysis of a dynamically reconfigurable NoC using a DOR-based deadlock-free routing algorithm}, booktitle = {Eighth {IEEE/ACM} International Symposium on Networks-on-Chip, NoCS 2014, Ferrara, Italy, September 17-19, 2014}, pages = {190--191}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NOCS.2014.7008788}, doi = {10.1109/NOCS.2014.7008788}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/nocs/CastilloMC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/Hadim14, author = {M. B. Hadim}, title = {The Multi-level Communication: Minimal Deadlock-Free and Storage Efficient Routing for Torus Networks}, booktitle = {22nd Euromicro International Conference on Parallel, Distributed, and Network-Based Processing, {PDP} 2014, Torino, Italy, February 12-14, 2014}, pages = {44--51}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/PDP.2014.12}, doi = {10.1109/PDP.2014.12}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdp/Hadim14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cdt/DahirMAY13, author = {Nizar Dahir and Terrence S. T. Mak and Ra'ed Al{-}Dujaily and Alex Yakovlev}, title = {Highly adaptive and deadlock-free routing for three-dimensional networks-on-chip}, journal = {{IET} Comput. Digit. Tech.}, volume = {7}, number = {6}, pages = {255--263}, year = {2013}, url = {https://doi.org/10.1049/iet-cdt.2013.0029}, doi = {10.1049/IET-CDT.2013.0029}, timestamp = {Tue, 14 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cdt/DahirMAY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/VermaKZ13, author = {Renu Verma and Mohammad Ayoub Khan and Amit Zinzuwadiya}, title = {Power and Latency Optimized Deadlock-Free Routing Algorithm on Irregular 2D Mesh NoC using LBDRe}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {4}, number = {2}, pages = {36--49}, year = {2013}, url = {https://doi.org/10.4018/jertcs.2013040102}, doi = {10.4018/JERTCS.2013040102}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/VermaKZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/DuanW13, author = {Xinming Duan and Jigang Wu}, title = {Deadlock-free Routing Scheme for Irregular Mesh Topology NoCs with Oversized Regions}, journal = {J. Comput.}, volume = {8}, number = {1}, pages = {27--32}, year = {2013}, url = {http://www.jcomputers.us/index.php?m=content\&c=index\&a=show\&catid=50\&id=479}, doi = {10.4304/JCP.8.1.27-32}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/DuanW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/YingJHH13, author = {Haoyuan Ying and Ashok Jaiswal and Thomas Hollstein and Klaus Hofmann}, title = {Deadlock-free generic routing algorithms for 3-dimensional Networks-on-Chip with reduced vertical link density topologies}, journal = {J. Syst. Archit.}, volume = {59}, number = {7}, pages = {528--542}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.03.005}, doi = {10.1016/J.SYSARC.2013.03.005}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/YingJHH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/DuboisSPB13, author = {Florentine Dubois and Abbas Sheibanyrad and Fr{\'{e}}d{\'{e}}ric P{\'{e}}trot and Maryam Bahmani}, title = {Elevator-First: {A} Deadlock-Free Distributed Routing Algorithm for Vertically Partially Connected 3D-NoCs}, journal = {{IEEE} Trans. Computers}, volume = {62}, number = {3}, pages = {609--615}, year = {2013}, url = {https://doi.org/10.1109/TC.2011.239}, doi = {10.1109/TC.2011.239}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/DuboisSPB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/LeeC13, author = {Jinho Lee and Kiyoung Choi}, title = {A deadlock-free routing algorithm requiring no virtual channel on 3D-NoCs with partial vertical connections}, booktitle = {2013 Seventh {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Tempe, AZ, USA, April 21-24, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NoCS.2013.6558407}, doi = {10.1109/NOCS.2013.6558407}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/nocs/LeeC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/VermaMMTS13, author = {Amit Verma and Pritpal S. Multani and Daniel Mueller{-}Gritschneder and Vladimir Todorov and Ulf Schlichtmann}, title = {A greedy approach for latency-bounded deadlock-free routing path allocation for application-specific NoCs}, booktitle = {2013 Seventh {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Tempe, AZ, USA, April 21-24, 2013}, pages = {1--7}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NoCS.2013.6558417}, doi = {10.1109/NOCS.2013.6558417}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/VermaMMTS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/FengZD13, author = {Rui Feng and Peng Zhang and Yuefan Deng}, title = {Deadlock-Free Routing Algorithms for 6D Mesh/iBT Interconnection Networks}, booktitle = {14th {ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2013, Honolulu, Hawaii, USA, 1-3 July, 2013}, pages = {275--282}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/SNPD.2013.43}, doi = {10.1109/SNPD.2013.43}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/FengZD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/XiangY013, author = {Dong Xiang and Zhigang Yu and Jie Wu}, title = {Deadlock-Free Fully Adaptive Routing in Irregular Networks without Virtual Channels}, booktitle = {12th {IEEE} International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2013 / 11th {IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA-13} / 12th {IEEE} International Conference on Ubiquitous Computing and Communications, IUCC-2013, Melbourne, Australia, July 16-18, 2013}, pages = {983--990}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TrustCom.2013.120}, doi = {10.1109/TRUSTCOM.2013.120}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/XiangY013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi-dat/LeeCY13, author = {Kuen{-}Jong Lee and Chin{-}Yao Chang and Hung{-}Yang Yang}, title = {An efficient deadlock-free multicast routing algorithm for mesh-based networks-on-chip}, booktitle = {2013 International Symposium on {VLSI} Design, Automation, and Test, {VLSI-DAT} 2013, Hsinchu, Taiwan, April 22-24, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/VLDI-DAT.2013.6533824}, doi = {10.1109/VLDI-DAT.2013.6533824}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/vlsi-dat/LeeCY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijertcs/SomasundaramP12, author = {K. Somasundaram and Juha Plosila}, title = {Deadlock Free Routing Algorithm for Minimizing Data Packet Transmission in Network on Chip}, journal = {Int. J. Embed. Real Time Commun. Syst.}, volume = {3}, number = {1}, pages = {70--81}, year = {2012}, url = {https://doi.org/10.4018/jertcs.2012010104}, doi = {10.4018/JERTCS.2012010104}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijertcs/SomasundaramP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jar/VerbeekS12, author = {Freek Verbeek and Julien Schmaltz}, title = {Proof Pearl: {A} Formal Proof of Dally and Seitz' Necessary and Sufficient Condition for Deadlock-Free Routing in Interconnection Networks}, journal = {J. Autom. Reason.}, volume = {48}, number = {4}, pages = {419--439}, year = {2012}, url = {https://doi.org/10.1007/s10817-010-9206-x}, doi = {10.1007/S10817-010-9206-X}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jar/VerbeekS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/SammanHG12, author = {Faizal Arya Samman and Thomas Hollstein and Manfred Glesner}, title = {Planar adaptive network-on-chip supporting deadlock-free and efficient tree-based multicast routing method}, journal = {Microprocess. Microsystems}, volume = {36}, number = {6}, pages = {449--461}, year = {2012}, url = {https://doi.org/10.1016/j.micpro.2012.04.003}, doi = {10.1016/J.MICPRO.2012.04.003}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/SammanHG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/SammanHG12a, author = {Faizal Arya Samman and Thomas Hollstein and Manfred Glesner}, title = {Erratum to Planar adaptive network-on-chip supporting deadlock-free and efficient tree-based multicast routing method Microprocessors and Microsystems {(2012)} 449-461}, journal = {Microprocess. Microsystems}, volume = {36}, number = {6}, pages = {527}, year = {2012}, url = {https://doi.org/10.1016/j.micpro.2012.07.001}, doi = {10.1016/J.MICPRO.2012.07.001}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/SammanHG12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taco/BogdanskiRSG12, author = {Bartosz Bogdanski and Sven{-}Arne Reinemo and Frank Olaf Sem{-}Jacobsen and Ernst Gunnar Gran}, title = {sFtree: {A} fully connected and deadlock-free switch-to-switch routing algorithm for fat-trees}, journal = {{ACM} Trans. Archit. Code Optim.}, volume = {8}, number = {4}, pages = {55:1--55:20}, year = {2012}, url = {https://doi.org/10.1145/2086696.2086734}, doi = {10.1145/2086696.2086734}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taco/BogdanskiRSG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ZhouC12, author = {Jiazheng Zhou and Yeh{-}Ching Chung}, title = {Tree-turn routing: an efficient deadlock-free routing algorithm for irregular networks}, journal = {J. Supercomput.}, volume = {59}, number = {2}, pages = {882--900}, year = {2012}, url = {https://doi.org/10.1007/s11227-010-0477-0}, doi = {10.1007/S11227-010-0477-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ZhouC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/XiangL12, author = {Dong Xiang and Wei Luo}, title = {An Efficient Adaptive Deadlock-Free Routing Algorithm for Torus Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {23}, number = {5}, pages = {800--808}, year = {2012}, url = {https://doi.org/10.1109/TPDS.2011.145}, doi = {10.1109/TPDS.2011.145}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/XiangL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/YingJH12, author = {Haoyuan Ying and Ashok Jaiswal and Klaus Hofmann}, editor = {Waleed W. Smari and Vesna Zeljkovic}, title = {Deadlock-free routing algorithms for 3-dimension Networks-on-Chip with reduced vertical channel density topologies}, booktitle = {2012 International Conference on High Performance Computing {\&} Simulation, {HPCS} 2012, Madrid, Spain, July 2-6, 2012}, pages = {268--274}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HPCSim.2012.6266923}, doi = {10.1109/HPCSIM.2012.6266923}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/YingJH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/XiangH12, author = {Dong Xiang and Jiangxue Han}, title = {Multiple Spanning Tree Construction for Deadlock-Free Adaptive Routing in Irregular Networks}, booktitle = {10th {IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA} 2012, Leganes, Madrid, Spain, July 10-13, 2012}, pages = {9--16}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ISPA.2012.143}, doi = {10.1109/ISPA.2012.143}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ispa/XiangH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/DahirAYMM12, author = {Nizar Dahir and Ra'ed Al{-}Dujaily and Alex Yakovlev and Petros Missailidis and Terrence S. T. Mak}, editor = {Maurizio Palesi and Terrence S. T. Mak}, title = {Deadlock-free and plane-balanced adaptive routing for 3D networks-on-chip}, booktitle = {Fifth International Workshop on Network on Chip Architectures, NoCArc '12, Vancouver, BC, Canada, December 1, 2012}, pages = {31--36}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2401716.2401724}, doi = {10.1145/2401716.2401724}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micro/DahirAYMM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/ZhaoW12, author = {Dan Zhao and Ruizhe Wu}, title = {Overlaid Mesh Topology Design and Deadlock Free Routing in Wireless Network-on-Chip}, booktitle = {2012 Sixth {IEEE/ACM} International Symposium on Networks-on-Chip (NoCS), Copenhagen, Denmark, 9-11 May, 2012}, pages = {27--34}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/NOCS.2012.11}, doi = {10.1109/NOCS.2012.11}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/ZhaoW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sbcci/AlhussienBVGS12, author = {Abdulaziz Alhussien and Nader Bagherzadeh and Freek Verbeek and Bernard van Gastel and Julien Schmaltz}, title = {A formally verified deadlock-free routing function in a fault-tolerant NoC architecture}, booktitle = {25th Symposium on Integrated Circuits and Systems Design, {SBCCI} 2012, Brasilia, Brazil, August 30 - September 2, 2012}, pages = {1--6}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/SBCCI.2012.6344433}, doi = {10.1109/SBCCI.2012.6344433}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/sbcci/AlhussienBVGS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/JacksonH11, author = {Chris Jackson and Simon J. Hollis}, title = {A deadlock-free routing algorithm for dynamically reconfigurable Networks-on-Chip}, journal = {Microprocess. Microsystems}, volume = {35}, number = {2}, pages = {139--151}, year = {2011}, url = {https://doi.org/10.1016/j.micpro.2010.09.004}, doi = {10.1016/J.MICPRO.2010.09.004}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/JacksonH11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tdsc/Xiang11, author = {Dong Xiang}, title = {Deadlock-Free Adaptive Routing in Meshes with Fault-Tolerance Ability Based on Channel Overlapping}, journal = {{IEEE} Trans. Dependable Secur. Comput.}, volume = {8}, number = {1}, pages = {74--88}, year = {2011}, url = {https://doi.org/10.1109/TDSC.2009.3}, doi = {10.1109/TDSC.2009.3}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tdsc/Xiang11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Robles-GomezBC11, author = {Antonio Robles{-}G{\'{o}}mez and Aurelio Berm{\'{u}}dez and Rafael Casado}, title = {A Deadlock-Free Dynamic Reconfiguration Scheme for Source Routing Networks Using Close Up*/Down* Graphs}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {10}, pages = {1641--1652}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2011.79}, doi = {10.1109/TPDS.2011.79}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Robles-GomezBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/SammanHG11, author = {Faizal Arya Samman and Thomas Hollstein and Manfred Glesner}, title = {New Theory for Deadlock-Free Multicast Routing in Wormhole-Switched Virtual-Channelless Networks-on-Chip}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {4}, pages = {544--557}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2010.120}, doi = {10.1109/TPDS.2010.120}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tpds/SammanHG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/VerbeekS11, author = {Freek Verbeek and Julien Schmaltz}, title = {A Comment on "A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks"}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {10}, pages = {1775--1776}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2011.16}, doi = {10.1109/TPDS.2011.16}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/VerbeekS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/VerbeekS11a, author = {Freek Verbeek and Julien Schmaltz}, title = {On Necessary and Sufficient Conditions for Deadlock-Free Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {22}, number = {12}, pages = {2022--2032}, year = {2011}, url = {https://doi.org/10.1109/TPDS.2011.60}, doi = {10.1109/TPDS.2011.60}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/VerbeekS11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/HolsmarkK11, author = {Rickard Holsmark and Shashi Kumar}, title = {An Abstraction to Support Design of Deadlock-free Routing Algorithms for Large and Hierarchical NoCs}, booktitle = {11th {IEEE} International Conference on Computer and Information Technology, {CIT} 2011, Pafos, Cyprus, 31 August-2 September 2011}, pages = {59--66}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CIT.2011.32}, doi = {10.1109/CIT.2011.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/HolsmarkK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ChaixAZN11, author = {Fabien Chaix and Dimiter Avresky and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {A fault-tolerant deadlock-free adaptive routing for on chip interconnects}, booktitle = {Design, Automation and Test in Europe, {DATE} 2011, Grenoble, France, March 14-18, 2011}, pages = {909--912}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/DATE.2011.5763303}, doi = {10.1109/DATE.2011.5763303}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ChaixAZN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ShafieeZAS11, author = {Ali Shafiee and Mahdy Zolghadr and Mohammad Arjomand and Hamid Sarbazi{-}Azad}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Application-aware deadlock-free oblivious routing based on extended turn-model}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {213--218}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105328}, doi = {10.1109/ICCAD.2011.6105328}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/ShafieeZAS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/DomkeHN11, author = {Jens Domke and Torsten Hoefler and Wolfgang E. Nagel}, title = {Deadlock-Free Oblivious Routing for Arbitrary Topologies}, booktitle = {25th {IEEE} International Symposium on Parallel and Distributed Processing, {IPDPS} 2011, Anchorage, Alaska, USA, 16-20 May, 2011 - Conference Proceedings}, pages = {616--627}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IPDPS.2011.65}, doi = {10.1109/IPDPS.2011.65}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/DomkeHN11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShiYZY11, author = {Zewen Shi and Yueming Yang and Xiaoyang Zeng and Zhiyi Yu}, title = {A reconfigurable and deadlock-free routing algorithm for 2D Mesh Network-on-Chip}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2011), May 15-19 2011, Rio de Janeiro, Brazil}, pages = {2934--2937}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISCAS.2011.5938206}, doi = {10.1109/ISCAS.2011.5938206}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShiYZY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/VerbeekS11, author = {Freek Verbeek and Julien Schmaltz}, editor = {Radu Marculescu and Michael Kishinevsky and Ran Ginosar and Karam S. Chatha}, title = {Automatic verification for deadlock in networks-on-chips with adaptive routing and wormhole switching}, booktitle = {{NOCS} 2011, Fifth {ACM/IEEE} International Symposium on Networks-on-Chip, Pittsburgh, Pennsylvania, USA, May 1-4, 2011}, pages = {25--32}, publisher = {{ACM/IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1145/1999946.1999951}, doi = {10.1145/1999946.1999951}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nocs/VerbeekS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/FrancalanciG10, author = {Chiara Francalanci and Paolo Giacomazzi}, title = {A High-Performance Deadlock-Free Multicast Routing Algorithm for K-Ary N-Cubes}, journal = {{IEEE} Trans. Computers}, volume = {59}, number = {2}, pages = {174--187}, year = {2010}, url = {https://doi.org/10.1109/TC.2009.90}, doi = {10.1109/TC.2009.90}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/FrancalanciG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/SammanHG10, author = {Faizal Arya Samman and Thomas Hollstein and Manfred Glesner}, title = {Adaptive and Deadlock-Free Tree-Based Multicast Routing for Networks-on-Chip}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {7}, pages = {1067--1080}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2019758}, doi = {10.1109/TVLSI.2009.2019758}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/SammanHG10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/JanidarmianBKT10, author = {Majid Janidarmian and Vahhab Samadi Bokharaie and Ahmad Khademzadeh and Misagh Tavanpour}, title = {Sorena: New on Chip Network Topology Featuring Efficient Mapping and Simple Deadlock Free Routing Algorithm}, booktitle = {10th {IEEE} International Conference on Computer and Information Technology, {CIT} 2010, Bradford, West Yorkshire, UK, June 29-July 1, 2010}, pages = {2290--2299}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CIT.2010.395}, doi = {10.1109/CIT.2010.395}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/JanidarmianBKT10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/CongLR10, author = {Jason Cong and Chunyue Liu and Glenn Reinman}, editor = {Sachin S. Sapatnekar}, title = {{ACES:} application-specific cycle elimination and splitting for deadlock-free routing on irregular network-on-chip}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {443--448}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837385}, doi = {10.1145/1837274.1837385}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/CongLR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nas/NishikawaKMA10, author = {Yuri Nishikawa and Michihiro Koibuchi and Hiroki Matsutani and Hideharu Amano}, title = {A Deadlock-Free Non-minimal Fully Adaptive Routing Using Virtual Cut-Through Switching}, booktitle = {Fifth International Conference on Networking, Architecture, and Storage, {NAS} 2010, Macau, China, July 15-17, 2010}, pages = {431--438}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NAS.2010.50}, doi = {10.1109/NAS.2010.50}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nas/NishikawaKMA10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nca/ChaixAZN10, author = {Fabien Chaix and Dimiter Avresky and Nacer{-}Eddine Zergainoh and Michael Nicolaidis}, title = {Fault-Tolerant Deadlock-Free Adaptive Routing for Any Set of Link and Node Failures in Multi-cores Systems}, booktitle = {Proceedings of The Ninth {IEEE} International Symposium on Networking Computing and Applications, {NCA} 2010, July 15-17, 2010, Cambridge, Massachusetts, {USA}}, pages = {52--59}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NCA.2010.14}, doi = {10.1109/NCA.2010.14}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nca/ChaixAZN10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/WuWZ10, author = {Ruizhe Wu and Yi Wang and Dan Zhao}, title = {A Low-Cost Deadlock-Free Design of Minimal-Table Rerouted XY-Routing for Irregular Wireless NoCs}, booktitle = {{NOCS} 2010, Fourth {ACM/IEEE} International Symposium on Networks-on-Chip, Grenoble, France, May 3-6, 2010}, pages = {199--206}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/NOCS.2010.29}, doi = {10.1109/NOCS.2010.29}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/WuWZ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/XiangZP09, author = {Dong Xiang and Yueli Zhang and Yi Pan}, title = {Practical Deadlock-Free Fault-Tolerant Routing in Meshes Based on the Planar Network Fault Model}, journal = {{IEEE} Trans. Computers}, volume = {58}, number = {5}, pages = {620--633}, year = {2009}, url = {https://doi.org/10.1109/TC.2008.211}, doi = {10.1109/TC.2008.211}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/XiangZP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/StuartSS09, author = {Matthias Bo Stuart and Mikkel Bystrup Stensgaard and Jens Spars{\o}}, editor = {Wolfgang Rosenstiel and Kazutoshi Wakabayashi}, title = {Synthesis of topology configurations and deadlock free routing algorithms for ReNoC-based systems-on-chip}, booktitle = {Proceedings of the 7th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2009, Grenoble, France, October 11-16, 2009}, pages = {481--490}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1629435.1629500}, doi = {10.1145/1629435.1629500}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/StuartSS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpl/JovanovicTWB09, author = {Slavisa Jovanovic and Camel Tanougast and Serge Weber and Christophe Bobda}, editor = {Martin Danek and Jiri Kadlec and Brent E. Nelson}, title = {A new deadlock-free fault-tolerant routing algorithm for NoC interconnections}, booktitle = {19th International Conference on Field Programmable Logic and Applications, {FPL} 2009, August 31 - September 2, 2009, Prague, Czech Republic}, pages = {326--331}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/FPL.2009.5272274}, doi = {10.1109/FPL.2009.5272274}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpl/JovanovicTWB09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/HironakaST09, author = {Ken Hironaka and Hideo Saito and Kenjiro Taura}, editor = {Dieter Kranzlm{\"{u}}ller and Arndt Bode and Heinz{-}Gerd Hegering and Henri Casanova and Michael Gerndt}, title = {High performance wide-area overlay using deadlock-free routing}, booktitle = {Proceedings of the 18th {ACM} International Symposium on High Performance Distributed Computing, {HPDC} 2009, Garching, Germany, June 11-13, 2009}, pages = {81--90}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1551609.1551628}, doi = {10.1145/1551609.1551628}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpdc/HironakaST09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KinsyCWSDD09, author = {Michel A. Kinsy and Myong Hyon Cho and Tina Wen and G. Edward Suh and Marten van Dijk and Srinivas Devadas}, editor = {Stephen W. Keckler and Luiz Andr{\'{e}} Barroso}, title = {Application-aware deadlock-free oblivious routing}, booktitle = {36th International Symposium on Computer Architecture {(ISCA} 2009), June 20-24, 2009, Austin, TX, {USA}}, pages = {208--219}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1555754.1555782}, doi = {10.1145/1555754.1555782}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/KinsyCWSDD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nocs/HolsmarkKPM09, author = {Rickard Holsmark and Shashi Kumar and Maurizio Palesi and Andres Mejia}, title = {HiRA: {A} methodology for deadlock free routing in hierarchical networks on chip}, booktitle = {Third International Symposium on Networks-on-Chips, {NOCS} 2009, May 10-13 2009, La Jolla, CA, {USA.} Proceedings}, pages = {2--11}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/NOCS.2009.5071439}, doi = {10.1109/NOCS.2009.5071439}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nocs/HolsmarkKPM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/HolsmarkPK08, author = {Rickard Holsmark and Maurizio Palesi and Shashi Kumar}, title = {Deadlock free routing algorithms for irregular mesh topology NoC systems with rectangular regions}, journal = {J. Syst. Archit.}, volume = {54}, number = {3-4}, pages = {427--440}, year = {2008}, url = {https://doi.org/10.1016/j.sysarc.2007.07.005}, doi = {10.1016/J.SYSARC.2007.07.005}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/HolsmarkPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/XiangWP08, author = {Dong Xiang and Qi Wang and Yi Pan}, editor = {Anu G. Bourgeois and Si{-}Qing Zheng}, title = {Deadlock-Free Adaptive Routing in 2D Tori with a New Turn Model}, booktitle = {Algorithms and Architectures for Parallel Processing, 8th International Conference, {ICA3PP} 2008, Cyprus, June 9-11, 2008, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5022}, pages = {58--69}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-69501-1\_8}, doi = {10.1007/978-3-540-69501-1\_8}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/XiangWP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcn/RezazadFS08, author = {Mostafa Rezazad and Mohammad Reza Hoseiny Farahabady and Hamid Sarbazi{-}Azad}, editor = {Shrisha Rao and Mainak Chatterjee and Prasad Jayanti and C. Siva Ram Murthy and Sanjoy Kumar Saha}, title = {A Deadlock Free Shortest Path Routing Algorithm for WK-Recursive Meshes}, booktitle = {Distributed Computing and Networking, 9th International Conference, {ICDCN} 2008, Kolkata, India, January 5-8, 2008}, series = {Lecture Notes in Computer Science}, volume = {4904}, pages = {280--285}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-77444-0\_27}, doi = {10.1007/978-3-540-77444-0\_27}, timestamp = {Mon, 22 May 2023 09:50:35 +0200}, biburl = {https://dblp.org/rec/conf/icdcn/RezazadFS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/XiangPWC08, author = {Dong Xiang and Yi Pan and Qi Wang and Zhen Chen}, title = {Deadlock-Free Fully Adaptive Routing in 2-Dimensional Tori Based on New Virtual Network Partitioning Scheme}, booktitle = {28th {IEEE} International Conference on Distributed Computing Systems {(ICDCS} 2008), 17-20 June 2008, Beijing, China}, pages = {454--461}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICDCS.2008.106}, doi = {10.1109/ICDCS.2008.106}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/XiangPWC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/XiangWP08, author = {Dong Xiang and Qi Wang and Yi Pan}, title = {Deadlock-Free Fully Adaptive Routing in Tori Based on a New Virtual Network Partitioning Scheme}, booktitle = {2008 International Conference on Parallel Processing, {ICPP} 2008, September 8-12, 2008, Portland, Oregon, {USA}}, pages = {612--619}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICPP.2008.81}, doi = {10.1109/ICPP.2008.81}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/XiangWP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isvlsi/CararaM08, author = {Everton Carara and Fernando Gehm Moraes}, title = {Deadlock-Free Multicast Routing Algorithm for Wormhole-Switched Mesh Networks-on-Chip}, booktitle = {{IEEE} Computer Society Annual Symposium on VLSI, {ISVLSI} 2008, 7-9 April 2008, Montpellier, France}, pages = {341--346}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ISVLSI.2008.18}, doi = {10.1109/ISVLSI.2008.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isvlsi/CararaM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/JiaoYJYY08, author = {Yaoting Jiao and Mei Yang and Yingtao Jiang and Yulu Yang and Xiao{-}chun Yun}, editor = {Shahram Latifi}, title = {Deadlock-Free Multi-Path Routing for Torus-Based NoCs}, booktitle = {Fifth International Conference on Information Technology: New Generations {(ITNG} 2008), 7-8 April 2008, Las Vegas, Nevada, {USA}}, pages = {253--258}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ITNG.2008.171}, doi = {10.1109/ITNG.2008.171}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/JiaoYJYY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/TurnerT07, author = {Yoshio Turner and Yuval Tamir}, title = {Deadlock-free connection-based adaptive routing with dynamic virtual circuits}, journal = {J. Parallel Distributed Comput.}, volume = {67}, number = {1}, pages = {13--32}, year = {2007}, url = {https://doi.org/10.1016/j.jpdc.2006.08.012}, doi = {10.1016/J.JPDC.2006.08.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/TurnerT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/KralovicR07, author = {Rastislav Kralovic and Peter Ruzicka}, title = {Ranks of graphs: The size of acyclic orientation cover for deadlock-free packet routing}, journal = {Theor. Comput. Sci.}, volume = {374}, number = {1-3}, pages = {203--213}, year = {2007}, url = {https://doi.org/10.1016/j.tcs.2006.10.036}, doi = {10.1016/J.TCS.2006.10.036}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcs/KralovicR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/JourakuKA07, author = {Akiya Jouraku and Michihiro Koibuchi and Hideharu Amano}, title = {An Effective Design of Deadlock-Free Routing Algorithms Based on 2D Turn Model for Irregular Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {18}, number = {3}, pages = {320--333}, year = {2007}, url = {https://doi.org/10.1109/TPDS.2007.36}, doi = {10.1109/TPDS.2007.36}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/JourakuKA07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/XiangZPW07, author = {Dong Xiang and Yueli Zhang and Yi Pan and Jie Wu}, title = {Deadlock-Free Adaptive Routing in Meshes Based on Cost-Effective Deadlock Avoidance Schemes}, booktitle = {2007 International Conference on Parallel Processing {(ICPP} 2007), September 10-14, 2007, Xi-An, China}, pages = {41}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICPP.2007.30}, doi = {10.1109/ICPP.2007.30}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/XiangZPW07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/PalesiKHC07, author = {Maurizio Palesi and Shashi Kumar and Rickard Holsmark and Vincenzo Catania}, title = {Exploiting Communication Concurrency for Efficient Deadlock Free Routing in Reconfigurable NoC Platforms}, booktitle = {21th International Parallel and Distributed Processing Symposium {(IPDPS} 2007), Proceedings, 26-30 March 2007, Long Beach, California, {USA}}, pages = {1--8}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IPDPS.2007.370367}, doi = {10.1109/IPDPS.2007.370367}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/PalesiKHC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ldic/BaeCPR07, author = {Hyo Young Bae and Ri Choe and Taejin Park and Kwang Ryel Ryu}, editor = {Hans{-}J{\"{o}}rg Kreowski and Bernd Scholz{-}Reiter and Hans{-}Dietrich Haasis}, title = {Travel Time Estimation and Deadlock-free Routing of an {AGV} System}, booktitle = {Dynamics in Logistics, First International Conference, {LDIC} 2007, Bremen, Germany, August 2007, Proceedings}, pages = {77--84}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-76862-3\_6}, doi = {10.1007/978-3-540-76862-3\_6}, timestamp = {Sun, 25 Oct 2020 23:04:30 +0100}, biburl = {https://dblp.org/rec/conf/ldic/BaeCPR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpcn/RahmanH06, author = {M. M. Hafizur Rahman and Susumu Horiguchi}, title = {A deadlock-free routing algorithm using minimum number of virtual channels and application mappings for Hierarchical Torus Network}, journal = {Int. J. High Perform. Comput. Netw.}, volume = {4}, number = {3/4}, pages = {174--187}, year = {2006}, url = {https://doi.org/10.1504/IJHPCN.2006.010639}, doi = {10.1504/IJHPCN.2006.010639}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhpcn/RahmanH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/PalesiHKC06, author = {Maurizio Palesi and Rickard Holsmark and Shashi Kumar and Vincenzo Catania}, editor = {Reinaldo A. Bergamaschi and Kiyoung Choi}, title = {A methodology for design of application specific deadlock-free routing algorithms for NoC systems}, booktitle = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October 22-25, 2006}, pages = {142--147}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176254.1176289}, doi = {10.1145/1176254.1176289}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/PalesiHKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/LiZJ06, author = {Ming Li and Qing{-}An Zeng and Wen{-}Ben Jone}, editor = {Ellen Sentovich}, title = {DyXY: a proximity congestion-aware deadlock-free dynamic routing method for network on chip}, booktitle = {Proceedings of the 43rd Design Automation Conference, {DAC} 2006, San Francisco, CA, USA, July 24-28, 2006}, pages = {849--852}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1146909.1147125}, doi = {10.1145/1146909.1147125}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/LiZJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/HolsmarkPK06, author = {Rickard Holsmark and Maurizio Palesi and Shashi Kumar}, title = {Deadlock Free Routing Algorithms for Mesh Topology NoC Systems with Regions}, booktitle = {Ninth Euromicro Conference on Digital System Design: Architectures, Methods and Tools {(DSD} 2006), 30 August - 1 September 2006, Dubrovnik, Croatia}, pages = {696--703}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/DSD.2006.36}, doi = {10.1109/DSD.2006.36}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/HolsmarkPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/MuraliMAACBMR06a, author = {Srinivasan Murali and Paolo Meloni and Federico Angiolini and David Atienza and Salvatore Carta and Luca Benini and Giovanni De Micheli and Luigi Raffo}, editor = {Giovanni De Micheli and Salvador Mir and Ricardo Reis}, title = {Designing Routing and Message-Dependent Deadlock Free Networks on Chips}, booktitle = {VLSI-SoC: Research Trends in {VLSI} and Systems on Chip - Fourteenth International Conference on Very Large Scale Integration of System on Chip (VLSI-SoC2006), October 16-18, 2006, Nice, France}, series = {{IFIP}}, volume = {249}, pages = {337--355}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-0-387-74909-9\_19}, doi = {10.1007/978-0-387-74909-9\_19}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsi/MuraliMAACBMR06a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paapp/WuW05, author = {Jie Wu and Dajin Wang}, title = {Fault-tolerant and deadlock-free routing in 2-D meshes using rectilinear-monotone polygonal fault blocks}, journal = {Parallel Algorithms Appl.}, volume = {20}, number = {2}, pages = {99--111}, year = {2005}, url = {https://doi.org/10.1080/17445760500033341}, doi = {10.1080/17445760500033341}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/paapp/WuW05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/WuS05, author = {Jie Wu and Li Sheng}, title = {Deadlock-Free Multicasting in Irregular Networks Using Prefix Routing}, journal = {J. Supercomput.}, volume = {31}, number = {1}, pages = {63--78}, year = {2005}, url = {https://doi.org/10.1023/B:SUPE.0000049325.58858.72}, doi = {10.1023/B:SUPE.0000049325.58858.72}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/WuS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/SchaferHZG05, author = {Martin K. F. Schafer and Thomas Hollstein and Heiko Zimmer and Manfred Glesner}, title = {Deadlock-free routing and component placement for irregular mesh-based networks-on-chip}, booktitle = {2005 International Conference on Computer-Aided Design, {ICCAD} 2005, San Jose, CA, USA, November 6-10, 2005}, pages = {238--245}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICCAD.2005.1560071}, doi = {10.1109/ICCAD.2005.1560071}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/SchaferHZG05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcs/KuoZLC05, author = {Hsien{-}Ting Kuo and Jiazheng Zhou and Xuan{-}Yi Lin and Yeh{-}Ching Chung}, editor = {S. Q. Zheng}, title = {An Efficient and Low Overhead Random Forwarding Table Construction Method for Deadlock-Free Routing Algorithms in Infiniband Networks}, booktitle = {International Conference on Parallel and Distributed Computing Systems, {PDCS} 2005, November 14-16, 2005, Phoenix, AZ, {USA}}, pages = {725--730}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Wed, 09 Nov 2022 13:58:44 +0100}, biburl = {https://dblp.org/rec/conf/pdcs/KuoZLC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cic/RajeshA04, author = {R. S. Rajesh and S. Arumugam}, editor = {Brian J. d'Auriol}, title = {An Optimistic Deadlock Free Adaptive Wormhole Routing Algorithm for Two Dimensional Meshes}, booktitle = {Proceedings of the International Conference on Communications in Computing, {CIC} '04, June 21-24, 2004, Las Vegas, Nevada, {USA}}, pages = {21--24}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Wed, 17 Nov 2004 09:02:02 +0100}, biburl = {https://dblp.org/rec/conf/cic/RajeshA04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SunYCH04, author = {Yau{-}Ming Sun and Chih{-}Hsueh Yang and Yeh{-}Ching Chung and Tai{-}Yi Huang}, title = {An Efficient Deadlock-Free Tree-Based Routing Algorithm for Irregular Wormhole-Routed Networks Based on the Turn Model}, booktitle = {33rd International Conference on Parallel Processing {(ICPP} 2004), 15-18 August 2004, Montreal, Quebec, Canada}, pages = {343--352}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICPP.2004.1327941}, doi = {10.1109/ICPP.2004.1327941}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SunYCH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jacm/JayasimhaSMM03, author = {Doddaballapur Narasimha{-}Murthy Jayasimha and Loren Schwiebert and D. Manivannan and Jeff A. May}, title = {A foundation for designing deadlock-free routing algorithms in wormhole networks}, journal = {J. {ACM}}, volume = {50}, number = {2}, pages = {250--275}, year = {2003}, url = {https://doi.org/10.1145/636865.636869}, doi = {10.1145/636865.636869}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jacm/JayasimhaSMM03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/WuS03, author = {Jie Wu and Li Sheng}, title = {Deadlock-Free Routing In Irregular Networks Using Prefix Routing}, journal = {Parallel Process. Lett.}, volume = {13}, number = {4}, pages = {705--720}, year = {2003}, url = {https://doi.org/10.1142/S0129626403001616}, doi = {10.1142/S0129626403001616}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/WuS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Wu03, author = {Jie Wu}, title = {A Fault-Tolerant and Deadlock-Free Routing Protocol in 2D Meshes Based on Odd-Even Turn Model}, journal = {{IEEE} Trans. Computers}, volume = {52}, number = {9}, pages = {1154--1169}, year = {2003}, url = {https://doi.org/10.1109/TC.2003.1228511}, doi = {10.1109/TC.2003.1228511}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Wu03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/ChenLQ03a, author = {Zhen Chen and Zengji Liu and Zhiliang Qiu}, title = {A Deadlock-Free Wormhole Routing Scheme in the Pan-Mesh}, booktitle = {17th International Conference on Advanced Information Networking and Applications (AINA'03), March 27-29, 2003, Xi'an, China}, pages = {825--829}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/AINA.2003.1193009}, doi = {10.1109/AINA.2003.1193009}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/ChenLQ03a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dsd/HolzenspiesSBJSSH03, author = {Philip K. F. H{\"{o}}lzenspies and Erik Schepers and Wouter Bach and Mischa Jonker and Bart Sikkes and Gerard J. M. Smit and Paul J. M. Havinga}, title = {A Communication Model Based on an n-Dimensional Torus Architecture Using Deadlock-Free Wormhole Routing}, booktitle = {2003 Euromicro Symposium on Digital Systems Design {(DSD} 2003), Architectures, Methods and Tools, 3-5 September 2003, Belek-Antalya, Turkey}, pages = {166--172}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/DSD.2003.1231920}, doi = {10.1109/DSD.2003.1231920}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dsd/HolzenspiesSBJSSH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/KoibuchiJWA03, author = {Michihiro Koibuchi and Akiya Jouraku and Konosuke Watanabe and Hideharu Amano}, title = {Descending Layers Routing: {A} Deadlock-Free Deterministic Routing using Virtual Channels in System Area Networks with Irregular Topologies}, booktitle = {32nd International Conference on Parallel Processing {(ICPP} 2003), 6-9 October 2003, Kaohsiung, Taiwan}, pages = {527}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICPP.2003.1240620}, doi = {10.1109/ICPP.2003.1240620}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/KoibuchiJWA03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/GolmakaniMB03, author = {Hamid Reza Golmakani and James K. Mills and Beno Benhabib}, title = {Deadlock-free optimal routing in flexible manufacturing cells via supervisory control theory}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: Washington, D.C., USA, 5-8 October 2003}, pages = {3390--3395}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICSMC.2003.1244413}, doi = {10.1109/ICSMC.2003.1244413}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/GolmakaniMB03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/Kralovic02, author = {Rastislav Kralovic}, title = {Efficient Deadlock-free Multidimensional Interval Routing in Hypercube-like Networks}, journal = {Comput. Artif. Intell.}, volume = {21}, number = {3}, pages = {265--287}, year = {2002}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/498}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/Kralovic02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/MirelesL02, author = {Jos{\'{e}} Mireles and Frank L. Lewis}, title = {Deadlock analysis and routing on free-choice multipart reentrant flow lines using a matrix-based discrete event controller}, booktitle = {41st {IEEE} Conference on Decision and Control, {CDC} 2002, Las Vegas, NV, USA, December 10-13, 2002}, pages = {793--798}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/CDC.2002.1184602}, doi = {10.1109/CDC.2002.1184602}, timestamp = {Tue, 08 Mar 2022 11:46:48 +0100}, biburl = {https://dblp.org/rec/conf/cdc/MirelesL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dft/HoriguchiM02, author = {Susumu Horiguchi and Yasuyuki Miura}, title = {Performance of Deadlock-Free Adaptive Routing for Hierarchical Interconnection Network {TESH}}, booktitle = {17th {IEEE} International Symposium on Defect and Fault-Tolerance in {VLSI} Systems {(DFT} 2002), 6-8 November 2002, Vancouver, BC, Canada, Proceedings}, pages = {275--283}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DFTVS.2002.1173524}, doi = {10.1109/DFTVS.2002.1173524}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dft/HoriguchiM02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/LiuLW02, author = {Pangfeng Liu and Yi{-}Fang Lin and Jan{-}Jan Wu}, title = {An Incremental Network Topology for Contention-free and Deadlock-free Routing}, booktitle = {9th International Conference on Parallel and Distributed Systems, {ICPADS} 2002, Taiwan, ROC, December 17-20, 2002}, pages = {209--215}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICPADS.2002.1183401}, doi = {10.1109/ICPADS.2002.1183401}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/LiuLW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SanchoRFLD02, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Flich and Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Effective Methodology for Deadlock-Free Minimal Routing in InfiniBand Networks}, booktitle = {31st International Conference on Parallel Processing {(ICPP} 2002), 20-23 August 2002, Vancouver, BC, Canada}, pages = {409--418}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICPP.2002.1040897}, doi = {10.1109/ICPP.2002.1040897}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SanchoRFLD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/WuW02, author = {Jie Wu and Dajin Wang}, title = {Fault-Tolerant and Deadlock-Free Routing in 2-D Meshes Using Rectilinear-Monotone Polygonal Fault Blocks}, booktitle = {31st International Conference on Parallel Processing {(ICPP} 2002), 20-23 August 2002, Vancouver, BC, Canada}, pages = {247--256}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ICPP.2002.1040880}, doi = {10.1109/ICPP.2002.1040880}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/WuW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ics/Wu02, author = {Jie Wu}, editor = {Kemal Ebcioglu and Keshav Pingali and Alex Nicolau}, title = {A deterministic fault-tolerant and deadlock-free routing protocol in 2-D meshes based on odd-even turn model}, booktitle = {Proceedings of the 16th international conference on Supercomputing, {ICS} 2002, New York City, NY, USA, June 22-26, 2002}, pages = {67--76}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/514191.514204}, doi = {10.1145/514191.514204}, timestamp = {Tue, 06 Nov 2018 11:07:02 +0100}, biburl = {https://dblp.org/rec/conf/ics/Wu02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lcn/ChiuKPRSY02, author = {Dah{-}Ming Chiu and Miriam Kadansky and Radia J. Perlman and John Reynders and Guy L. Steele Jr. and Murat Yuksel}, title = {Deadlock-Free Routing Based on Ordered Links}, booktitle = {27th Annual {IEEE} Conference on Local Computer Networks {(LCN} 2002), 6-8 November 2002, Tampa, FL, USA, Proceedings}, pages = {62--71}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/LCN.2002.1181765}, doi = {10.1109/LCN.2002.1181765}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lcn/ChiuKPRSY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/YangK01, author = {Jenq{-}Shyan Yang and Chung{-}Ta King}, title = {Designing Deadlock-Free Turn-Restricted Routing Algorithms for Irregular Wormhole-routed Networks}, journal = {J. Inf. Sci. Eng.}, volume = {17}, number = {4}, pages = {575--594}, year = {2001}, url = {http://www.iis.sinica.edu.tw/page/jise/2001/200107\_03.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/YangK01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ParkA01, author = {Hyunmin Park and Dharma P. Agrawal}, title = {A Generic Design Methodology for Deadlock-Free Routing in Multicomputer Networks}, journal = {J. Parallel Distributed Comput.}, volume = {61}, number = {9}, pages = {1225--1248}, year = {2001}, url = {https://doi.org/10.1006/jpdc.2001.1748}, doi = {10.1006/JPDC.2001.1748}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ParkA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Schwiebert01, author = {Loren Schwiebert}, title = {Deadlock-Free Oblivious Wormhole Routing with Cyclic Dependencies}, journal = {{IEEE} Trans. Computers}, volume = {50}, number = {9}, pages = {865--876}, year = {2001}, url = {https://doi.org/10.1109/12.954503}, doi = {10.1109/12.954503}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/Schwiebert01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/DuatoP01, author = {Jos{\'{e}} Duato and Timothy Mark Pinkston}, title = {A General Theory for Deadlock-Free Adaptive Routing Using a Mixed Set of Resources}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {12}, number = {12}, pages = {1219--1235}, year = {2001}, url = {https://doi.org/10.1109/71.970556}, doi = {10.1109/71.970556}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/DuatoP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/LopezFD01, author = {Pedro L{\'{o}}pez and Jos{\'{e}} Flich and Jos{\'{e}} Duato}, editor = {Lionel M. Ni and Mateo Valero}, title = {Deadlock-Free Routing in InfiniBand through Destination Renaming}, booktitle = {Proceedings of the 2001 International Conference on Parallel Processing, {ICPP} 2002, 3-7 September 2001, Valencia, Spain}, pages = {427--436}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICPP.2001.952089}, doi = {10.1109/ICPP.2001.952089}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/LopezFD01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/Stefankovic00, author = {Daniel Stefankovic}, title = {Acyclic orientations do not lead to optimal deadlock-free packet routing algorithms}, journal = {Inf. Process. Lett.}, volume = {73}, number = {5-6}, pages = {221--225}, year = {2000}, url = {https://doi.org/10.1016/S0020-0190(00)00022-3}, doi = {10.1016/S0020-0190(00)00022-3}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/Stefankovic00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mst/LaingCD00, author = {Ambrose Kofi Laing and Robert Cypher and Christian A. Duncan}, title = {On the Flattest Common Supersequence Method for Deadlock-Free Routing in Arbitrary Networks}, journal = {Theory Comput. Syst.}, volume = {33}, number = {5/6}, pages = {393--426}, year = {2000}, url = {https://doi.org/10.1007/s002240010011}, doi = {10.1007/S002240010011}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mst/LaingCD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/SenguptaV00, author = {Abhijit Sengupta and Suresh Viswanathan}, title = {Deadlock-Free Fault-Tolerant Multicast Routing in Hypercubes}, journal = {Parallel Process. Lett.}, volume = {10}, number = {4}, pages = {327--342}, year = {2000}, url = {https://doi.org/10.1142/S0129626400000317}, doi = {10.1142/S0129626400000317}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ppl/SenguptaV00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShengW00, author = {Li Sheng and Jie Wu}, title = {A Note on 'A Tight Lower Bound on the Number of Channels Required for Deadlock-Free Wormhole Routing'}, journal = {{IEEE} Trans. Computers}, volume = {49}, number = {9}, pages = {1005}, year = {2000}, url = {https://doi.org/10.1109/12.869335}, doi = {10.1109/12.869335}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/ShengW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/TsaiW00, author = {Ming{-}Jer Tsai and Sheng{-}De Wang}, title = {Adaptive and Deadlock-Free Routing for Irregular Faulty Patterns in Mesh Multicomputers}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {11}, number = {1}, pages = {50--63}, year = {2000}, url = {https://doi.org/10.1109/71.824641}, doi = {10.1109/71.824641}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/TsaiW00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/JuddZDL00, author = {Robert P. Judd and Wenle Zhang and Paul E. Deering and Robert Lipset}, title = {A scalable deadlock avoidance algorithm for flexible manufacturing systems with free choice in part routing}, booktitle = {American Control Conference, {ACC} 2000, Chicago, Illinois, USA, 28-30 June, 2000}, pages = {3545--3549}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ACC.2000.879229}, doi = {10.1109/ACC.2000.879229}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/JuddZDL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/SanchoRD00, author = {Jos{\'{e}} Carlos Sancho and Antonio Robles and Jos{\'{e}} Duato}, editor = {Babak Falsafi and Mario Lauria}, title = {A New Methodology to Computer Deadlock-Free Routing Tables for Irregular Networks}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, 4th International Workshop, {CANPC} 2000, Toulouse, France, January 8, 2000, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1797}, pages = {45--60}, publisher = {Springer}, year = {2000}, url = {https://doi.org/10.1007/10720115\_4}, doi = {10.1007/10720115\_4}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/canpc/SanchoRD00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/networks/Flammini99, author = {Michele Flammini}, title = {Deadlock-free interval routing schemes}, journal = {Networks}, volume = {34}, number = {1}, pages = {47--60}, year = {1999}, url = {https://doi.org/10.1002/(SICI)1097-0037(199908)34:1\<47::AID-NET5\>3.0.CO;2-3}, doi = {10.1002/(SICI)1097-0037(199908)34:1\<47::AID-NET5\>3.0.CO;2-3}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/networks/Flammini99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/canpc/CasadoQSD99, author = {Rafael Casado and Francisco J. Quiles and Jos{\'{e}} L. S{\'{a}}nchez and Jos{\'{e}} Duato}, editor = {Anand Sivasubramaniam and Mario Lauria}, title = {Deadlock-Free Routing in Irregular Networks with Dynamic Reconfiguration}, booktitle = {Network-Based Parallel Computing: Communication, Architecture, and Applications, Third International Workshop, {CANPC} '99, Orlando, Forida, USA, January 9, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1602}, pages = {165--180}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/10704826\_12}, doi = {10.1007/10704826\_12}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/canpc/CasadoQSD99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KimLL99, author = {Jin Suk Kim and Eric Lehman and Frank Thomson Leighton}, title = {Oblivious Deadlock-Free Routing in a Faulty Hypercube}, booktitle = {13th International Parallel Processing Symposium / 10th Symposium on Parallel and Distributed Processing {(IPPS} / {SPDP} '99), 12-16 April 1999, San Juan, Puerto Rico, Proceedings}, pages = {312--319}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/IPPS.1999.760493}, doi = {10.1109/IPPS.1999.760493}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/KimLL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/Hadim99, author = {Mustapha Hadim}, editor = {Hamid R. Arabnia}, title = {A Minimal, Deadlock Free and Storage Optimal Routing Algorithm for Torus Networks}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1999, June 28 - Junlly 1, 1999, Las Vegas, Nevada, {USA}}, pages = {2207--2210}, publisher = {{CSREA} Press}, year = {1999}, timestamp = {Tue, 20 Apr 2004 14:47:51 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/Hadim99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sirocco/KralovicR99, author = {Rastislav Kralovic and Peter Ruzicka}, editor = {Cyril Gavoille and Jean{-}Claude Bermond and Andr{\'{e}} Raspaud}, title = {Rank of Graphs: The Size of Acyclic Orientation Cover for Deadlock-Free Packet Routing}, booktitle = {SIROCCO'99, 6th International Colloquium on Structural Information {\&} Communication Complexity, Lacanau-Ocean, France, 1-3 July, 1999}, pages = {181--193}, publisher = {Carleton Scientific}, year = {1999}, timestamp = {Tue, 18 Nov 2003 16:22:07 +0100}, biburl = {https://dblp.org/rec/conf/sirocco/KralovicR99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Libeskind-Hadas98, author = {Ran Libeskind{-}Hadas}, title = {A Tight Lower Bound on the Number of Channels Required for Deadlock-Free Wormhole Routing}, journal = {{IEEE} Trans. Computers}, volume = {47}, number = {10}, pages = {1158--1160}, year = {1998}, url = {https://doi.org/10.1109/12.729799}, doi = {10.1109/12.729799}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Libeskind-Hadas98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/LaingC98, author = {Ambrose Kofi Laing and Robert Cypher}, editor = {Gary L. Miller and Phillip B. Gibbons}, title = {Deadlock-Free Routing in Arbitrary Networks via the Flattest Common Supersequence Method}, booktitle = {Proceedings of the Tenth Annual {ACM} Symposium on Parallel Algorithms and Architectures, {SPAA} '98, Puerto Vallarta, Mexico, June 28 - July 2, 1998}, pages = {55--66}, publisher = {{ACM}}, year = {1998}, url = {https://doi.org/10.1145/277651.277671}, doi = {10.1145/277651.277671}, timestamp = {Wed, 21 Nov 2018 12:27:44 +0100}, biburl = {https://dblp.org/rec/conf/spaa/LaingC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wdag/KralovicRRS98, author = {Rastislav Kralovic and Branislav Rovan and Peter Ruzicka and Daniel Stefankovic}, editor = {Shay Kutten}, title = {Efficient Deadlock-Free Multi-dimensional Interval Routing in Interconnection Networks}, booktitle = {Distributed Computing, 12th International Symposium, {DISC} '98, Andros, Greece, September 24-26, 1998, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1499}, pages = {273--287}, publisher = {Springer}, year = {1998}, url = {https://doi.org/10.1007/BFb0056489}, doi = {10.1007/BFB0056489}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/wdag/KralovicRRS98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/ParkA97, author = {Hyunmin Park and Dharma P. Agrawal}, title = {Efficient Deadlock-Free Wormhole Routing and Virtual-Channel Reduction in Shuffle-Based Networks}, journal = {J. Parallel Distributed Comput.}, volume = {46}, number = {2}, pages = {165--179}, year = {1997}, url = {https://doi.org/10.1006/jpdc.1997.1380}, doi = {10.1006/JPDC.1997.1380}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/ParkA97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ChiT97, author = {Hsin{-}Chou Chi and Chih{-}Tsung Tang}, title = {A Deadlock-Free Routing Scheme for Interconnection Networks with Irregular Topologies}, booktitle = {1997 International Conference on Parallel and Distributed Systems {(ICPADS} '97), 11-13 December 1997, Seoul, Korea, Proceedings}, pages = {88--95}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPADS.1997.652534}, doi = {10.1109/ICPADS.1997.652534}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ChiT97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/DuatoLY97, author = {Jos{\'{e}} Duato and Pedro L{\'{o}}pez and Sudhakar Yalamanchili}, title = {Deadlock- and Livelock-Free Routing Protocols for Wave Switching}, booktitle = {11th International Parallel Processing Symposium {(IPPS} '97), 1-5 April 1997, Geneva, Switzerland, Proceedings}, pages = {570--577}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/IPPS.1997.580958}, doi = {10.1109/IPPS.1997.580958}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/DuatoLY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/YasudaFAITNWS97, author = {Yoshiko Yasuda and Hiroaki Fujii and Hideya Akashi and Yasuhiro Inagami and Teruo Tanaka and Junji Nakagoshi and Hideo Wada and Tsutomu Sumimoto}, title = {Deadlock-Free Fault-tolerant Routing in the Multi-dimensional Crossbar Network and Its Implementation for the Hitachi {SR2201}}, booktitle = {11th International Parallel Processing Symposium {(IPPS} '97), 1-5 April 1997, Geneva, Switzerland, Proceedings}, pages = {346--352}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/IPPS.1997.580925}, doi = {10.1109/IPPS.1997.580925}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/YasudaFAITNWS97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/RoblesD97, author = {Antonio Robles and Jos{\'{e}} Duato}, title = {Multilink extension to support deadlock-free adaptive non-minimal routing}, booktitle = {Fifth Euromicro Workshop on Parallel and Distributed Processing {(PDP} '97), January 22-24, 1997, University of Westminster, London, {UK}}, pages = {431--436}, publisher = {{IEEE} Computer Society}, year = {1997}, timestamp = {Fri, 05 Oct 2007 12:53:47 +0200}, biburl = {https://dblp.org/rec/conf/pdp/RoblesD97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/KirnDC97, author = {Ji{-}Yun Kirn and Jos{\'{e}} Duato and Jung Wan Cho}, editor = {Hamid R. Arabnia}, title = {Channel Bypassing: {A} Deadlock-Free Flow Control Policy for Adaptive Routing in Wormhole Networks}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1997, June 30 - July 3, 1997, Las Vegas, Nevada, {USA}}, pages = {1219--1228}, publisher = {{CSREA} Press}, year = {1997}, timestamp = {Tue, 20 Apr 2004 14:47:02 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/KirnDC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/Schwiebert97, author = {Loren Schwiebert}, editor = {Charles E. Leiserson and David E. Culler}, title = {Deadlock-Free Oblivious Wormhole Routing with Cyclic Dependencies}, booktitle = {Proceedings of the 9th Annual {ACM} Symposium on Parallel Algorithms and Architectures, {SPAA} '97, Newport, RI, USA, June 23-25, 1997}, pages = {149--158}, publisher = {{ACM}}, year = {1997}, url = {https://doi.org/10.1145/258492.258507}, doi = {10.1145/258492.258507}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spaa/Schwiebert97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stacs/Flammini97, author = {Michele Flammini}, editor = {R{\"{u}}diger Reischuk and Michel Morvan}, title = {Deadlock-Free Interval Routing Schemes}, booktitle = {{STACS} 97, 14th Annual Symposium on Theoretical Aspects of Computer Science, L{\"{u}}beck, Germany, February 27 - March 1, 1997, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1200}, pages = {351--362}, publisher = {Springer}, year = {1997}, url = {https://doi.org/10.1007/BFb0023472}, doi = {10.1007/BFB0023472}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/stacs/Flammini97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/SchweibertJ96, author = {Loren Schwiebert and Doddaballapur Narasimha{-}Murthy Jayasimha}, title = {A Necessary and Sufficient Condition for Deadlock-Free Wormhole Routing}, journal = {J. Parallel Distributed Comput.}, volume = {32}, number = {1}, pages = {103--117}, year = {1996}, url = {https://doi.org/10.1006/jpdc.1996.0008}, doi = {10.1006/JPDC.1996.0008}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jpdc/SchweibertJ96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/GaughanDYS96, author = {Patrick T. Gaughan and Binh Vien Dao and Sudhakar Yalamanchili and David E. Schimmel}, title = {Distributed Deadlock-Free Routing in Faulty, Pipelined, Direct Interconnection Networks}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {6}, pages = {651--665}, year = {1996}, url = {https://doi.org/10.1109/12.506422}, doi = {10.1109/12.506422}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/GaughanDYS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/SuS96, author = {Chien{-}Chun Su and Kang G. Shin}, title = {Adaptive Fault-Tolerant Deadlock-Free Routing in Meshes and Hypercubes}, journal = {{IEEE} Trans. Computers}, volume = {45}, number = {6}, pages = {666--683}, year = {1996}, url = {https://doi.org/10.1109/12.506423}, doi = {10.1109/12.506423}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/SuS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/BoppanaC96, author = {Rajendra V. Boppana and Suresh Chalasani}, title = {A Framework for Designing Deadlock-Free Wormhole Routing Algorithms}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {7}, number = {2}, pages = {169--183}, year = {1996}, url = {https://doi.org/10.1109/71.485506}, doi = {10.1109/71.485506}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/BoppanaC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato96, author = {Jos{\'{e}} Duato}, title = {A Necessary and Sufficient Condition for Deadlock-Free Routing in Cut-Through and Store-and-Forward Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {7}, number = {8}, pages = {841--854}, year = {1996}, url = {https://doi.org/10.1109/71.532115}, doi = {10.1109/71.532115}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParkA96, author = {Hyunmin Park and Dharma P. Agrawal}, title = {A Topology-Independent Generic Methodology for Deadlock-Free Wormhole Routing}, booktitle = {Proceedings of the Second International Symposium on High-Performance Computer Architecture, San Jose, CA, USA, February 3-7, 1996}, pages = {191--200}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/HPCA.1996.501185}, doi = {10.1109/HPCA.1996.501185}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ParkA96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/PalnatiGL96, author = {Prasasth Palnati and Mario Gerla and Emilio Leonardi}, title = {Deadlock-free routing in an optical interconnect for high-speed wormhole routing networks}, booktitle = {1996 International Conference on Parallel and Distributed Systems {(ICPADS} '96), June 3-6, 1996, Tokyo, Japan, Proceedings}, pages = {256--264}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/ICPADS.1996.517571}, doi = {10.1109/ICPADS.1996.517571}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/PalnatiGL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ParkA96, author = {Hyunmin Park and Dharma P. Agrawal}, title = {Generic Methodologies for Deadlock-Free Routing}, booktitle = {Proceedings of {IPPS} '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}}, pages = {638--643}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/IPPS.1996.508124}, doi = {10.1109/IPPS.1996.508124}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ParkA96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/VenkatramaniPD96, author = {Anjan K. Venkatramani and Timothy Mark Pinkston and Jos{\'{e}} Duato}, title = {Generalized Theory for Deadlock-Free Adaptive Wormhole Routing and its Application to Disha Concurrent}, booktitle = {Proceedings of {IPPS} '96, The 10th International Parallel Processing Symposium, April 15-19, 1996, Honolulu, Hawaii, {USA}}, pages = {815--821}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/IPPS.1996.508153}, doi = {10.1109/IPPS.1996.508153}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/VenkatramaniPD96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/Draper96, author = {Jeffrey T. Draper}, editor = {Hamid R. Arabnia}, title = {The Red Rover Algorithm for Deadlock-Free Routing on Bidirectional Rings}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1996, August 9-11, 1996, Sunnyvale, California, {USA}}, pages = {345--354}, publisher = {{CSREA} Press}, year = {1996}, timestamp = {Wed, 21 Apr 2004 11:30:43 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/Draper96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/JayasimhaMMSH96, author = {Doddaballapur Narasimha{-}Murthy Jayasimha and D. Manivannan and Jeff A. May and Loren Schwiebert and Stephen L. Hary}, title = {A foundation for designing deadlock-free routing algorithms in wormhole networks}, booktitle = {Proceedings of the Eighth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1996, New Orleans, Louisiana, USA, October 23-26, 1996}, pages = {190--197}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/SPDP.1996.570333}, doi = {10.1109/SPDP.1996.570333}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spdp/JayasimhaMMSH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cai/LopezD95, author = {Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, title = {Deadlock-Free Fully-Adaptive Minimal Routing Algorithms: Limitations and Solutions}, journal = {Comput. Artif. Intell.}, volume = {14}, number = {2}, pages = {105--125}, year = {1995}, url = {http://www.cai.sk/ojs/index.php/cai/article/view/223}, timestamp = {Mon, 14 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cai/LopezD95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/SmitHT95, author = {Gerard J. M. Smit and Paul J. M. Havinga and Walter H. Tibboel}, title = {Virtual Lines, a Deadlock-Free and Real-Time Routing Mechanism for {ATM} Networks}, journal = {Inf. Sci.}, volume = {85}, number = {1-3}, pages = {29--42}, year = {1995}, url = {https://doi.org/10.1016/0020-0255(94)00113-P}, doi = {10.1016/0020-0255(94)00113-P}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/SmitHT95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato95, author = {Jos{\'{e}} Duato}, title = {A Theory of Deadlock-Free Adaptive Multicast Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {6}, number = {9}, pages = {976--987}, year = {1995}, url = {https://doi.org/10.1109/71.466634}, doi = {10.1109/71.466634}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato95a, author = {Jos{\'{e}} Duato}, title = {A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {6}, number = {10}, pages = {1055--1067}, year = {1995}, url = {https://doi.org/10.1109/71.473515}, doi = {10.1109/71.473515}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato95a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ParkA95, author = {Hyunmin Park and Dharma P. Agrawal}, title = {A novel deadlock-free routing technique for a class of de Bruijn graph based networks}, booktitle = {Proceedings of {IPPS} '95, The 9th International Parallel Processing Symposium, April 25-28, 1995, Santa Barbara, California, {USA}}, pages = {524--531}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/IPPS.1995.395981}, doi = {10.1109/IPPS.1995.395981}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ParkA95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdp/ColiP95a, author = {Moreno Coli and Paolo Palazzari}, title = {An adaptive deadlock and livelock free routing algorithm}, booktitle = {3rd Euromicro Workshop on Parallel and Distributed Processing {(PDP} '95), January 25-27, 1995, San Remo, Italy}, pages = {288--295}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/EMPDP.1995.389126}, doi = {10.1109/EMPDP.1995.389126}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pdp/ColiP95a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpcs/Park95, author = {Seungjin Park}, editor = {M. H. Hamza}, title = {Adaptive Deadlock-Free Wormhole Routing in Faulty Hypercubes}, booktitle = {Proceedings of the Seventh {IASTED/ISMM} International Conference on Parallel and Distributed Computing and Systems, Washington, D.C., USA, October 19-21, 1995}, pages = {129--132}, publisher = {{IASTED/ACTA} Press}, year = {1995}, timestamp = {Wed, 14 Jul 2004 15:48:48 +0200}, biburl = {https://dblp.org/rec/conf/pdpcs/Park95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/HadimS95, author = {M. B. Hadim and Ibrahima Sakho}, editor = {Hamid R. Arabnia}, title = {Minimal, Deadlock Free and o(n) Space Memory Routing for k-ary n-cubes with Wraparound Connections}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} 1995, November 3-4, 1995, Georgia, {USA}}, pages = {511--520}, publisher = {{CSREA} Press}, year = {1995}, timestamp = {Mon, 09 Nov 2009 13:57:16 +0100}, biburl = {https://dblp.org/rec/conf/pdpta/HadimS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sirocco/VounckxDL95, author = {Johan Vounckx and Geert Deconinck and Rudy Lauwereins}, editor = {Lefteris M. Kirousis and Christos Kaklamanis}, title = {A Compact Fault-tolerant, Deadlock-free, Minimal Routing Algorithm for n-Dimensional Wormhole Switching Based Meshes}, booktitle = {Structure, Information and Communication Complexity, {SIROCCO} '95, Proceedings of the 2nd Colloquium on Structural Information and Communication Complexity, Olympia Greece, June 12-14, 1995}, series = {Proceedings in Informatics}, volume = {2}, pages = {135--146}, publisher = {Carleton Scientific}, year = {1995}, timestamp = {Wed, 19 Nov 2003 12:08:02 +0100}, biburl = {https://dblp.org/rec/conf/sirocco/VounckxDL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/SchwiebertJ95, author = {Loren Schwiebert and Doddaballapur Narasimha{-}Murthy Jayasimha}, editor = {Charles E. Leiserson}, title = {A Universal Proof Technique for Deadlock-Free Routing in Interconnection Networks}, booktitle = {7th Annual {ACM} Symposium on Parallel Algorithms and Architectures, {SPAA} '95, Santa Barbara, California, USA, July 17-19, 1995}, pages = {175--184}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/215399.215440}, doi = {10.1145/215399.215440}, timestamp = {Sun, 03 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spaa/SchwiebertJ95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/Cypher95, author = {Robert Cypher}, title = {Minimal, deadlock-free routing in hypercubic and arbitrary networks}, booktitle = {Proceedings of the Seventh {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1995, San Antonio, Texas , USA, October 25-28, 1995}, pages = {122--129}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/SPDP.1995.530674}, doi = {10.1109/SPDP.1995.530674}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/Cypher95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/ParkA95, author = {Hyunmin Park and Dharma P. Agrawal}, title = {Efficient deadlock-free wormhole routing in shuffle based networks}, booktitle = {Proceedings of the Seventh {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1995, San Antonio, Texas , USA, October 25-28, 1995}, pages = {92--99}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/SPDP.1995.530670}, doi = {10.1109/SPDP.1995.530670}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/ParkA95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamcomp/CypherG94, author = {Robert Cypher and Luis Gravano}, title = {Requirements for Deadlock-Free, Adaptive Packet Routing}, journal = {{SIAM} J. Comput.}, volume = {23}, number = {6}, pages = {1266--1274}, year = {1994}, url = {https://doi.org/10.1137/S0097539792231830}, doi = {10.1137/S0097539792231830}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamcomp/CypherG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/CypherG94, author = {Robert Cypher and Luis Gravano}, title = {Storage-Efficient, Deadlock-Free Packet Routing Algorithms for Torus Networks}, journal = {{IEEE} Trans. Computers}, volume = {43}, number = {12}, pages = {1376--1385}, year = {1994}, url = {https://doi.org/10.1109/12.338097}, doi = {10.1109/12.338097}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/CypherG94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/GravanoPBS94, author = {Luis Gravano and Gustavo D. Pifarr{\'{e}} and Pablo E. Berman and Jorge L. C. Sanz}, title = {Adaptive Deadlock- and Livelock-Free Routing with All Minimal Paths in Torus Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {5}, number = {12}, pages = {1233--1251}, year = {1994}, url = {https://doi.org/10.1109/71.334898}, doi = {10.1109/71.334898}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/GravanoPBS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/LinMN94, author = {Xiaola Lin and Philip K. McKinley and Lionel M. Ni}, title = {Deadlock-Free Multicast Wormhole Routing in 2-D Mesh Multicomputers}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {5}, number = {8}, pages = {793--804}, year = {1994}, url = {https://doi.org/10.1109/71.298203}, doi = {10.1109/71.298203}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/LinMN94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/PifarreGDS94, author = {Gustavo D. Pifarr{\'{e}} and Luis Gravano and Gustavo Denicolay and Jorge L. C. Sanz}, title = {Adaptive Deadlock- and Livelock-Free Routing in the Hypercube Network}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {5}, number = {11}, pages = {1121--1139}, year = {1994}, url = {https://doi.org/10.1109/71.329674}, doi = {10.1109/71.329674}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/PifarreGDS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/PifarreGFS94, author = {Gustavo D. Pifarr{\'{e}} and Luis Gravano and Sergio A. Felperin and Jorge L. C. Sanz}, title = {Fully Adaptive Minimal Deadlock-Free Packet Routing in Hypercubes, Meshes, and other Networks: Algorithms and Simulations}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {5}, number = {3}, pages = {247--263}, year = {1994}, url = {https://doi.org/10.1109/71.277792}, doi = {10.1109/71.277792}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/PifarreGFS94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/DuatoDGY94, author = {Jos{\'{e}} Duato and V. B. Dao and Patrick T. Gaughan and Sudhakar Yalamanchili}, editor = {Lionel M. Ni}, title = {Scouting: Fully Adaptive, Deadlock-Free Routing in Faulty Pipelined Networks}, booktitle = {Proceedings 1994 International Conference on Parallel and Distributed Systems, December 19-21, 1994, Hsinchu, Taiwan, Republic of China}, pages = {608--613}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ICPADS.1994.590406}, doi = {10.1109/ICPADS.1994.590406}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/DuatoDGY94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/Duato94, author = {Jos{\'{e}} Duato}, editor = {Dharma P. Agrawal}, title = {A Necessary and Sufficient Condition for Deadlock-Free Adaptive Routing in Wormhole Networks}, booktitle = {Proceedings of the 1994 International Conference on Parallel Processing, North Carolina State University, NC, USA, August 15-19, 1994. Volume {I:} Architecture}, pages = {142--149}, publisher = {{CRC} Press}, year = {1994}, url = {https://doi.org/10.1109/ICPP.1994.36}, doi = {10.1109/ICPP.1994.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/Duato94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/LinL94, author = {Chiu{-}Chuan Lin and Ferng{-}Ching Lin}, title = {Minimal turn restrictions for designing deadlock-free adaptive routing}, booktitle = {Proceedings of the Sixth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1994, Dallas, Texas, {USA} , October 26-29, 1994}, pages = {680--687}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/SPDP.1994.346108}, doi = {10.1109/SPDP.1994.346108}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/LinL94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/LiuC94, author = {Ziqiang Liu and Andrew A. Chien}, title = {Hierarchical adaptive routing: a framework for fully adaptive and deadlock-free wormhole routing}, booktitle = {Proceedings of the Sixth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1994, Dallas, Texas, {USA} , October 26-29, 1994}, pages = {688--695}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/SPDP.1994.346107}, doi = {10.1109/SPDP.1994.346107}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/LiuC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ppl/Duato93, author = {Jos{\'{e}} Duato}, title = {On the Design of Deadlock-Free Adaptive Multicast Routing Algorithms}, journal = {Parallel Process. Lett.}, volume = {3}, pages = {321--333}, year = {1993}, url = {https://doi.org/10.1142/S0129626493000368}, doi = {10.1142/S0129626493000368}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ppl/Duato93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimS93, author = {Jong Kim and Kang G. Shin}, title = {Deadlock-Free Fault-Tolerant Routing in Injured Hypercubes}, journal = {{IEEE} Trans. Computers}, volume = {42}, number = {9}, pages = {1078--1088}, year = {1993}, url = {https://doi.org/10.1109/12.241597}, doi = {10.1109/12.241597}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/DallyA93, author = {William J. Dally and Hiromichi Aoki}, title = {Deadlock-Free Adaptive Routing in Multicomputer Networks Using Virtual Channels}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {4}, number = {4}, pages = {466--475}, year = {1993}, url = {https://doi.org/10.1109/71.219761}, doi = {10.1109/71.219761}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/DallyA93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/Duato93, author = {Jos{\'{e}} Duato}, title = {A New Theory of Deadlock-Free Adaptive Routing in Wormhole Networks}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {4}, number = {12}, pages = {1320--1331}, year = {1993}, url = {https://doi.org/10.1109/71.250114}, doi = {10.1109/71.250114}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/Duato93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/SuS93, author = {Chien{-}Chun Su and Kang G. Shin}, editor = {C. Y. Roger Chen and P. Bruce Berra}, title = {Adaptive Deadlock-Free Routing in Multicomputers Using Only One Extra Virtual Channel}, booktitle = {Proceedings of the 1993 International Conference on Parallel Processing, Syracuse University, NY, USA, August 16-20, 1993. Volume {I:} Architecture}, pages = {227--231}, publisher = {{CRC} Press}, year = {1993}, url = {https://doi.org/10.1109/ICPP.1993.37}, doi = {10.1109/ICPP.1993.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpp/SuS93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/LiuDT93, author = {Ziqiang Liu and Jos{\'{e}} Duato and Lars{-}Erik Thorelli}, editor = {Arndt Bode and Mike Reeve and Gottfried Wolf}, title = {Grouping Virtual Channels for Deadlock-Free Adaptive Wormhole Routing}, booktitle = {{PARLE} '93, Parallel Architectures and Languages Europe, 5th International {PARLE} Conference, Munich, Germany, June 14-17, 1993, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {694}, pages = {254--265}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/3-540-56891-3\_20}, doi = {10.1007/3-540-56891-3\_20}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/parle/LiuDT93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/LopezD93, author = {Pedro L{\'{o}}pez and Jos{\'{e}} Duato}, editor = {Arndt Bode and Mike Reeve and Gottfried Wolf}, title = {Deadlock-Free Adaptive Routing Algorithms for the 3D-Torus: Limitations and Solutions}, booktitle = {{PARLE} '93, Parallel Architectures and Languages Europe, 5th International {PARLE} Conference, Munich, Germany, June 14-17, 1993, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {694}, pages = {684--687}, publisher = {Springer}, year = {1993}, url = {https://doi.org/10.1007/3-540-56891-3\_59}, doi = {10.1007/3-540-56891-3\_59}, timestamp = {Wed, 19 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parle/LopezD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/Duato93, author = {Jos{\'{e}} Duato}, title = {A New Theory of Deadlock-free Adaptive Multicast Routing in Wormhole Networks}, booktitle = {Proceedings of the Fifth {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1993, Dallas, Texas, USA, December 2-5, 1993}, pages = {64--71}, publisher = {{IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/SPDP.1993.395549}, doi = {10.1109/SPDP.1993.395549}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/Duato93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/concurrency/CannataroSTG92, author = {Mario Cannataro and Giandomenico Spezzano and Domenico Talia and E. Gallizzi}, title = {Design, implementation and evaluation of a deadlock-free routing algorithm for concurrent computers}, journal = {Concurr. Pract. Exp.}, volume = {4}, number = {2}, pages = {143--161}, year = {1992}, url = {https://doi.org/10.1002/cpe.4330040204}, doi = {10.1002/CPE.4330040204}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/concurrency/CannataroSTG92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jpdc/Li92, author = {Qiang Li}, title = {Minimum Deadlock-Free Message Routing Restrictions in Binary Hypercubes}, journal = {J. Parallel Distributed Comput.}, volume = {15}, number = {2}, pages = {153--159}, year = {1992}, url = {https://doi.org/10.1016/0743-7315(92)90107-X}, doi = {10.1016/0743-7315(92)90107-X}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jpdc/Li92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acm/Pritchard92, author = {David J. Pritchard}, editor = {Jagan P. Agrawal and Vijay Kumar and Virgil Wallentine}, title = {Load Balanced Deadlock-Free Deterministic Routing of Arbitrary Networks}, booktitle = {Proceedings of the {ACM} 20th Annual Conference on Computer Science, {CSC} '92, Kansas City, MO, USA, March 3-5, 1992}, pages = {225--234}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/131214.131243}, doi = {10.1145/131214.131243}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acm/Pritchard92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conpar/AdamoA92, author = {Jean{-}Marc Adamo and N. Alhafez}, editor = {Luc Boug{\'{e}} and Michel Cosnard and Yves Robert and Denis Trystram}, title = {Minimal, Adaptive and Deadlock-Free Routing for Multiprocessors}, booktitle = {Parallel Processing: {CONPAR} 92 - {VAPP} V, Second Joint International Conference on Vector and Parallel Processing, Lyon, France, September 1-4, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {634}, pages = {815--816}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-55895-0\_503}, doi = {10.1007/3-540-55895-0\_503}, timestamp = {Tue, 14 May 2019 10:00:55 +0200}, biburl = {https://dblp.org/rec/conf/conpar/AdamoA92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/CypherG92, author = {Robert Cypher and Luis Gravano}, editor = {Quentin F. Stout}, title = {Adaptive, Deadlock-Free Packet Routing in Torus Networks with Minimal Storage}, booktitle = {Proceedings of the 1992 International Conference on Parallel Processing, University of Michigan, An Arbor, Michigan, USA, August 17-21, 1992. Volume {III:} Algorithms {\&} Applications}, pages = {204--211}, publisher = {{CRC} Press}, year = {1992}, timestamp = {Mon, 28 Jul 2014 17:06:01 +0200}, biburl = {https://dblp.org/rec/conf/icpp/CypherG92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip/Li92, author = {Qiang Li}, editor = {Jan van Leeuwen}, title = {A Deadlock-free Adaptive Routing Algorithm for Direct Binary Hypercubes}, booktitle = {Algorithms, Software, Architecture - Information Processing '92, Volume 1, Proceedings of the {IFIP} 12th World Computer Congress, Madrid, Spain, 7-11 September 1992}, series = {{IFIP} Transactions}, volume = {{A-12}}, pages = {545--554}, publisher = {North-Holland}, year = {1992}, timestamp = {Wed, 14 Aug 2002 08:51:19 +0200}, biburl = {https://dblp.org/rec/conf/ifip/Li92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/GravanoPDS92, author = {Luis Gravano and Gustavo D. Pifarr{\'{e}} and Gustavo Denicolay and Jorge L. C. Sanz}, editor = {Viktor K. Prasanna and Larry H. Canter}, title = {Adaptive Deadlock-Free Worrnhole Routing in Hypercubes}, booktitle = {Proceedings of the 6th International Parallel Processing Symposium, Beverly Hills, CA, USA, March 1992}, pages = {512--515}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/IPPS.1992.222975}, doi = {10.1109/IPPS.1992.222975}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/GravanoPDS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/Li92, author = {Qiang Li}, editor = {Viktor K. Prasanna and Larry H. Canter}, title = {An Improved Multiple-Path Deadlock-Free Routing Algorithm in Binary Hypercubes}, booktitle = {Proceedings of the 6th International Parallel Processing Symposium, Beverly Hills, CA, USA, March 1992}, pages = {597--601}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/IPPS.1992.223000}, doi = {10.1109/IPPS.1992.223000}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/Li92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/LiuH92, author = {Jen{-}Shiuh Liu and Wen{-}Jing Hsu}, editor = {Viktor K. Prasanna and Larry H. Canter}, title = {Distributed Algorithms for Shortest-Path Deadlock-Free Routing and Broadcasting in a Class of Interconnection Topologies}, booktitle = {Proceedings of the 6th International Parallel Processing Symposium, Beverly Hills, CA, USA, March 1992}, pages = {589--596}, publisher = {{IEEE} Computer Society}, year = {1992}, url = {https://doi.org/10.1109/IPPS.1992.222963}, doi = {10.1109/IPPS.1992.222963}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/LiuH92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/DimopoulosCSD92, author = {Nikitas J. Dimopoulos and Mahmood Chowdhury and Radhakrishnan Sivakumar and Vassilios V. Dimakopoulos}, editor = {Daniel Etiemble and Jean{-}Claude Syre}, title = {Routing in Hypercycles. Deadlock Free and Backtracking Strategies}, booktitle = {{PARLE} '92: Parallel Architectures and Languages Europe, 4th International {PARLE} Conference, Paris, France, June 15-18, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {605}, pages = {973--974}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-55599-4\_148}, doi = {10.1007/3-540-55599-4\_148}, timestamp = {Tue, 14 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parle/DimopoulosCSD92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/ZhongL92, author = {Xiaoxiong Zhong and Virginia Mary Lo}, editor = {Daniel Etiemble and Jean{-}Claude Syre}, title = {Application-Specific Deadlock Free Wormhole Routing on Multicomputers}, booktitle = {{PARLE} '92: Parallel Architectures and Languages Europe, 4th International {PARLE} Conference, Paris, France, June 15-18, 1992, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {605}, pages = {193--208}, publisher = {Springer}, year = {1992}, url = {https://doi.org/10.1007/3-540-55599-4\_89}, doi = {10.1007/3-540-55599-4\_89}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/parle/ZhongL92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/podc/CypherG92, author = {Robert Cypher and Luis Gravano}, editor = {Norman C. Hutchinson}, title = {Requirements for Deadlock-Free, Adaptive Packet Routing}, booktitle = {Proceedings of the Eleventh Annual {ACM} Symposium on Principles of Distributed Computing, Vancouver, British Columbia, Canada, August 10-12, 1992}, pages = {25--33}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/135419.135425}, doi = {10.1145/135419.135425}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/podc/CypherG92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/BermanGPS92, author = {Pablo E. Berman and Luis Gravano and Gustavo D. Pifarr{\'{e}} and Jorge L. C. Sanz}, editor = {Lawrence Snyder}, title = {Adaptive Deadlock- and Livelock-Free Routing with all Minimal Paths in Torus Networks}, booktitle = {Proceedings of the 4th Annual {ACM} Symposium on Parallel Algorithms and Architectures, {SPAA} '92, San Diego, CA, USA, June 29 - July 1, 1992}, pages = {3--12}, publisher = {{ACM}}, year = {1992}, url = {https://doi.org/10.1145/140901.140902}, doi = {10.1145/140901.140902}, timestamp = {Wed, 21 Nov 2018 12:27:44 +0100}, biburl = {https://dblp.org/rec/conf/spaa/BermanGPS92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edmcc/Duato91, author = {Jos{\'{e}} Duato}, editor = {Arndt Bode}, title = {On the Design of Deadlock-Free Adaptive Routing Algorithms for Multicomputers: Theoretical Aspects}, booktitle = {Distributed Memory Computing, 2nd European Conference, EDMCC2, Munich, FRG, April 22-24, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {487}, pages = {234--243}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/BFb0032940}, doi = {10.1007/BFB0032940}, timestamp = {Fri, 17 Nov 2023 09:27:30 +0100}, biburl = {https://dblp.org/rec/conf/edmcc/Duato91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/HorngK91, author = {Ming{-}Yun Horng and Leonard Kleinrock}, title = {On the Performance of a Deadlock-free Routing Algorithm for Boolean n-Cube Interconnection Networks with Finite Buffers}, booktitle = {Proceedings of the International Conference on Parallel Processing, {ICPP} '91, Austin, Texas, USA, August 1991. Volume {III:} Algorithms and Applications}, pages = {228--235}, publisher = {{CRC} Press}, year = {1991}, timestamp = {Mon, 28 Jul 2014 17:06:01 +0200}, biburl = {https://dblp.org/rec/conf/icpp/HorngK91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/LinN91, author = {Xiaola Lin and Lionel M. Ni}, editor = {Zvonko G. Vranesic}, title = {Deadlock-Free Multicast Wormhole Routing in Multicomputer Networks}, booktitle = {Proceedings of the 18th Annual International Symposium on Computer Architecture. Toronto, Canada, May, 27-30 1991}, pages = {116--125}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/115952.115965}, doi = {10.1145/115952.115965}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isca/LinN91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parle/Duato91, author = {Jos{\'{e}} Duato}, editor = {Emile H. L. Aarts and Jan van Leeuwen and Martin Rem}, title = {On the Design of Deadlock-Free Adaptive Routing Algorithms for Multicomputers: Design Methodologies}, booktitle = {{PARLE} '91: Parallel Architectures and Languages Europe, Volume {I:} Parallel Architectures and Algorithms, Eindhoven, The Netherlands, June 10-13, 1991, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {505}, pages = {390--405}, publisher = {Springer}, year = {1991}, url = {https://doi.org/10.1007/BFb0035117}, doi = {10.1007/BFB0035117}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/parle/Duato91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/podc/AwerbuchKP91, author = {Baruch Awerbuch and Shay Kutten and David Peleg}, editor = {Luigi Logrippo}, title = {Efficient Deadlock-Free Routing}, booktitle = {Proceedings of the Tenth Annual {ACM} Symposium on Principles of Distributed Computing, Montreal, Quebec, Canada, August 19-21, 1991}, pages = {177--188}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/112600.112616}, doi = {10.1145/112600.112616}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/podc/AwerbuchKP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spaa/PifarreGFS91, author = {Gustavo D. Pifarr{\'{e}} and Luis Gravano and Sergio A. Felperin and Jorge L. C. Sanz}, editor = {Tom Leighton}, title = {Fully-Adaptive Minimal Deadlock-Free Packet Routing in Hypercubes, Meshes, and Other Networks}, booktitle = {Proceedings of the 3rd Annual {ACM} Symposium on Parallel Algorithms and Architectures, {SPAA} '91, Hilton Head, South Carolina, USA, July 21-24, 1991}, pages = {278--290}, publisher = {{ACM}}, year = {1991}, url = {https://doi.org/10.1145/113379.113405}, doi = {10.1145/113379.113405}, timestamp = {Wed, 21 Nov 2018 12:27:44 +0100}, biburl = {https://dblp.org/rec/conf/spaa/PifarreGFS91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spdp/Duato91, author = {Jos{\'{e}} Duato}, title = {Deadlock-free adaptive routing algorithms for multicomputers: evaluation of a new algorithm}, booktitle = {Proceedings of the Third {IEEE} Symposium on Parallel and Distributed Processing, {SPDP} 1991, 2-5 December 1991, Dallas, Texas, {USA}}, pages = {840--847}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/SPDP.1991.218233}, doi = {10.1109/SPDP.1991.218233}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/spdp/Duato91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/SenguptaB90, author = {Abhijit Sengupta and Subir Bandyopadhyay}, title = {Deadlock-Free Routing in k-Ary Hypercube Network in Presence of Processor Failures}, journal = {Inf. Process. Lett.}, volume = {34}, number = {6}, pages = {323--328}, year = {1990}, url = {https://doi.org/10.1016/0020-0190(90)90017-R}, doi = {10.1016/0020-0190(90)90017-R}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/SenguptaB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conpar/MugwanezaMS90, author = {L{\'{e}}on Mugwaneza and Traian Muntean and Ibrahima Sakho}, editor = {Helmar Burkhart}, title = {A Deadlock Free Routing Algorithm with Network Size Independent Buffering Space}, booktitle = {{CONPAR} 90 - {VAPP} IV, Joint International Conference on Vector and Parallel Processing, Zurich, Switzerland, September 10-13, 1990, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {457}, pages = {489--501}, publisher = {Springer}, year = {1990}, url = {https://doi.org/10.1007/3-540-53065-7\_127}, doi = {10.1007/3-540-53065-7\_127}, timestamp = {Tue, 14 May 2019 10:00:55 +0200}, biburl = {https://dblp.org/rec/conf/conpar/MugwanezaMS90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ftcs/PeercyB90, author = {Michael Peercy and Prithviraj Banerjee}, title = {Distributed algorithms for shortest-path, deadlock-free routing and broadcasting in arbitrarily faulty hypercubes}, booktitle = {Proceedings of the 20th International Symposium on Fault-Tolerant Computing, {FTCS} 1990, Newcastle Upon Tyne, UK, 26-28 June, 1990}, pages = {218--225}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/FTCS.1990.89369}, doi = {10.1109/FTCS.1990.89369}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ftcs/PeercyB90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/podc/OfekY90, author = {Yoram Ofek and Moti Yung}, editor = {Cynthia Dwork}, title = {Principle for High Speed Network Control: Congestion- and Deadlock-Freeness, Self-Routing, and a Single Buffer per Link}, booktitle = {Proceedings of the Ninth Annual {ACM} Symposium on Principles of Distributed Computing, Quebec City, Quebec, Canada, August 22-24, 1990}, pages = {161--175}, publisher = {{ACM}}, year = {1990}, url = {https://doi.org/10.1145/93385.93414}, doi = {10.1145/93385.93414}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/podc/OfekY90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dc/HilbersL89, author = {Peter A. J. Hilbers and Johan J. Lukkien}, title = {Deadlock-Free Message Routing in Multicomputer Networks}, journal = {Distributed Comput.}, volume = {3}, number = {4}, pages = {178--186}, year = {1989}, url = {https://doi.org/10.1007/BF01784886}, doi = {10.1007/BF01784886}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dc/HilbersL89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/DallyS87, author = {William J. Dally and Charles L. Seitz}, title = {Deadlock-Free Message Routing in Multiprocessor Interconnection Networks}, journal = {{IEEE} Trans. Computers}, volume = {36}, number = {5}, pages = {547--553}, year = {1987}, url = {https://doi.org/10.1109/TC.1987.1676939}, doi = {10.1109/TC.1987.1676939}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/DallyS87.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.