Stop the war!
Остановите войну!
for scientists:
default search action
Search dblp for Publications
export results for "automatic pipeline"
@article{DBLP:journals/sensors/CastellaniCBVM24, author = {Francesco Castellani and Caterina Capponi and Bruno Brunone and Matteo Vedovelli and Silvia Meniconi}, title = {Performance Assessment of Pneumatic-Driven Automatic Valves to Improve Pipeline Fault Detection Procedure by Fast Transient Tests}, journal = {Sensors}, volume = {24}, number = {6}, pages = {1825}, year = {2024}, url = {https://doi.org/10.3390/s24061825}, doi = {10.3390/S24061825}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/CastellaniCBVM24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/comad/BhowmickMS24, author = {Archisman Bhowmick and Mayank Mishra and Rekha Singhal}, editor = {Sriraam Natarajan and Indrajit Bhattacharya and Richa Singh and Arun Kumar and Sayan Ranu and Kalika Bali and Abinaya K}, title = {{TASCA} : Tool for Automatic SCalable Acceleration of {ML} pipelines{\unicode{10033}}}, booktitle = {Proceedings of the 7th Joint International Conference on Data Science {\&} Management of Data (11th {ACM} {IKDD} {CODS} and 29th COMAD), Bangalore, India, January 4-7, 2024}, pages = {514--518}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3632410.3632504}, doi = {10.1145/3632410.3632504}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/comad/BhowmickMS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/CragoDSK24, author = {Neal Clayton Crago and Sana Damani and Karthikeyan Sankaralingam and Stephen W. Keckler}, title = {{WASP:} Exploiting {GPU} Pipeline Parallelism with Hardware-Accelerated Automatic Warp Specialization}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {1--16}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00086}, doi = {10.1109/HPCA57654.2024.00086}, timestamp = {Wed, 17 Apr 2024 17:17:11 +0200}, biburl = {https://dblp.org/rec/conf/hpca/CragoDSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01811, author = {Felix K{\"{u}}nnecke and Anna Filighera and Colin Leong and Tim Steuer}, title = {Enhancing Multi-Domain Automatic Short Answer Grading through an Explainable Neuro-Symbolic Pipeline}, journal = {CoRR}, volume = {abs/2403.01811}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01811}, doi = {10.48550/ARXIV.2403.01811}, eprinttype = {arXiv}, eprint = {2403.01811}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01811.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10058, author = {Anirban Mukherjee and Monjoy Narayan Choudhury and Dinesh Babu Jayagopi}, title = {{RID-TWIN:} An end-to-end pipeline for automatic face de-identification in videos}, journal = {CoRR}, volume = {abs/2403.10058}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10058}, doi = {10.48550/ARXIV.2403.10058}, eprinttype = {arXiv}, eprint = {2403.10058}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10058.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/DebiasiMB23, author = {Giulia Debiasi and Ilaria Mazzonetto and Alessandra Bertoldo}, title = {The effect of processing pipelines, input images and age on automatic cortical morphology estimates}, journal = {Comput. Methods Programs Biomed.}, volume = {242}, pages = {107825}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107825}, doi = {10.1016/J.CMPB.2023.107825}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/DebiasiMB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/UrruNBCSCHEGCPB23, author = {Andrea Urru and Ayako Nakaki and Oualid M. Benkarim and Francesca Crovetto and Laura Segal{\'{e}}s and Valentin Comte and Nadine Hahner and Elisenda Eixarch and Eduard Gratac{\'{o}}s and F{\'{a}}tima Crispi and Gemma Piella and Miguel {\'{A}}ngel Gonz{\'{a}}lez Ballester}, title = {An automatic pipeline for atlas-based fetal and neonatal brain segmentation and analysis}, journal = {Comput. Methods Programs Biomed.}, volume = {230}, pages = {107334}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107334}, doi = {10.1016/J.CMPB.2023.107334}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/UrruNBCSCHEGCPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/DingZGLLWP23, author = {Liya Ding and Xuan Zhao and Shuxia Guo and Yufeng Liu and Lijuan Liu and Yimin Wang and Hanchuan Peng}, title = {{SNAP:} a structure-based neuron morphology reconstruction automatic pruning pipeline}, journal = {Frontiers Neuroinformatics}, volume = {17}, year = {2023}, url = {https://doi.org/10.3389/fninf.2023.1174049}, doi = {10.3389/FNINF.2023.1174049}, timestamp = {Wed, 16 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/DingZGLLWP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsac/ShiZLMG23, author = {Hongjian Shi and Weichu Zheng and Zifei Liu and Ruhui Ma and Haibing Guan}, title = {Automatic Pipeline Parallelism: {A} Parallel Inference Framework for Deep Learning Applications in 6G Mobile Communication Systems}, journal = {{IEEE} J. Sel. Areas Commun.}, volume = {41}, number = {7}, pages = {2041--2056}, year = {2023}, url = {https://doi.org/10.1109/JSAC.2023.3280970}, doi = {10.1109/JSAC.2023.3280970}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsac/ShiZLMG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhaoD23, author = {Haoyi Zhao and Fa Foster Dai}, title = {A 12-Bit 260-MS/s Pipelined-SAR {ADC} With Ring-TDC-Based Fine Quantizer for Automatic Cross-Domain Scale Alignment}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {10}, pages = {2883--2896}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3272640}, doi = {10.1109/JSSC.2023.3272640}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhaoD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsw/ReseWGKSD23, author = {Christine Rese and Nikolai West and Mathias Gebler and Sven Krzoska and Philipp Schlunder and Jochen Deuse}, title = {Pipeline for the Automatic Extraction of Procedural Knowledge from Assembly Instructions into Controlled Natural Language}, journal = {J. Softw.}, volume = {18}, number = {1}, pages = {1--14}, year = {2023}, url = {http://www.jsoftware.us/index.php?m=content\&c=index\&a=show\&catid=241\&id=3094}, timestamp = {Mon, 27 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsw/ReseWGKSD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ZhouWWHPLWC23, author = {You Zhou and Ye Wang and Junhui Wu and Muhammad Hassan and Wei Pang and Lili Lv and Liupu Wang and Honghua Cui}, title = {Correction to: ErythroidCounter: an automatic pipeline for erythroid cell detection, identification and counting based on deep learning}, journal = {Multim. Tools Appl.}, volume = {82}, number = {17}, pages = {27077}, year = {2023}, url = {https://doi.org/10.1007/s11042-022-14028-y}, doi = {10.1007/S11042-022-14028-Y}, timestamp = {Wed, 12 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ZhouWWHPLWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/MinCGXY23, author = {Jianliang Min and Ming Cai and Chao Gou and Chen Xiong and Xuejiao Yao}, title = {Fusion of forehead {EEG} with machine vision for real-time fatigue detection in an automatic processing pipeline}, journal = {Neural Comput. Appl.}, volume = {35}, number = {12}, pages = {8859--8872}, year = {2023}, url = {https://doi.org/10.1007/s00521-022-07466-0}, doi = {10.1007/S00521-022-07466-0}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/MinCGXY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HumblotRenauxJM23, author = {Galadrielle Humblot{-}Renaux and Simon Buus Jensen and Andreas M{\o}gelmose}, title = {From {CAD} Models to Soft Point Cloud Labels: An Automatic Annotation Pipeline for Cheaply Supervised 3D Semantic Segmentation}, journal = {Remote. Sens.}, volume = {15}, number = {14}, pages = {3578}, year = {2023}, url = {https://doi.org/10.3390/rs15143578}, doi = {10.3390/RS15143578}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/HumblotRenauxJM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/DirrGYD23, author = {Jonas Dirr and Daniel Gebauer and Jiajun Yao and R{\"{u}}diger Daub}, title = {Automatic Image Generation Pipeline for Instance Segmentation of Deformable Linear Objects}, journal = {Sensors}, volume = {23}, number = {6}, pages = {3013}, year = {2023}, url = {https://doi.org/10.3390/s23063013}, doi = {10.3390/S23063013}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/DirrGYD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ThiTLD23, author = {Ngoc{-}Anh Nguyen Thi and Bao Thang Ta and Nhat Minh Le and Van Hai Do}, title = {An Automatic Pipeline For Building Emotional Speech Dataset}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {1030--1035}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317420}, doi = {10.1109/APSIPAASC58517.2023.10317420}, timestamp = {Sat, 02 Dec 2023 14:05:45 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/ThiTLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausai/BalamuraliM23, author = {Mehala Balamurali and Ehsan Mihankhah}, editor = {Tongliang Liu and Geoffrey I. Webb and Lin Yue and Dadong Wang}, title = {SimMining-3D: Altitude-Aware 3D Object Detection in Complex Mining Environments: {A} Novel Dataset and ROS-Based Automatic Annotation Pipeline}, booktitle = {{AI} 2023: Advances in Artificial Intelligence - 36th Australasian Joint Conference on Artificial Intelligence, {AI} 2023, Brisbane, QLD, Australia, November 28 - December 1, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14471}, pages = {55--66}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8388-9\_5}, doi = {10.1007/978-981-99-8388-9\_5}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ausai/BalamuraliM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dihu/RabusAJLMPR23, author = {Achim Rabus and Eckhart Arnold and Anna Jouravel and Piroska Lendvai and Martin Meindl and Vladimir Polomac and Elena Renje}, editor = {Anne Baillot and Toma Tasovac and Walter Scholger and Georg Vogeler}, title = {Developing a Pipeline for Automatic Linguistic Analysis of Historical Manuscripts and Early Printings: The Pre-Modern Slavic Case}, booktitle = {Annual International Conference of the Alliance of Digital Humanities Organizations, {DH} 2022, Graz, Austria, July 10-14, 2023, Conference Abstracts}, year = {2023}, url = {https://doi.org/10.5281/zenodo.8107622}, doi = {10.5281/ZENODO.8107622}, timestamp = {Wed, 02 Aug 2023 10:13:00 +0200}, biburl = {https://dblp.org/rec/conf/dihu/RabusAJLMPR23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ehealth2/WagnerJBFU23, author = {Fabian Wagner and Miran Jank and Andrea Balz and Mathias Forjan and Philipp Urbauer}, editor = {Bernhard Pfeifer and G{\"{u}}nter Schreier and Martin Baumgartner and Dieter Hayn}, title = {Immersive Spatial Planning in Healthcare: Developing a Pipeline to Automatically Convert Computer Aided DesignData to Virtual Reality}, booktitle = {dHealth 2023 - Proceedings of the 17th Health Informatics Meets Digital Health Conference, Vienna, Austria, May 16-17, 2023}, series = {Studies in Health Technology and Informatics}, volume = {301}, pages = {96--101}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI230019}, doi = {10.3233/SHTI230019}, timestamp = {Tue, 23 May 2023 17:20:02 +0200}, biburl = {https://dblp.org/rec/conf/ehealth2/WagnerJBFU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/er/OchandoGLHPS23, author = {Lidia Contreras Ochando and Pere Marco Garcia and Ana Le{\'{o}}n and Llu{\'{\i}}s{-}F. Hurtado and Ferran Pla and Encarna Segarra}, editor = {Tiago Prince Sales and Jo{\~{a}}o Ara{\'{u}}jo and Jos{\'{e}} Borbinha and Giancarlo Guizzardi}, title = {Enhancing Precision Medicine: An Automatic Pipeline Approach for Exploring Genetic Variant-Disease Literature}, booktitle = {Advances in Conceptual Modeling - {ER} 2023 Workshops, CMLS, CMOMM4FAIR, EmpER, JUSMOD, OntoCom, QUAMES, and SmartFood, Lisbon, Portugal, November 6-9, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14319}, pages = {35--43}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47112-4\_4}, doi = {10.1007/978-3-031-47112-4\_4}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/er/OchandoGLHPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/NguyenS23, author = {Quan M. Nguyen and Daniel S{\'{a}}nchez}, title = {Phloem: Automatic Acceleration of Irregular Applications with Fine-Grain Pipeline Parallelism}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {1262--1274}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10071026}, doi = {10.1109/HPCA56546.2023.10071026}, timestamp = {Wed, 29 Mar 2023 11:07:46 +0200}, biburl = {https://dblp.org/rec/conf/hpca/NguyenS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaart/ChengDS023, author = {Mingxi Cheng and Fatima Zohra Daha and Amit Srivastava and Ji Li}, editor = {Ana Paula Rocha and Luc Steels and H. Jaap van den Herik}, title = {Generation-Based Data Augmentation Pipeline for Real-Time Automatic Gesture Recognition}, booktitle = {Proceedings of the 15th International Conference on Agents and Artificial Intelligence, {ICAART} 2023, Volume 2, Lisbon, Portugal, February 22-24, 2023}, pages = {437--446}, publisher = {{SCITEPRESS}}, year = {2023}, url = {https://doi.org/10.5220/0011886600003393}, doi = {10.5220/0011886600003393}, timestamp = {Tue, 09 May 2023 16:56:47 +0200}, biburl = {https://dblp.org/rec/conf/icaart/ChengDS023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccais/NguyenDT23, author = {Van{-}Giang Nguyen and Thanh{-}Long Do and Duc Tang Tran}, title = {End-to-end Pipeline to Learning-based Approaches for Automatic Cranial Implant Design}, booktitle = {12th International Conference on Control, Automation and Information Sciences, {ICCAIS} 2023, Hanoi, Vietnam, November 27-29, 2023}, pages = {364--369}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCAIS59597.2023.10382348}, doi = {10.1109/ICCAIS59597.2023.10382348}, timestamp = {Fri, 09 Feb 2024 20:38:50 +0100}, biburl = {https://dblp.org/rec/conf/iccais/NguyenDT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/AjmeraKML23, author = {Pawan K. Ajmera and Sanchit Kabra and Anish Mall and Ankur Lhila}, title = {AMaizeD: An End to End Pipeline for Automatic Maize Disease Detection}, booktitle = {16th International Conference on Sensing Technology, {ICST} 2023, Hyderabad, India, December 17-20, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICST59744.2023.10460787}, doi = {10.1109/ICST59744.2023.10460787}, timestamp = {Tue, 02 Apr 2024 21:06:14 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/AjmeraKML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifm/Velev23, author = {Miroslav N. Velev}, editor = {Paula Herber and Anton Wijs}, title = {Automatic Formal Verification of {RISC-V} Pipelined Microprocessors with Fault Tolerance by Spatial Redundancy at a High Level of Abstraction}, booktitle = {iFM 2023 - 18th International Conference, iFM 2023, Leiden, The Netherlands, November 13-15, 2023, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {14300}, pages = {193--213}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-47705-8\_11}, doi = {10.1007/978-3-031-47705-8\_11}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifm/Velev23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iotais/PanchalBML23, author = {Deven Panchal and Isilay Baran and Dan Musgrove and David Lu}, title = {MLOps: Automatic, Zero-Touch and Reusable Machine Learning Training and Serving Pipelines}, booktitle = {{IEEE} International Conference on Internet of Things and Intelligence Systems, IoTaIS 2023, Bali, Indonesia, November 28-30, 2023}, pages = {175--181}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IoTaIS60147.2023.10346079}, doi = {10.1109/IOTAIS60147.2023.10346079}, timestamp = {Fri, 09 Feb 2024 20:38:53 +0100}, biburl = {https://dblp.org/rec/conf/iotais/PanchalBML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/PastoreTCCGPO23, author = {Vito Paolo Pastore and Larbi Touijer and Niccol{\`{o}} Capurro and Emanuele Cozzani and Giulia Gasparini and Aurora Parodi and Francesca Odone}, title = {Incorporating Diagnostic Prior with Segmentation: {A} Deep Learning Pipeline for the Automatic Classification of Autoimmune Bullous Skin Diseases}, booktitle = {20th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2023, Cartagena, Colombia, April 18-21, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISBI53787.2023.10230494}, doi = {10.1109/ISBI53787.2023.10230494}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/PastoreTCCGPO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/metroxraine/CavicchioliPPC23, author = {Matteo Cavicchioli and Ludovica Pierelli and Giacomo Pugliese and Pietro Cerveri}, title = {Automatic Liver Vessels Segmentation Using ResDense UNet And An Appropriate Preprocessing Pipeline}, booktitle = {{IEEE} International Conference on Metrology for eXtended Reality, Artificial Intelligence and Neural Engineering, MetroXRAINE 2023, Milano, Italy, October 25-27, 2023}, pages = {166--171}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MetroXRAINE58569.2023.10405781}, doi = {10.1109/METROXRAINE58569.2023.10405781}, timestamp = {Fri, 16 Feb 2024 13:54:55 +0100}, biburl = {https://dblp.org/rec/conf/metroxraine/CavicchioliPPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/GaoLLZSXSBRRDAL23, author = {Yurui Gao and Dylan R. Lawless and Muwei Li and Yu Zhao and Kurt G. Schilling and Lyuan Xu and Andrea T. Shafer and Lori L. Beason{-}Held and Susan M. Resnick and Baxter P. Rogers and Zhaohua Ding and Adam W. Anderson and Bennett A. Landman and John C. Gore}, editor = {Olivier Colliot and Ivana Isgum}, title = {Automatic preprocessing pipeline for white matter functional analyses of large-scale databases}, booktitle = {Medical Imaging 2023: Image Processing, San Diego, CA, USA, February 19-23, 2023}, series = {{SPIE} Proceedings}, volume = {12464}, publisher = {{SPIE}}, year = {2023}, url = {https://doi.org/10.1117/12.2653132}, doi = {10.1117/12.2653132}, timestamp = {Mon, 18 Mar 2024 16:27:18 +0100}, biburl = {https://dblp.org/rec/conf/miip/GaoLLZSXSBRRDAL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/stacom-ws/FengCTALBYTZ23, author = {Fan Feng and Carl{-}Johan Carlh{\"{a}}ll and Yongyao Tan and Shaleka Agrawal and Peter Lundberg and Jieyun Bai and John Zhiyong Yang and Mark Trew and Jichao Zhao}, editor = {Oscar Camara and Esther Puyol{-}Ant{\'{o}}n and Maxime Sermesant and Avan Suinesiaputra and Qian Tao and Chengyan Wang and Alistair A. Young}, title = {FM-Net: {A} Fully Automatic Deep Learning Pipeline for Epicardial Adipose Tissue Segmentation}, booktitle = {Statistical Atlases and Computational Models of the Heart. Regular and CMRxRecon Challenge Papers - 14th International Workshop, {STACOM} 2023, Held in Conjunction with {MICCAI} 2023, Vancouver, BC, Canada, October 12, 2023, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {14507}, pages = {88--97}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-52448-6\_9}, doi = {10.1007/978-3-031-52448-6\_9}, timestamp = {Sun, 18 Feb 2024 17:03:24 +0100}, biburl = {https://dblp.org/rec/conf/stacom-ws/FengCTALBYTZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-03114, author = {Galadrielle Humblot{-}Renaux and Simon Buus Jensen and Andreas M{\o}gelmose}, title = {From {CAD} models to soft point cloud labels: An automatic annotation pipeline for cheaply supervised 3D semantic segmentation}, journal = {CoRR}, volume = {abs/2302.03114}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.03114}, doi = {10.48550/ARXIV.2302.03114}, eprinttype = {arXiv}, eprint = {2302.03114}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-03114.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-14523, author = {Jocelyn Huang and Evelina Bakhturina and Oktai Tatanov}, title = {Automatic Heteronym Resolution Pipeline Using {RAD-TTS} Aligners}, journal = {CoRR}, volume = {abs/2302.14523}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.14523}, doi = {10.48550/ARXIV.2302.14523}, eprinttype = {arXiv}, eprint = {2302.14523}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-14523.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-10760, author = {Chen Yang and Zhe Zheng and Jia{-}Rui Lin}, title = {Automatic Design Method of Building Pipeline Layout Based on Deep Reinforcement Learning}, journal = {CoRR}, volume = {abs/2305.10760}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.10760}, doi = {10.48550/ARXIV.2305.10760}, eprinttype = {arXiv}, eprint = {2305.10760}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-10760.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-00904, author = {Jamie Burke and Justin Engelmann and Charlene Hamid and Megan Reid{-}Schachter and Tom Pearson and Dan Pugh and Neeraj Dhaun and Stuart King and Tom J. MacGillivray and Miguel O. Bernabeu and Amos J. Storkey and Ian J. C. MacCormick}, title = {Efficient and fully-automatic retinal choroid segmentation in {OCT} through DL-based distillation of a hand-crafted pipeline}, journal = {CoRR}, volume = {abs/2307.00904}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.00904}, doi = {10.48550/ARXIV.2307.00904}, eprinttype = {arXiv}, eprint = {2307.00904}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-00904.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-03766, author = {Anish Mall and Sanchit Kabra and Ankur Lhila and Pawan K. Ajmera}, title = {AMaizeD: An End to End Pipeline for Automatic Maize Disease Detection}, journal = {CoRR}, volume = {abs/2308.03766}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.03766}, doi = {10.48550/ARXIV.2308.03766}, eprinttype = {arXiv}, eprint = {2308.03766}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-03766.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-05103, author = {Son Quoc Tran and Gia{-}Huy Do and Phong Nguyen{-}Thuan Do and Matt Kretchmar and Xinya Du}, title = {AGent: {A} Novel Pipeline for Automatically Creating Unanswerable Questions}, journal = {CoRR}, volume = {abs/2309.05103}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.05103}, doi = {10.48550/ARXIV.2309.05103}, eprinttype = {arXiv}, eprint = {2309.05103}, timestamp = {Fri, 15 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-05103.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-16654, author = {Haribharathi Sivakumar and Vijay Arvind R and Pawan Ragavendhar V and G. Balamurugan}, title = {Novel Deep Learning Pipeline for Automatic Weapon Detection}, journal = {CoRR}, volume = {abs/2309.16654}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.16654}, doi = {10.48550/ARXIV.2309.16654}, eprinttype = {arXiv}, eprint = {2309.16654}, timestamp = {Tue, 17 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-16654.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06113, author = {Mehala Balamurali and Ehsan Mihankhah}, title = {SimMining-3D: Altitude-Aware 3D Object Detection in Complex Mining Environments: {A} Novel Dataset and ROS-Based Automatic Annotation Pipeline}, journal = {CoRR}, volume = {abs/2312.06113}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06113}, doi = {10.48550/ARXIV.2312.06113}, eprinttype = {arXiv}, eprint = {2312.06113}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YangLLHZXLLYDVP22, author = {Ning Yang and De{-}Feng Liu and Tao Liu and Tianyuan Han and Pingyue Zhang and Xuenan Xu and Siyu Lou and Huan{-}Guang Liu and Anchao Yang and Cheng Dong and Mang I Vai and Sio{-}Hang Pun and Jian{-}Guo Zhang}, title = {Automatic Detection Pipeline for Accessing the Motor Severity of Parkinson's Disease in Finger Tapping and Postural Stability}, journal = {{IEEE} Access}, volume = {10}, pages = {66961--66973}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3183232}, doi = {10.1109/ACCESS.2022.3183232}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YangLLHZXLLYDVP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/TianI22, author = {Renmao Tian and Behzad Imanian}, title = {{ASAP} 2: a pipeline and web server to analyze marker gene amplicon sequencing data automatically and consistently}, journal = {{BMC} Bioinform.}, volume = {23}, number = {1}, pages = {27}, year = {2022}, url = {https://doi.org/10.1186/s12859-021-04555-0}, doi = {10.1186/S12859-021-04555-0}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/TianI22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/TroMSSTF22, author = {Rosella Tr{\`{o}} and Simona Martini and Nicola Stagnaro and Virginia Sambuceti and Michele Torre and Marco Massimo Fato}, title = {A new tool for assessing Pectus Excavatum by a semi-automatic image processing pipeline calculating the classical severity indexes and a new marker: the Volumetric Correction Index}, journal = {{BMC} Medical Imaging}, volume = {22}, number = {1}, pages = {30}, year = {2022}, url = {https://doi.org/10.1186/s12880-022-00754-0}, doi = {10.1186/S12880-022-00754-0}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/TroMSSTF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/PangWQLSYQW22, author = {Haowen Pang and Yanan Wu and Shouliang Qi and Chen Li and Jing Shen and Yong Yue and Wei Qian and Jianlin Wu}, title = {A fully automatic segmentation pipeline of pulmonary lobes before and after lobectomy from computed tomography images}, journal = {Comput. Biol. Medicine}, volume = {147}, pages = {105792}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105792}, doi = {10.1016/J.COMPBIOMED.2022.105792}, timestamp = {Wed, 15 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/PangWQLSYQW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/QiQWLTXRYWY22, author = {Qianqian Qi and Shouliang Qi and Yanan Wu and Chen Li and Bin Tian and Shuyue Xia and Jigang Ren and Liming Yang and Hanlin Wang and Hui Yu}, title = {Fully automatic pipeline of convolutional neural networks and capsule networks to distinguish {COVID-19} from community-acquired pneumonia via {CT} images}, journal = {Comput. Biol. Medicine}, volume = {141}, pages = {105182}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2021.105182}, doi = {10.1016/J.COMPBIOMED.2021.105182}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/QiQWLTXRYWY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fbinf/AlamESASAZK22, author = {Shahinur Alam and Tae{-}Yeon Eom and Jeffrey Steinberg and David Ackerman and J. Eric Schmitt and Walter J. Akers and Stanislav S. Zakharenko and Khaled Khairy}, title = {An End-To-End Pipeline for Fully Automatic Morphological Quantification of Mouse Brain Structures From {MRI} Imagery}, journal = {Frontiers Bioinform.}, volume = {2}, year = {2022}, url = {https://doi.org/10.3389/fbinf.2022.865443}, doi = {10.3389/FBINF.2022.865443}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fbinf/AlamESASAZK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossac/ShenMLH22, author = {Lijun Shen and Chao Ma and Jie Luo and Bei Hong}, title = {An Automatic Classification Pipeline for the Complex Synaptic Structure Based on Deep Learning}, journal = {J. Syst. Sci. Complex.}, volume = {35}, number = {4}, pages = {1398--1414}, year = {2022}, url = {https://doi.org/10.1007/s11424-022-0307-5}, doi = {10.1007/S11424-022-0307-5}, timestamp = {Mon, 15 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossac/ShenMLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/HuFWLLMD22, author = {Haobang Hu and Hongyuan Fang and Niannian Wang and Hai Liu and Jianwei Lei and Duo Ma and Jiaxiu Dong}, title = {A Study of Automatic Recognition and Localization of Pipeline for Ground Penetrating Radar Based on Deep Learning}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {19}, pages = {1--5}, year = {2022}, url = {https://doi.org/10.1109/LGRS.2022.3198439}, doi = {10.1109/LGRS.2022.3198439}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/HuFWLLMD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ZhouWWHPLWC22, author = {You Zhou and Ye Wang and Junhui Wu and Muhammad Hassan and Wei Pang and Lili Lv and Liupu Wang and Honghua Cui}, title = {ErythroidCounter: an automatic pipeline for erythroid cell detection, identification and counting based on deep learning}, journal = {Multim. Tools Appl.}, volume = {81}, number = {18}, pages = {25541--25556}, year = {2022}, url = {https://doi.org/10.1007/s11042-022-12209-3}, doi = {10.1007/S11042-022-12209-3}, timestamp = {Fri, 03 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ZhouWWHPLWC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nca/LuoW22, author = {Jiapeng Luo and Zhongfeng Wang}, title = {A low latency traffic sign detection model with an automatic data labeling pipeline}, journal = {Neural Comput. Appl.}, volume = {34}, number = {18}, pages = {15499--15512}, year = {2022}, url = {https://doi.org/10.1007/s00521-022-07253-x}, doi = {10.1007/S00521-022-07253-X}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nca/LuoW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChenLLZWDW22, author = {Kun Chen and Hongtao Li and Chunshu Li and Xinyue Zhao and Shujie Wu and Yuxiao Duan and Jinshen Wang}, title = {An Automatic Defect Detection System for Petrochemical Pipeline Based on Cycle-GAN and {YOLO} v5}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7907}, year = {2022}, url = {https://doi.org/10.3390/s22207907}, doi = {10.3390/S22207907}, timestamp = {Mon, 21 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChenLLZWDW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MinonDTH22, author = {Ra{\'{u}}l Mi{\~{n}}{\'{o}}n and Josu D{\'{\i}}az{-}de{-}Arcaya and Ana I. Torre{-}Bastida and Philipp Hartlieb}, title = {Pangea: An MLOps Tool for Automatically Generating Infrastructure and Deploying Analytic Pipelines in Edge, Fog and Cloud Layers}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4425}, year = {2022}, url = {https://doi.org/10.3390/s22124425}, doi = {10.3390/S22124425}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MinonDTH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aiia/IottiPCB22, author = {Eleonora Iotti and Alessandro Dal Pal{\`{u}} and Gianluca Contesso and Francesco Bertinelli}, editor = {Agostino Dovier and Angelo Montanari and Andrea Orlandini}, title = {Substitute Plastic Film with Kraft Paper in Automatic Pallet Wrapping: An {AI} Pipeline}, booktitle = {AIxIA 2022 - Advances in Artificial Intelligence - XXIst International Conference of the Italian Association for Artificial Intelligence, AIxIA 2022, Udine, Italy, November 28 - December 2, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13796}, pages = {282--296}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-27181-6\_20}, doi = {10.1007/978-3-031-27181-6\_20}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aiia/IottiPCB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/DavisonALY22, author = {Stephen Davison and Dana Avgil and Yan Li and Sonia Yang}, editor = {Gordan Davis and Sue Brown and Mani R. Subramani and Gove N. Allen and K. D. Joshi and Kevin P. Scheibe}, title = {A Semi-automatic Indexing Pipeline for Medical Document Retrieval in Resource-constrained Settings}, booktitle = {28th Americas Conference on Information Systems, {AMCIS} 2022, Minneapolis, MN, USA, August 10-14, 2022}, publisher = {Association for Information Systems}, year = {2022}, url = {https://aisel.aisnet.org/amcis2022/conf\_theme/conf\_theme/4}, timestamp = {Tue, 14 Feb 2023 11:57:54 +0100}, biburl = {https://dblp.org/rec/conf/amcis/DavisonALY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ShiGX22, author = {Jingyi Shi and Ghodsieh Ghanbari and Chao Xia}, editor = {Donald A. Adjeroh and Qi Long and Xinghua Mindy Shi and Fei Guo and Xiaohua Hu and Srinivas Aluru and Giri Narasimhan and Jianxin Wang and Mingon Kang and Ananda Mondal and Jin Liu}, title = {{KEP-1.0:} An Automatic Pipeline to Assist a Rapid Learning of {COVID-19} Publications}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2022, Las Vegas, NV, USA, December 6-8, 2022}, pages = {2274--2280}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BIBM55620.2022.9995188}, doi = {10.1109/BIBM55620.2022.9995188}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ShiGX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cibcb/RivaMCT22, author = {Simone G. Riva and Brynelle Myers and Paolo Cazzaniga and Andrea Tangherloni}, title = {A Deep Learning Pipeline for the Automatic cell type Assignment of scRNA-seq Data}, booktitle = {{IEEE} Conference on Computational Intelligence in Bioinformatics and Computational Biology, {CIBCB} 2022, Ottawa, ON, Canada, August 15-17, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CIBCB55180.2022.9863034}, doi = {10.1109/CIBCB55180.2022.9863034}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cibcb/RivaMCT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/UrselmannCON22, author = {Teun Urselmann and Paola Natalia Ca{\~{n}}as and Juan Diego Ortega and Marcos Nieto}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Semi-automatic Pipeline for Large-Scale Dataset Annotation Task: {A} {DMD} Application}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {13806}, pages = {560--574}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25075-0\_38}, doi = {10.1007/978-3-031-25075-0\_38}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/UrselmannCON22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhaoD22, author = {Haoyi Zhao and Fa Foster Dai}, title = {A 0.97mW 260MS/s 12b Pipelined-SAR {ADC} with Ring-TDC-Based Fine Quantizer for {PVT} Robust Automatic Cross-Domain Scale Alignment}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731702}, doi = {10.1109/ISSCC42614.2022.9731702}, timestamp = {Mon, 21 Mar 2022 13:32:47 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ZhaoD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kbse/LiuCBP22, author = {Lei Liu and Wei{-}Peng Chen and Mehdi Bahrami and Mukul R. Prasad}, title = {Automatic Generation of Visualizations for Machine Learning Pipelines}, booktitle = {37th {IEEE/ACM} International Conference on Automated Software Engineering, {ASE} 2022, Rochester, MI, USA, October 10-14, 2022}, pages = {132:1--132:5}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3551349.3559504}, doi = {10.1145/3551349.3559504}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kbse/LiuCBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/HusaMHHR22, author = {Andreas Husa and Cise Midoglu and Malek Hammou and P{\aa}l Halvorsen and Michael A. Riegler}, editor = {Niall Murray and Gwendal Simon and Myl{\`{e}}ne C. Q. Farias and Irene Viola and Mario Montagud}, title = {{HOST-ATS:} automatic thumbnail selection with dashboard-controlled {ML} pipeline and dynamic user survey}, booktitle = {MMSys '22: 13th {ACM} Multimedia Systems Conference, Athlone, Ireland, June 14 - 17, 2022}, pages = {334--340}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524273.3532908}, doi = {10.1145/3524273.3532908}, timestamp = {Sun, 18 Dec 2022 19:02:43 +0100}, biburl = {https://dblp.org/rec/conf/mmsys/HusaMHHR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/ShindeGSB22, author = {Kartik Shinde and Tirthankar Ghosal and Muskaan Singh and Ondrej Bojar}, editor = {Shirley Dita and Arlene O. Trillanes and Rochelle Irene Lucas}, title = {Automatic Minuting: {A} Pipeline Method for Generating Minutes from Multi-Party Meeting Proceedings}, booktitle = {Proceedings of the 36th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2022, Manila, Philippines, October 20-22, 2022}, pages = {691--702}, publisher = {De La Salle University}, year = {2022}, url = {https://aclanthology.org/2022.paclic-1.76}, timestamp = {Mon, 05 Jun 2023 16:32:16 +0200}, biburl = {https://dblp.org/rec/conf/paclic/ShindeGSB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/DolbyTH22, author = {Julian Dolby and Jason Tsay and Martin Hirzel}, editor = {Swarat Chaudhuri and Charles Sutton}, title = {Automatically debugging AutoML pipelines using maro: {ML} automated remediation oracle}, booktitle = {MAPS@PLDI 2022: 6th {ACM} {SIGPLAN} International Symposium on Machine Programming, San Diego, CA, USA, 13 June 2022}, pages = {60--69}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3520312.3534868}, doi = {10.1145/3520312.3534868}, timestamp = {Wed, 29 Jun 2022 12:22:44 +0200}, biburl = {https://dblp.org/rec/conf/pldi/DolbyTH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/0001RV22, author = {Jiasi Shen and Martin C. Rinard and Nikos Vasilakis}, editor = {Jaejin Lee and Kunal Agrawal and Michael F. Spear}, title = {Automatic synthesis of parallel unix commands and pipelines with KumQuat}, booktitle = {PPoPP '22: 27th {ACM} {SIGPLAN} Symposium on Principles and Practice of Parallel Programming, Seoul, Republic of Korea, April 2 - 6, 2022}, pages = {431--432}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3503221.3508400}, doi = {10.1145/3503221.3508400}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/0001RV22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtas/BensaidATJ22, author = {Samira Ait Bensaid and Mihail Asavoae and Farhat Thabet and Mathieu Jan}, title = {Work in Progress: Automatic Construction of Pipeline Datapaths from High-Level {HDL} Code}, booktitle = {28th {IEEE} Real-Time and Embedded Technology and Applications Symposium, {RTAS} 2022, Milano, Italy, May 4-6, 2022}, pages = {305--308}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/RTAS54340.2022.00034}, doi = {10.1109/RTAS54340.2022.00034}, timestamp = {Mon, 04 Jul 2022 17:06:22 +0200}, biburl = {https://dblp.org/rec/conf/rtas/BensaidATJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tsp/IstvanekM22, author = {Matej Istvanek and Step{\'{a}}n Mikl{\'{a}}nek}, title = {Towards Automatic Measure-Wise Feature Extraction Pipeline for Music Performance Analysis}, booktitle = {45th International Conference on Telecommunications and Signal Processing, {TSP} 2022, Prague, Czech Republic, July 13-15, 2022}, pages = {192--195}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/TSP55681.2022.9851277}, doi = {10.1109/TSP55681.2022.9851277}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tsp/IstvanekM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/LiMM00ZMWH22, author = {Xiangsheng Li and Jiaxin Mao and Weizhi Ma and Zhijing Wu and Yiqun Liu and Min Zhang and Shaoping Ma and Zhaowei Wang and Xiuqiang He}, editor = {K. Selcuk Candan and Huan Liu and Leman Akoglu and Xin Luna Dong and Jiliang Tang}, title = {A Cooperative Neural Information Retrieval Pipeline with Knowledge Enhanced Automatic Query Reformulation}, booktitle = {{WSDM} '22: The Fifteenth {ACM} International Conference on Web Search and Data Mining, Virtual Event / Tempe, AZ, USA, February 21 - 25, 2022}, pages = {553--561}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3488560.3498516}, doi = {10.1145/3488560.3498516}, timestamp = {Thu, 06 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsdm/LiMM00ZMWH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01311, author = {Julian Dolby and Jason Tsay and Martin Hirzel}, title = {Automatically Debugging AutoML Pipelines using Maro: {ML} Automated Remediation Oracle (Extended Version)}, journal = {CoRR}, volume = {abs/2205.01311}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01311}, doi = {10.48550/ARXIV.2205.01311}, eprinttype = {arXiv}, eprint = {2205.01311}, timestamp = {Wed, 11 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01311.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07575, author = {Andrea Urru and Ayako Nakaki and Oualid M. Benkarim and Francesca Crovetto and Laura Segal{\'{e}}s and Valentin Comte and Nadine Hahner and Elisenda Eixarch and Eduard Gratac{\'{o}}s and F{\'{a}}tima Crispi and Gemma Piella and Miguel {\'{A}}ngel Gonz{\'{a}}lez Ballester}, title = {An automatic pipeline for atlas-based fetal and neonatal brain segmentation and analysis}, journal = {CoRR}, volume = {abs/2205.07575}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07575}, doi = {10.48550/ARXIV.2205.07575}, eprinttype = {arXiv}, eprint = {2205.07575}, timestamp = {Mon, 20 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07575.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ZhangSLZPZ21, author = {Tongchuan Zhang and Jaswinder Singh and Thomas Litfin and Jian Zhan and Kuldip K. Paliwal and Yaoqi Zhou}, title = {RNAcmap: a fully automatic pipeline for predicting contact maps of RNAs by evolutionary coupling analysis}, journal = {Bioinform.}, volume = {37}, number = {20}, pages = {3494--3500}, year = {2021}, url = {https://doi.org/10.1093/bioinformatics/btab391}, doi = {10.1093/BIOINFORMATICS/BTAB391}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ZhangSLZPZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/MamalakisGNLWC21, author = {Michail Mamalakis and Pankaj Garg and Tom Nelson and Justin Lee and Jim M. Wild and Richard H. Clayton}, title = {{MA-SOCRATIS:} An automatic pipeline for robust segmentation of the left ventricle and scar}, journal = {Comput. Medical Imaging Graph.}, volume = {93}, pages = {101982}, year = {2021}, url = {https://doi.org/10.1016/j.compmedimag.2021.101982}, doi = {10.1016/J.COMPMEDIMAG.2021.101982}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmig/MamalakisGNLWC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/ReznikCT21, author = {Tom{\'{a}}s Rezn{\'{\i}}k and Jan Chytr{\'{y}} and Katerina Trojanov{\'{a}}}, title = {Machine Learning-Based Processing Proof-of-Concept Pipeline for Semi-Automatic Sentinel-2 Imagery Download, Cloudiness Filtering, Classifications, and Updates of Open Land Use/Land Cover Datasets}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {10}, number = {2}, pages = {102}, year = {2021}, url = {https://doi.org/10.3390/ijgi10020102}, doi = {10.3390/IJGI10020102}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/ReznikCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmpl/AndersonAMLJR21, author = {Luke Anderson and Andrew Adams and Karima Ma and Tzu{-}Mao Li and Tian Jin and Jonathan Ragan{-}Kelley}, title = {Efficient automatic scheduling of imaging and vision pipelines for the {GPU}}, journal = {Proc. {ACM} Program. Lang.}, volume = {5}, number = {{OOPSLA}}, pages = {1--28}, year = {2021}, url = {https://doi.org/10.1145/3485486}, doi = {10.1145/3485486}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmpl/AndersonAMLJR21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BeckMFGLHB21, author = {Moritz Beck and Georg Maier and Merle Flitter and Robin Gruna and Thomas L{\"{a}}ngle and Michael Heizmann and J{\"{u}}rgen Beyerer}, title = {An Extended Modular Processing Pipeline for Event-Based Vision in Automatic Visual Inspection}, journal = {Sensors}, volume = {21}, number = {18}, pages = {6143}, year = {2021}, url = {https://doi.org/10.3390/s21186143}, doi = {10.3390/S21186143}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BeckMFGLHB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GalassiCPG21, author = {Rossana Galassi and Christian Contini and Matteo Pucci and Ennio Gambi}, title = {Automatic Optical Measurement and Control of Benzene and Benzenoids in Natural Gas Pipelines}, journal = {Sensors}, volume = {21}, number = {22}, pages = {7575}, year = {2021}, url = {https://doi.org/10.3390/s21227575}, doi = {10.3390/S21227575}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/GalassiCPG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PerazaKMCWMJW21, author = {Luis R. Peraza and Kirsi M. Kinnunen and Roisin McNaney and Ian Craddock and Alan L. Whone and Catherine Morgan and Richard Joules and Robin Wolz}, title = {An Automatic Gait Analysis Pipeline for Wearable Sensors: {A} Pilot Study in Parkinson's Disease}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8286}, year = {2021}, url = {https://doi.org/10.3390/s21248286}, doi = {10.3390/S21248286}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PerazaKMCWMJW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMse/GregorySGH21, author = {Stephen Gregory and Utkarsh Singh and Jeff Gray and Jon Hobbs}, editor = {Kazi Rahman and Eric Gamess}, title = {A computer vision pipeline for automatic large-scale inventory tracking}, booktitle = {{ACM} {SE} '21: 2021 {ACM} Southeast Conference, Virtual Event, USA, April 15-17, 2021}, pages = {100--107}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3409334.3452063}, doi = {10.1145/3409334.3452063}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMse/GregorySGH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acai2/HosierZSG21, author = {Jordan Hosier and Yu Zhou and Nikhita Sharma and Vijay K. Gurbani}, title = {Lightweight domain adaptation: {A} filtering pipeline to improve accuracy of an Automatic Speech Recognition {(ASR)} engine}, booktitle = {{ACAI} 2021: 4th International Conference on Algorithms, Computing and Artificial Intelligence, Sanya, China, December 22 - 24, 2021}, pages = {95:1--95:9}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3508546.3508641}, doi = {10.1145/3508546.3508641}, timestamp = {Fri, 04 Mar 2022 13:45:07 +0100}, biburl = {https://dblp.org/rec/conf/acai2/HosierZSG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avr/CannavoBSLZJL21, author = {Alberto Cannav{\`{o}} and Christian Bardella and Lorenzo Semeraro and Federico De Lorenzis and Congyi Zhang and Ying Jiang and Fabrizio Lamberti}, editor = {Lucio Tommaso De Paolis and Pasquale Arpaia and Patrick Bourdot}, title = {An Automatic 3D Scene Generation Pipeline Based on a Single 2D Image}, booktitle = {Augmented Reality, Virtual Reality, and Computer Graphics - 8th International Conference, {AVR} 2021, Virtual Event, September 7-10, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12980}, pages = {109--117}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87595-4\_9}, doi = {10.1007/978-3-030-87595-4\_9}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avr/CannavoBSLZJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/MishraBS21, author = {Mayank Mishra and Archisman Bhowmick and Rekha Singhal}, editor = {Yixin Chen and Heiko Ludwig and Yicheng Tu and Usama M. Fayyad and Xingquan Zhu and Xiaohua Hu and Suren Byna and Xiong Liu and Jianping Zhang and Shirui Pan and Vagelis Papalexakis and Jianwu Wang and Alfredo Cuzzocrea and Carlos Ordonez}, title = {{FASCA:} Framework for Automatic Scalable Acceleration of {ML} Pipeline}, booktitle = {2021 {IEEE} International Conference on Big Data (Big Data), Orlando, FL, USA, December 15-18, 2021}, pages = {1867--1876}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigData52589.2021.9671376}, doi = {10.1109/BIGDATA52589.2021.9671376}, timestamp = {Fri, 13 Jan 2023 17:06:49 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/MishraBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bildmed/FeldeWLDG21, author = {Johannes Felde and Thomas Wagner and Hans Lamecker and Christian Doenitz and Lina Gundelwein}, editor = {Christoph Palm and Thomas M. Deserno and Heinz Handels and Andreas Maier and Klaus H. Maier{-}Hein and Thomas Tolxdorff}, title = {Automatic Vessel Segmentation and Aneurysm Detection Pipeline for Numerical Fluid Analysis}, booktitle = {Bildverarbeitung f{\"{u}}r die Medizin 2021 - Proceedings, German Workshop on Medical Image Computing, Regensburg, March 7-9, 2021}, series = {Informatik Aktuell}, pages = {247--252}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-658-33198-6\_57}, doi = {10.1007/978-3-658-33198-6\_57}, timestamp = {Wed, 03 Mar 2021 16:00:48 +0100}, biburl = {https://dblp.org/rec/conf/bildmed/FeldeWLDG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/SagaamaSMS21, author = {Houssem Sagaama and Nourchene Ben Slimane and Maher Marwani and Sabri Skhiri}, title = {Automatic Parameter Tuning for Big Data Pipelines with Deep Reinforcement Learning}, booktitle = {{IEEE} Symposium on Computers and Communications, {ISCC} 2021, Athens, Greece, September 5-8, 2021}, pages = {1--7}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCC53001.2021.9631440}, doi = {10.1109/ISCC53001.2021.9631440}, timestamp = {Sat, 08 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/SagaamaSMS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc-asia/ChenYLCH21, author = {Kai{-}Hsun Chen and Bo{-}Yi Yang and Jia{-}Ruei Liang and Hung{-}Lin Chen and Jiun{-}Lang Huang}, title = {Automatic Test Program Generation for Transition Delay Faults in Pipelined Processors}, booktitle = {{IEEE} International Test Conference in Asia, ITC-Asia 2021, Shanghai, China, August 18-20, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ITC-Asia53059.2021.9808811}, doi = {10.1109/ITC-ASIA53059.2021.9808811}, timestamp = {Fri, 15 Jul 2022 10:59:07 +0200}, biburl = {https://dblp.org/rec/conf/itc-asia/ChenYLCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/phealth/MoraAGPG21, author = {Sara Mora and Jacopo Attene and Roberta Gazzarata and Giustino Parruti and Mauro Giacomini}, editor = {Bernd Blobel and Mauro Giacomini}, title = {A {NLP} Pipeline for the Automatic Extraction of Microorganisms Names from Microbiological Notes}, booktitle = {pHealth 2021 - Proceedings of the 18th International Conference on Wearable Micro and Nano Technologies for Personalized Health - 8-10 November 2021, Genoa, Italy}, series = {Studies in Health Technology and Informatics}, volume = {285}, pages = {153--158}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI210589}, doi = {10.3233/SHTI210589}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/phealth/MoraAGPG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/ChenHHWY21, author = {Cheng{-}Hui Chen and Huai{-}Che Hong and Yu{-}Shiang Hong and Hsiao Yu Wang and Shyr{-}Shen Yu}, title = {Pipeline Manager: {A} Flexible Semi-automatic Dataflow Analysis Framework}, booktitle = {22nd {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2021, Taichung, Taiwan, November 24-26, 2021}, pages = {174--176}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPD51163.2021.9704972}, doi = {10.1109/SNPD51163.2021.9704972}, timestamp = {Wed, 23 Feb 2022 18:55:49 +0100}, biburl = {https://dblp.org/rec/conf/snpd/ChenHHWY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/EliadHJSS21, author = {Saar Eliad and Ido Hakimi and Alon De Jagger and Mark Silberstein and Assaf Schuster}, editor = {Irina Calciu and Geoff Kuenning}, title = {Fine-tuning giant neural networks on commodity hardware with automatic pipeline model parallelism}, booktitle = {2021 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2021, July 14-16, 2021}, pages = {381--396}, publisher = {{USENIX} Association}, year = {2021}, url = {https://www.usenix.org/conference/atc21/presentation/eliad}, timestamp = {Thu, 12 Aug 2021 18:08:26 +0200}, biburl = {https://dblp.org/rec/conf/usenix/EliadHJSS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-14124, author = {Steffen Epp and Marcel Hoffmann and Nicolas Lell and Michael Mohr and Ansgar Scherp}, title = {A Machine Learning Pipeline for Automatic Extraction of Statistic Reports and Experimental Conditions from Scientific Papers}, journal = {CoRR}, volume = {abs/2103.14124}, year = {2021}, url = {https://arxiv.org/abs/2103.14124}, eprinttype = {arXiv}, eprint = {2103.14124}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-14124.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-14091, author = {Nikhil Woodruff and Amir Enshaei and Bashar Awwad Shiekh Hasan}, title = {Fully-Automatic Pipeline for Document Signature Analysis to Detect Money Laundering Activities}, journal = {CoRR}, volume = {abs/2107.14091}, year = {2021}, url = {https://arxiv.org/abs/2107.14091}, eprinttype = {arXiv}, eprint = {2107.14091}, timestamp = {Tue, 03 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-14091.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-02723, author = {Leonardo van der Laat and Ronald J. L. Baldares and Esteban J. Chaves and Esteban Meneses}, title = {{OKSP:} {A} Novel Deep Learning Automatic Event Detection Pipeline for Seismic Monitoringin Costa Rica}, journal = {CoRR}, volume = {abs/2109.02723}, year = {2021}, url = {https://arxiv.org/abs/2109.02723}, eprinttype = {arXiv}, eprint = {2109.02723}, timestamp = {Wed, 22 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-02723.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-02741, author = {Atsuki Yamaguchi and Gaku Morio and Hiroaki Ozaki and Ken{-}ichi Yokote and Kenji Nagamatsu}, title = {Team Hitachi @ AutoMin 2021: Reference-free Automatic Minuting Pipeline with Argument Structure Construction over Topic-based Summarization}, journal = {CoRR}, volume = {abs/2112.02741}, year = {2021}, url = {https://arxiv.org/abs/2112.02741}, eprinttype = {arXiv}, eprint = {2112.02741}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-02741.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/SpinozziTAFM20, author = {Giulio Spinozzi and Valentina Tini and Alessia Adorni and Brunangelo Falini and Maria Paola Martelli}, title = {{ARPIR:} automatic RNA-Seq pipelines with interactive report}, journal = {{BMC} Bioinform.}, volume = {21-S}, number = {19}, pages = {574}, year = {2020}, url = {https://doi.org/10.1186/s12859-020-03846-2}, doi = {10.1186/S12859-020-03846-2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/SpinozziTAFM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cii/ColucciMZFL20, author = {Domenico Colucci and Lia Morra and Xiaoyang Zhang and Davide Fissore and Fabrizio Lamberti}, title = {An automatic computer vision pipeline for the in-line monitoring of freeze-drying processes}, journal = {Comput. Ind.}, volume = {115}, pages = {103184}, year = {2020}, url = {https://doi.org/10.1016/j.compind.2019.103184}, doi = {10.1016/J.COMPIND.2019.103184}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cii/ColucciMZFL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/BeaufortGR20, author = {Pierre{-}Alexandre Beaufort and Christophe Geuzaine and Jean{-}Fran{\c{c}}ois Remacle}, title = {Automatic surface mesh generation for discrete models - {A} complete and automatic pipeline based on reparametrization}, journal = {J. Comput. Phys.}, volume = {417}, pages = {109575}, year = {2020}, url = {https://doi.org/10.1016/j.jcp.2020.109575}, doi = {10.1016/J.JCP.2020.109575}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcphy/BeaufortGR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jei/WuGCMXY20, author = {Junlong Wu and Zhenghua Guo and Xianfeng Chen and Shuai Ma and Bing Xu and Ping Yang}, title = {Automatic calibration and simplified decoding pipeline for plenoptic camera}, journal = {J. Electronic Imaging}, volume = {29}, number = {4}, pages = {043003}, year = {2020}, url = {https://doi.org/10.1117/1.JEI.29.4.043003}, doi = {10.1117/1.JEI.29.4.043003}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jei/WuGCMXY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/TasserieGUDAJ20, author = {Jordy Tasserie and Antoine Grigis and Lynn Uhrig and Morgan Dupont and Alexis Amadon and B{\'{e}}chir Jarraya}, title = {\emph{Pypreclin}: An automatic pipeline for macaque functional {MRI} preprocessing}, journal = {NeuroImage}, volume = {207}, year = {2020}, url = {https://doi.org/10.1016/j.neuroimage.2019.116353}, doi = {10.1016/J.NEUROIMAGE.2019.116353}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/TasserieGUDAJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/SchwengersHFFHC20, author = {Oliver Schwengers and Andreas Hoek and Moritz Fritzenwanker and Linda Falgenhauer and Torsten Hain and Trinad Chakraborty and Alexander Goesmann}, title = {{ASA3P:} An automatic and scalable pipeline for the assembly, annotation and higher-level analysis of closely related bacterial isolates}, journal = {PLoS Comput. Biol.}, volume = {16}, number = {3}, year = {2020}, url = {https://doi.org/10.1371/journal.pcbi.1007134}, doi = {10.1371/JOURNAL.PCBI.1007134}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/SchwengersHFFHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LanariBCLMMOZ20, author = {Riccardo Lanari and Manuela Bonano and Francesco Casu and Claudio De Luca and Michele Manunta and Mariarosaria Manzo and Giovanni Onorato and Ivana Zinno}, title = {Automatic Generation of Sentinel-1 Continental Scale DInSAR Deformation Time Series through an Extended {P-SBAS} Processing Pipeline in a Cloud Computing Environment}, journal = {Remote. Sens.}, volume = {12}, number = {18}, pages = {2961}, year = {2020}, url = {https://doi.org/10.3390/rs12182961}, doi = {10.3390/RS12182961}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LanariBCLMMOZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PamartMLV20, author = {Anthony Pamart and Fran{\c{c}}ois Morlet and Livio De Luca and Philippe V{\'{e}}ron}, title = {A Robust and Versatile Pipeline for Automatic Photogrammetric-Based Registration of Multimodal Cultural Heritage Documentation}, journal = {Remote. Sens.}, volume = {12}, number = {12}, pages = {2051}, year = {2020}, url = {https://doi.org/10.3390/rs12122051}, doi = {10.3390/RS12122051}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/PamartMLV20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/StamoulakatosCM20, author = {Anastasios Stamoulakatos and Javier Cardona and Chris McCaig and David Murray and Hein Filius and Robert C. Atkinson and Xavier J. A. Bellekens and W. Craig Michie and Ivan Andonovic and Pavlos I. Lazaridis and Andrew W. Hamilton and Md. Moinul Hossain and Gaetano Di Caterina and Christos Tachtatzis}, title = {Automatic Annotation of Subsea Pipelines Using Deep Learning}, journal = {Sensors}, volume = {20}, number = {3}, pages = {674}, year = {2020}, url = {https://doi.org/10.3390/s20030674}, doi = {10.3390/S20030674}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/StamoulakatosCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/LiCFLWS20, author = {Haifeng Li and Chieh Chou and Longfei Fan and Binbin Li and Di Wang and Dezhen Song}, title = {Toward Automatic Subsurface Pipeline Mapping by Fusing a Ground-Penetrating Radar and a Camera}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {17}, number = {2}, pages = {722--734}, year = {2020}, url = {https://doi.org/10.1109/TASE.2019.2941848}, doi = {10.1109/TASE.2019.2941848}, timestamp = {Fri, 19 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tase/LiCFLWS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/Estevez-Velarde20, author = {Suilan Estevez{-}Velarde and Yoan Guti{\'{e}}rrez and Andr{\'{e}}s Montoyo and Yudivi{\'{a}}n Almeida{-}Cruz}, editor = {Donia Scott and N{\'{u}}ria Bel and Chengqing Zong}, title = {Automatic Discovery of Heterogeneous Machine Learning Pipelines: An Application to Natural Language Processing}, booktitle = {Proceedings of the 28th International Conference on Computational Linguistics, {COLING} 2020, Barcelona, Spain (Online), December 8-13, 2020}, pages = {3558--3568}, publisher = {International Committee on Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.coling-main.317}, doi = {10.18653/V1/2020.COLING-MAIN.317}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/Estevez-Velarde20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccci/NguyenNNNCVQ20, author = {Duy Nguyen and Duc Nguyen and Thong Nguyen and Khoi Ngo and Hung Cao and Thinh Vuong and Tho Quan}, editor = {Marcin Hernes and Krystian Wojtkiewicz and Edward Szczerbicki}, title = {Automatic Container Code Recognition Using MultiDeep Pipeline}, booktitle = {Advances in Computational Collective Intelligence - 12th International Conference, {ICCCI} 2020, Da Nang, Vietnam, November 30 - December 3, 2020, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1287}, pages = {139--153}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-63119-2\_12}, doi = {10.1007/978-3-030-63119-2\_12}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccci/NguyenNNNCVQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeJL20, author = {Jaewon Lee and Dalwon Jang and Jong{-}Seol Lee}, title = {Semi-Automatic {SAR} Image Land Cover Labeling Pipeline}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1644--1646}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289591}, doi = {10.1109/ICTC49870.2020.9289591}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/HuangSGMK20, author = {Jin Huang and Colin Samplawski and Deepak Ganesan and Benjamin M. Marlin and Heesung Kwon}, title = {{CLIO:} enabling automatic compilation of deep learning pipelines across IoT and cloud}, booktitle = {MobiCom '20: The 26th Annual International Conference on Mobile Computing and Networking, London, United Kingdom, September 21-25, 2020}, pages = {58:1--58:12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3372224.3419215}, doi = {10.1145/3372224.3419215}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/HuangSGMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-02542, author = {Pierre{-}Alexandre Beaufort and Christophe Geuzaine and Jean{-}Fran{\c{c}}ois Remacle}, title = {Automatic surface mesh generation for discrete models: {A} complete and automatic pipeline based on reparameterization}, journal = {CoRR}, volume = {abs/2001.02542}, year = {2020}, url = {http://arxiv.org/abs/2001.02542}, eprinttype = {arXiv}, eprint = {2001.02542}, timestamp = {Mon, 13 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-02542.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/es/Oktem19, author = {Alp {\"{O}}ktem}, title = {Incorporating prosody into neural speech processing pipelines: applications on automatic speech transcription and spoken language machine translation}, school = {Pompeu Fabra University, Spain}, year = {2019}, url = {http://hdl.handle.net/10803/666222}, timestamp = {Thu, 22 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/es/Oktem19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Milutinovic19, author = {Mitar Milutinovic}, title = {Towards Automatic Machine Learning Pipeline Design}, school = {University of California, Berkeley, {USA}}, year = {2019}, url = {https://www.escholarship.org/uc/item/2163j1c4}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Milutinovic19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/FauserSBHKKSM19, author = {Johannes Fauser and Igor Stenin and Markus Bauer and Wei{-}Hung Hsu and Julia Kristin and Thomas Klenzner and J{\"{o}}rg Schipper and Anirban Mukhopadhyay}, title = {Toward an automatic preoperative pipeline for image-guided temporal bone surgery}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {14}, number = {6}, pages = {967--976}, year = {2019}, url = {https://doi.org/10.1007/s11548-019-01937-x}, doi = {10.1007/S11548-019-01937-X}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/FauserSBHKKSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/DurmazUA19, author = {Ali Ihsan Durmaz and Erdin{\c{c}} {\"{O}}rsan {\"{U}}nal and Cevdet Coskun Aydin}, title = {Automatic Pipeline Route Design with Multi-Criteria Evaluation Based on Least-Cost Path Analysis and Line-Based Cartographic Simplification: {A} Case Study of the Mus Project in Turkey}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {8}, number = {4}, pages = {173}, year = {2019}, url = {https://doi.org/10.3390/ijgi8040173}, doi = {10.3390/IJGI8040173}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijgi/DurmazUA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Ramirez-Martinez19a, author = {Antonio Ramirez{-}Martinez and No{\'{e}} Amir Rodr{\'{\i}}guez{-}Olivares and Sergio Torres{-}Torres and Guillermo Ronquillo{-}Lomel{\'{\i}} and Jorge Alberto Soto{-}Cajiga}, title = {Design and Validation of an Articulated Sensor Carrier to Improve the Automatic Pipeline Inspection}, journal = {Sensors}, volume = {19}, number = {6}, pages = {1394}, year = {2019}, url = {https://doi.org/10.3390/s19061394}, doi = {10.3390/S19061394}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Ramirez-Martinez19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/RudianP19, author = {Sylvio R{\"{u}}dian and Niels Pinkwart}, editor = {Seiji Isotani and Eva Mill{\'{a}}n and Amy Ogan and Peter M. Hastings and Bruce M. McLaren and Rose Luckin}, title = {Towards an Automatic Q{\&}A Generation for Online Courses - {A} Pipeline Based Approach}, booktitle = {Artificial Intelligence in Education - 20th International Conference, {AIED} 2019, Chicago, IL, USA, June 25-29, 2019, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {11626}, pages = {237--241}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-23207-8\_44}, doi = {10.1007/978-3-030-23207-8\_44}, timestamp = {Mon, 15 Jun 2020 17:12:49 +0200}, biburl = {https://dblp.org/rec/conf/aied/RudianP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caise/RajbhojDGKB19, author = {Asha Rajbhoj and Shailesh S. Deshpande and Jayavardhana Gubbi and Vinay Kulkarni and P. Balamuralidhar}, editor = {Iris Reinhartz{-}Berger and Jelena Zdravkovic and Jens Gulden and Rainer Schmidt}, title = {A System for Semi-automatic Construction of Image Processing Pipeline for Complex Problems}, booktitle = {Enterprise, Business-Process and Information Systems Modeling - 20th International Conference, {BPMDS} 2019, 24th International Conference, {EMMSAD} 2019, Held at CAiSE 2019, Rome, Italy, June 3-4, 2019, Proceedings}, series = {Lecture Notes in Business Information Processing}, volume = {352}, pages = {295--310}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20618-5\_20}, doi = {10.1007/978-3-030-20618-5\_20}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/caise/RajbhojDGKB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icar/DietrichKF0B19, author = {Vincent Dietrich and Bernd Kast and Michael Fiegert and Sebastian Albrecht and Michael Beetz}, title = {Automatic Configuration of the Structure and Parameterization of Perception Pipelines}, booktitle = {19th International Conference on Advanced Robotics, {ICAR} 2019, Belo Horizonte, Brazil, December 2-6, 2019}, pages = {312--319}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICAR46387.2019.8981611}, doi = {10.1109/ICAR46387.2019.8981611}, timestamp = {Tue, 11 Feb 2020 09:34:09 +0100}, biburl = {https://dblp.org/rec/conf/icar/DietrichKF0B19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LucaBCMMMOZL19, author = {Claudio De Luca and Manuela Bonano and Francesco Casu and Michele Manunta and Mariarosaria Manzo and Franz Meyer and Giovanni Onorato and Ivana Zinno and Riccardo Lanari}, title = {A Fully Automatic and Cloud-Based {P-SBAS} {DINSAR} Pipeline for Sentinel-1 Processing}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {10015--10018}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8898857}, doi = {10.1109/IGARSS.2019.8898857}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LucaBCMMMOZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/SinghGSNMK19, author = {Chandan Kumar Singh and Vivek Kumar Gangwar and Harsh Vardhan Singh and Karan Narain and Anima Majumder and Swagat Kumar}, title = {Deep Capsule Network based Automatic Batch Code Identification Pipeline for a Real-life Industrial Application}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest, Hungary, July 14-19, 2019}, pages = {1--9}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IJCNN.2019.8852303}, doi = {10.1109/IJCNN.2019.8852303}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/SinghGSNMK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/YoganandaWMMM19, author = {Chandan Ganesh Bangalore Yogananda and Benjamin C. Wagner and Gowtham Krishnan Murugesan and Ananth J. Madhuranthakam and Joseph A. Maldjian}, title = {A Deep Learning Pipeline for Automatic Skull Stripping and Brain Segmentation}, booktitle = {16th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2019, Venice, Italy, April 8-11, 2019}, pages = {727--731}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISBI.2019.8759465}, doi = {10.1109/ISBI.2019.8759465}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/YoganandaWMMM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mibam/Pham0NPS19, author = {Kevin Pham and Xiao Yang and Marc Niethammer and Juan{-}Carlos Prieto and Martin Styner}, editor = {Barjor Gimi and Andrzej Kr{\'{o}}l}, title = {Multiseg pipeline: automatic tissue segmentation of brain {MR} images with subject-specific atlases}, booktitle = {Medical Imaging 2019: Biomedical Applications in Molecular, Structural, and Functional Imaging, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10953}, pages = {109530K}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2513237}, doi = {10.1117/12.2513237}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mibam/Pham0NPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/TianY19, author = {Lin Tian and Rong Yuan}, editor = {Elsa D. Angelini and Bennett A. Landman}, title = {An automatic end-to-end pipeline for {CT} image-based {EGFR} mutation status classification}, booktitle = {Medical Imaging 2019: Image Processing, San Diego, California, United States, 16-21 February 2019}, series = {{SPIE} Proceedings}, volume = {10949}, pages = {109492Q}, publisher = {{SPIE}}, year = {2019}, url = {https://doi.org/10.1117/12.2512465}, doi = {10.1117/12.2512465}, timestamp = {Wed, 17 Apr 2019 09:16:41 +0200}, biburl = {https://dblp.org/rec/conf/miip/TianY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pricai/FanWZWX19, author = {Haoshen Fan and Jie Wang and Bojin Zhuang and Shaojun Wang and Jing Xiao}, editor = {Abhaya C. Nayak and Alok Sharma}, title = {Automatic Acrostic Couplet Generation with Three-Stage Neural Network Pipelines}, booktitle = {{PRICAI} 2019: Trends in Artificial Intelligence - 16th Pacific Rim International Conference on Artificial Intelligence, Cuvu, Yanuca Island, Fiji, August 26-30, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11670}, pages = {314--324}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-29908-8\_25}, doi = {10.1007/978-3-030-29908-8\_25}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pricai/FanWZWX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psats/BaiAWJZZ19, author = {Xu Bai and Weile An and Bin Wang and Jianyu Jiang and Yanjia Zhang and Jiayan Zhang}, editor = {Min Jia and Qing Guo and Weixiao Meng}, title = {Automatic Identification of Underground Pipeline Based on Ground Penetrating Radar}, booktitle = {Wireless and Satellite Systems - 10th {EAI} International Conference, WiSATS 2019, Harbin, China, January 12-13, 2019, Proceedings, Part {II}}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {281}, pages = {70--78}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-19156-6\_7}, doi = {10.1007/978-3-030-19156-6\_7}, timestamp = {Sun, 11 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/psats/BaiAWJZZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/w4a/RizoFAW19, author = {Eduardo Henrique Rizo and Renata Pontin de Mattos Fortes and Humberto Lidio Antonelli and Willian Massami Watanabe}, title = {Automatic Identification of Widgets and their Subcomponents Based on a Classification Pipeline for {DOM} Mutation Records}, booktitle = {Proceedings of the 16th Web For All 2019 Conference - Personalizing the Web, {W4A} 2019, San Francisco, CA, USA, May 13-15, 2019}, pages = {4:1--4:10}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3315002.3317555}, doi = {10.1145/3315002.3317555}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/w4a/RizoFAW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/worldcist/AlvesSTSM19, author = {Jo{\~{a}}o Alves and Christophe Soares and Jos{\'{e}} M. Torres and Pedro Miguel Sobral and Rui S. Moreira}, editor = {{\'{A}}lvaro Rocha and Hojjat Adeli and Lu{\'{\i}}s Paulo Reis and Sandra Costanzo}, title = {Automatic Forest Fire Detection Based on a Machine Learning and Image Analysis Pipeline}, booktitle = {New Knowledge in Information Systems and Technologies - Volume 2, World Conference on Information Systems and Technologies, WorldCIST 2019, Galicia, Spain, 16-19 April}, series = {Advances in Intelligent Systems and Computing}, volume = {931}, pages = {240--251}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-16184-2\_24}, doi = {10.1007/978-3-030-16184-2\_24}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/worldcist/AlvesSTSM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-11827, author = {Sanjay Krishnan and Eugene Wu}, title = {AlphaClean: Automatic Generation of Data Cleaning Pipelines}, journal = {CoRR}, volume = {abs/1904.11827}, year = {2019}, url = {http://arxiv.org/abs/1904.11827}, eprinttype = {arXiv}, eprint = {1904.11827}, timestamp = {Thu, 02 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-11827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-10345, author = {Iddo Drori and Yamuna Krishnamurthy and Raoni Louren{\c{c}}o and R{\'{e}}mi Rampin and Kyunghyun Cho and Cl{\'{a}}udio T. Silva and Juliana Freire}, title = {Automatic Machine Learning by Pipeline Synthesis using Model-Based Reinforcement Learning and a Grammar}, journal = {CoRR}, volume = {abs/1905.10345}, year = {2019}, url = {http://arxiv.org/abs/1905.10345}, eprinttype = {arXiv}, eprint = {1905.10345}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-10345.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-09321, author = {Haoshen Fan and Jie Wang and Bojin Zhuang and Shaojun Wang and Jing Xiao}, title = {Automatic Acrostic Couplet Generation with Three-Stage Neural Network Pipelines}, journal = {CoRR}, volume = {abs/1906.09321}, year = {2019}, url = {http://arxiv.org/abs/1906.09321}, eprinttype = {arXiv}, eprint = {1906.09321}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-09321.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Rodriguez-Olivares18, author = {No{\'{e}} Amir Rodr{\'{\i}}guez{-}Olivares and Jos{\'{e}} Vicente Cruz{-}Cruz and A. Gomez{-}Hernandez and Rodrigo Hern{\'{a}}ndez{-}Alvarado and Luciano Nava{-}Balanzar and Tom{\'{a}}s Salgado{-}Jim{\'{e}}nez and Jorge Alberto Soto{-}Cajiga}, title = {Improvement of Ultrasonic Pulse Generator for Automatic Pipeline Inspection}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2950}, year = {2018}, url = {https://doi.org/10.3390/s18092950}, doi = {10.3390/S18092950}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Rodriguez-Olivares18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnsm/PratsBC18, author = {David Buchaca Prats and Josep Lluis Berral and David Carrera}, title = {Automatic Generation of Workload Profiles Using Unsupervised Learning Pipelines}, journal = {{IEEE} Trans. Netw. Serv. Manag.}, volume = {15}, number = {1}, pages = {142--155}, year = {2018}, url = {https://doi.org/10.1109/TNSM.2017.2786047}, doi = {10.1109/TNSM.2017.2786047}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnsm/PratsBC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/GarciarenaSM18, author = {Unai Garciarena and Roberto Santana and Alexander Mendiburu}, title = {Analysis of the Complexity of the Automatic Pipeline Generation Problem}, booktitle = {2018 {IEEE} Congress on Evolutionary Computation, {CEC} 2018, Rio de Janeiro, Brazil, July 8-13, 2018}, pages = {1--8}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CEC.2018.8477662}, doi = {10.1109/CEC.2018.8477662}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cec/GarciarenaSM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsh/LiuZCWHHL18, author = {Rui Liu and Yumeng Zhang and Ziwen Chen and Liqiang Wang and Shuaibing He and Guifeng Hua and Chang Liu}, editor = {Hsinchun Chen and Qing Fang and Daniel Zeng and Jiang Wu}, title = {Meta-analysis of the Immunomodulatory Effect of Ganoderma Lucidum Spores Using an Automatic Pipeline}, booktitle = {Smart Health - International Conference, {ICSH} 2018, Wuhan, China, July 1-3, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10983}, pages = {332--341}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-03649-2\_33}, doi = {10.1007/978-3-030-03649-2\_33}, timestamp = {Mon, 15 May 2023 16:24:40 +0200}, biburl = {https://dblp.org/rec/conf/icsh/LiuZCWHHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/MeyerASBAGN18, author = {Franz J. Meyer and Olaniyi A. Ajadi and Lori Schultz and Jordan R. Bell and Ken M. Arnoult and R{\"{u}}diger Gens and Jeremy Nicoll}, title = {An Automatic Flood Monitoring Service from Sentinel-1 {SAR:} Products, Delivery Pipelines, and Performance Assessment}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {6576--6579}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8517531}, doi = {10.1109/IGARSS.2018.8517531}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/MeyerASBAGN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ZhaoKYKOS18, author = {Wen Zhao and Mitsuhiro Kamezaki and Kento Yoshida and Minoru Konno and Akihiko Onuki and Shigeki Sugano}, title = {An Automatic Tracked Robot Chain System for Gas Pipeline Inspection and Maintenance Based on Wireless Relay Communication}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {3978--3983}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8593550}, doi = {10.1109/IROS.2018.8593550}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/ZhaoKYKOS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/Rizo18, author = {Eduardo Henrique Rizo}, editor = {Hisham M. Haddad and Roger L. Wainwright and Richard Chbeir}, title = {Classification pipeline for automatic identification of widgets and its parts}, booktitle = {Proceedings of the 33rd Annual {ACM} Symposium on Applied Computing, {SAC} 2018, Pau, France, April 09-13, 2018}, pages = {2196--2197}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3167132.3167460}, doi = {10.1145/3167132.3167460}, timestamp = {Wed, 25 Sep 2019 18:12:13 +0200}, biburl = {https://dblp.org/rec/conf/sac/Rizo18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/BinnigBCCKSUZZ18, author = {Carsten Binnig and Benedetto Buratti and Yeounoh Chung and Cyrus Cousins and Tim Kraska and Zeyuan Shang and Eli Upfal and Robert C. Zeleznik and Emanuel Zgraggen}, editor = {Sebastian Schelter and Stephan Seufert and Arun Kumar}, title = {Towards Interactive Curation {\&} Automatic Tuning of {ML} Pipelines}, booktitle = {Proceedings of the Second Workshop on Data Management for End-To-End Machine Learning, DEEM@SIGMOD 2018, Houston, TX, USA, June 15, 2018}, pages = {1:1--1:4}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3209889.3209891}, doi = {10.1145/3209889.3209891}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/BinnigBCCKSUZZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vda/KarerSH18, author = {Benjamin Karer and Inga Scheler and Hans Hagen}, editor = {Thomas Wischgoll and Song Zhang and David L. Kao and Yi{-}Jen Chiang}, title = {A Step Towards Automatic Visual Analytics Pipeline Generation}, booktitle = {Visualization and Data Analysis 2018, Burlingame, CA, USA, 28 January 2018 - 1 February 2018}, publisher = {Society for Imaging Science and Technology}, year = {2018}, url = {https://doi.org/10.2352/ISSN.2470-1173.2018.01.VDA-377}, doi = {10.2352/ISSN.2470-1173.2018.01.VDA-377}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vda/KarerSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-09442, author = {Manu Bansal and Eyal Cidon and Arjun Balasingam and Aditya Gudipati and Christos Kozyrakis and Sachin Katti}, title = {Trevor: Automatic configuration and scaling of stream processing pipelines}, journal = {CoRR}, volume = {abs/1812.09442}, year = {2018}, url = {http://arxiv.org/abs/1812.09442}, eprinttype = {arXiv}, eprint = {1812.09442}, timestamp = {Wed, 02 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-09442.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerjpre/SpinozziTMFM18, author = {Giulio Spinozzi and Valentina Tini and Laura Mincarelli and Brunangelo Falini and Maria Paola Martelli}, title = {A comprehensive RNA-Seq pipeline includes meta-analysis, interactivity and automatic reporting}, journal = {PeerJ Prepr.}, volume = {6}, pages = {e27317}, year = {2018}, url = {https://doi.org/10.7287/peerj.preprints.27317v2}, doi = {10.7287/PEERJ.PREPRINTS.27317V2}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerjpre/SpinozziTMFM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SierlaKV17, author = {Seppo A. Sierla and Tommi Karhela and Valeriy Vyatkin}, title = {Automatic Generation of Pipelines Into a 3D Industrial Process Model}, journal = {{IEEE} Access}, volume = {5}, pages = {26591--26603}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2774835}, doi = {10.1109/ACCESS.2017.2774835}, timestamp = {Sun, 19 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SierlaKV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LuuGARSA17, author = {Phuc{-}Loi Luu and Daniela Gerovska and Mikel Arrospide{-}Elgarresta and Sugoi Retegi{-}Carri{\'{o}}n and Hans R. Sch{\"{o}}ler and Marcos J. Ara{\'{u}}zo{-}Bravo}, title = {P3BSseq: parallel processing pipeline software for automatic analysis of bisulfite sequencing data}, journal = {Bioinform.}, volume = {33}, number = {3}, pages = {428--431}, year = {2017}, url = {https://doi.org/10.1093/bioinformatics/btw633}, doi = {10.1093/BIOINFORMATICS/BTW633}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/LuuGARSA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/HsuGAFZL17, author = {Chih{-}Yang Hsu and Mahsa Ghaffari and Ali Alaraj and Michael Flannery and Xiaohong Joe Zhou and Andreas A. Linninger}, title = {Gap-free segmentation of vascular networks with automatic image processing pipeline}, journal = {Comput. Biol. Medicine}, volume = {82}, pages = {29--39}, year = {2017}, url = {https://doi.org/10.1016/j.compbiomed.2017.01.012}, doi = {10.1016/J.COMPBIOMED.2017.01.012}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/HsuGAFZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/LiFZWZYJ17, author = {Hai Li and Lingzhong Fan and Junjie Zhuo and Jiaojian Wang and Yu Zhang and Zhengyi Yang and Tianzi Jiang}, title = {{ATPP:} {A} Pipeline for Automatic Tractography-Based Brain Parcellation}, journal = {Frontiers Neuroinformatics}, volume = {11}, pages = {35}, year = {2017}, url = {https://doi.org/10.3389/fninf.2017.00035}, doi = {10.3389/FNINF.2017.00035}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/LiFZWZYJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jossw/WeisenburgerHLM17, author = {Kolby L. Weisenburger and Joseph Huehnerhoff and Emily M. Levesque and Philip Massey}, title = {acronym: An Automatic Reduction Pipeline for Astronomical Images}, journal = {J. Open Source Softw.}, volume = {2}, number = {13}, pages = {102}, year = {2017}, url = {https://doi.org/10.21105/joss.00102}, doi = {10.21105/JOSS.00102}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jossw/WeisenburgerHLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/HosnyZN17, author = {Abdelrahman Hosny and Fatima Zare and Sheida Nabavi}, editor = {Nurit Haspel and Lenore J. Cowen and Amarda Shehu and Tamer Kahveci and Giuseppe Pozzi}, title = {Varsimlab: {A} Docker-based Pipeline to Automatically Synthesize Short Reads with Genomic Aberrations}, booktitle = {Proceedings of the 8th {ACM} International Conference on Bioinformatics, Computational Biology, and Health Informatics, {BCB} 2017, Boston, MA, USA, August 20-23, 2017}, pages = {581}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3107411.3108188}, doi = {10.1145/3107411.3108188}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bcb/HosnyZN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurogp/SaPOP17, author = {Alex Guimar{\~{a}}es Cardoso de S{\'{a}} and Walter Jos{\'{e}} G. S. Pinto and Luiz Ot{\'{a}}vio Vilas Boas Oliveira and Gisele L. Pappa}, editor = {James McDermott and Mauro Castelli and Luk{\'{a}}s Sekanina and Evert Haasdijk and Pablo Garc{\'{\i}}a{-}S{\'{a}}nchez}, title = {{RECIPE:} {A} Grammar-Based Framework for Automatically Evolving Classification Pipelines}, booktitle = {Genetic Programming - 20th European Conference, EuroGP 2017, Amsterdam, The Netherlands, April 19-21, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10196}, pages = {246--261}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-55696-3\_16}, doi = {10.1007/978-3-319-55696-3\_16}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurogp/SaPOP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fsr/MiroHUB17, author = {Jaime Valls Mir{\'{o}} and Dave Hunt and Nalika Ulapane and Michael Behrens}, editor = {Marco Hutter and Roland Siegwart}, title = {Towards Automatic Robotic {NDT} Dense Mapping for Pipeline Integrity Inspection}, booktitle = {Field and Service Robotics, Results of the 11th International Conference, {FSR} 2017, Zurich, Switzerland, 12-15 September 2017}, series = {Springer Proceedings in Advanced Robotics}, volume = {5}, pages = {319--333}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-67361-5\_21}, doi = {10.1007/978-3-319-67361-5\_21}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fsr/MiroHUB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gis/YeY17, author = {Yun Ye and Alper Yilmaz}, editor = {Song Gao and Gengen He}, title = {An automatic pipeline for mapping roads from aerial images}, booktitle = {1st {ACM} {SIGSPATIAL} Workshop on High-Precision Maps and Intelligent Applications for Autonomous Vehicles, AutonomousGIS@SIGSPATIAL 2017, Redondo Beach, CA, USA, November 7, 2017}, pages = {4:1--4:4}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3149092.3149096}, doi = {10.1145/3149092.3149096}, timestamp = {Mon, 11 Jul 2022 22:22:16 +0200}, biburl = {https://dblp.org/rec/conf/gis/YeY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PetragliaCGP17, author = {Antonio Petraglia and Roberto Campos and Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes and Mariane R. Petraglia}, title = {Pipeline tracking and event classification for an automatic inspection vision system}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050761}, doi = {10.1109/ISCAS.2017.8050761}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PetragliaCGP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slte/RouheKKK17, author = {Aku Rouhe and Reima Karhila and Heini Kallio and Mikko Kurimo}, editor = {Olov Engwall and Jos{\'{e}} Davis Lopes}, title = {A pipeline for automatic assessment of foreign language pronunciation}, booktitle = {7th {ISCA} International Workshop on Speech and Language Technology in Education, SLaTE 2017, Stockholm, Sweden, 25-26 August 2017}, pages = {190}, publisher = {{ISCA}}, year = {2017}, url = {http://www.isca-speech.org/archive/SLaTE\_2017/abstracts/SLaTE\_2017\_paper\_36.html}, timestamp = {Tue, 16 Nov 2021 11:36:23 +0100}, biburl = {https://dblp.org/rec/conf/slte/RouheKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/PerreaultLAD16, author = {Louis{-}Philippe Lemieux Perreault and Marc{-}Andr{\'{e}} Legault and G{\'{e}}raldine Asselin and Marie{-}Pierre Dub{\'{e}}}, title = {\emph{genipe}: an automated genome-wide imputation pipeline with automatic reporting and statistical tools}, journal = {Bioinform.}, volume = {32}, number = {23}, pages = {3661--3663}, year = {2016}, url = {https://doi.org/10.1093/bioinformatics/btw487}, doi = {10.1093/BIOINFORMATICS/BTW487}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/PerreaultLAD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ploscb/ChungTKYTC16, author = {Ren{-}Hua Chung and Wei{-}Yun Tsai and Chen{-}Yu Kang and Po{-}Ju Yao and Hui{-}Ju Tsai and Chia{-}Hsiang Chen}, title = {FamPipe: An Automatic Analysis Pipeline for Analyzing Sequencing Data in Families for Disease Studies}, journal = {PLoS Comput. Biol.}, volume = {12}, number = {6}, year = {2016}, url = {https://doi.org/10.1371/journal.pcbi.1004980}, doi = {10.1371/JOURNAL.PCBI.1004980}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ploscb/ChungTKYTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/Adams16, author = {Ravi Teja Mullapudi and Andrew Adams and Dillon Sharlet and Jonathan Ragan{-}Kelley and Kayvon Fatahalian}, title = {Automatically scheduling halide image processing pipelines}, journal = {{ACM} Trans. Graph.}, volume = {35}, number = {4}, pages = {83:1--83:11}, year = {2016}, url = {https://doi.org/10.1145/2897824.2925952}, doi = {10.1145/2897824.2925952}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/Adams16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/LouNLB16, author = {Li{-}ming Lou and Paul Nguyen and Jason Lawrence and Connelly Barnes}, title = {Image Perforation: Automatically Accelerating Image Pipelines by Intelligently Skipping Samples}, journal = {{ACM} Trans. Graph.}, volume = {35}, number = {5}, pages = {153:1--153:14}, year = {2016}, url = {https://doi.org/10.1145/2904903}, doi = {10.1145/2904903}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/LouNLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/KimJKS16, author = {Gwangsun Kim and Jiyun Jeong and John Kim and Mark Stephenson}, editor = {Ayal Zaks and Bilha Mendelson and Lawrence Rauchwerger and Wen{-}mei W. Hwu}, title = {Automatically Exploiting Implicit Pipeline Parallelism from Multiple Dependent Kernels for GPUs}, booktitle = {Proceedings of the 2016 International Conference on Parallel Architectures and Compilation, {PACT} 2016, Haifa, Israel, September 11-15, 2016}, pages = {341--352}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2967938.2967952}, doi = {10.1145/2967938.2967952}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/KimJKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/enc/AragonCGE16, author = {Mario Ezra Arag{\'{o}}n and Manuel Ricardo Carlos and Luis Carlos Gonz{\'{a}}lez{-}Gurrola and Hugo Jair Escalante}, editor = {Karina Caro and Karina Figueroa and Marcela D. Rodr{\'{\i}}guez}, title = {A Machine Learning Pipeline to Automatically Identify and Classify Roadway Surface Disruptions}, booktitle = {Proceedings of the Sixteenth Mexican International Conference on Computer Science, {ENC} 2016, Chihuahua, Mexico, November 14 - 16, 2016}, pages = {3:1--3:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/3149235.3149238}, doi = {10.1145/3149235.3149238}, timestamp = {Wed, 21 Jun 2023 09:08:09 +0200}, biburl = {https://dblp.org/rec/conf/enc/AragonCGE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/LanZGHDYQ16, author = {Weitao Lan and Taosheng Zhang and Moran Guo and Wei Huang and Lianghui Ding and Feng Yang and Liang Qian}, title = {A pipelined synchronization approach for satellite-based automatic identification system}, booktitle = {2016 {IEEE} International Conference on Communications, {ICC} 2016, Kuala Lumpur, Malaysia, May 22-27, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICC.2016.7511270}, doi = {10.1109/ICC.2016.7511270}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/LanZGHDYQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icca/DuanLGXZH16, author = {Zhigang Duan and Yongtu Liang and Qiang Guo and Qiao Xiao and Haoran Zhang and Guoxi He}, title = {An automatic detailed scheduling method of refined products pipeline}, booktitle = {12th {IEEE} International Conference on Control and Automation, {ICCA} 2016, Kathmandu, Nepal, June 1-3, 2016}, pages = {816--823}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCA.2016.7505379}, doi = {10.1109/ICCA.2016.7505379}, timestamp = {Fri, 26 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icca/DuanLGXZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeehpcs/ChoiSKYL16, author = {Yunyoung Choi and Jaemoon Shin and Jinhwa Kong and Jeehee Yoon and Keonbae Lee}, title = {Exome{\_}pipe: An automatic exome data analysis pipeline}, booktitle = {International Conference on High Performance Computing {\&} Simulation, {HPCS} 2016, Innsbruck, Austria, July 18-22, 2016}, pages = {1029--1030}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HPCSim.2016.7568452}, doi = {10.1109/HPCSIM.2016.7568452}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeehpcs/ChoiSKYL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/incdm/FosznerGB16, author = {Pawel Foszner and Aleksandra Gruca and Jakub Bularz}, editor = {Petra Perner}, title = {Fuel Pipeline Thermal Conductivity in Automatic Wet Stock Reconciliation Systems}, booktitle = {Advances in Data Mining. Applications and Theoretical Aspects - 16th Industrial Conference, {ICDM} 2016, New York, NY, USA, July 13-17, 2016. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9728}, pages = {297--310}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-41561-1\_22}, doi = {10.1007/978-3-319-41561-1\_22}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/incdm/FosznerGB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micai/MedinaPG16, author = {Edgar Medina and Mariane Rembold Petraglia and Jos{\'{e}} Gabriel Rodr{\'{\i}}guez Carneiro Gomes}, editor = {Obdulia Pichardo{-}Lagunas and Sabino Miranda{-}Jim{\'{e}}nez}, title = {Neural-Network Based Algorithm for Algae Detection in Automatic Inspection of Underwater Pipelines}, booktitle = {Advances in Soft Computing - 15th Mexican International Conference on Artificial Intelligence, {MICAI} 2016, Canc{\'{u}}n, Mexico, October 23-28, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {10062}, pages = {141--148}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-62428-0\_11}, doi = {10.1007/978-3-319-62428-0\_11}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/micai/MedinaPG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/GotzHMNHFMKWMM16, author = {Michael G{\"{o}}tz and Eric Heim and Keno M{\"{a}}rz and Tobias Norajitra and Mohammadreza Hafezi and Nassim Fard and Arianeb Mehrabi and Max Knoll and Christian Weber and Lena Maier{-}Hein and Klaus H. Maier{-}Hein}, editor = {Martin A. Styner and Elsa D. Angelini}, title = {A learning-based, fully automatic liver tumor segmentation pipeline based on sparsely annotated training data}, booktitle = {Medical Imaging 2016: Image Processing, San Diego, California, USA, February 27, 2016}, series = {{SPIE} Proceedings}, volume = {9784}, pages = {97841I}, publisher = {{SPIE}}, year = {2016}, url = {https://doi.org/10.1117/12.2217655}, doi = {10.1117/12.2217655}, timestamp = {Fri, 04 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miip/GotzHMNHFMKWMM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Knowles-BarleyK16, author = {Seymour Knowles{-}Barley and Verena Kaynig and Thouis Ray Jones and Alyssa Wilson and Joshua Morgan and Dongil Lee and Daniel R. Berger and Narayanan Kasthuri and Jeff W. Lichtman and Hanspeter Pfister}, title = {RhoanaNet Pipeline: Dense Automatic Neural Annotation}, journal = {CoRR}, volume = {abs/1611.06973}, year = {2016}, url = {http://arxiv.org/abs/1611.06973}, eprinttype = {arXiv}, eprint = {1611.06973}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Knowles-BarleyK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asplos/MullapudiVB15, author = {Ravi Teja Mullapudi and Vinay Vasista and Uday Bondhugula}, editor = {{\"{O}}zcan {\"{O}}zturk and Kemal Ebcioglu and Sandhya Dwarkadas}, title = {PolyMage: Automatic Optimization for Image Processing Pipelines}, booktitle = {Proceedings of the Twentieth International Conference on Architectural Support for Programming Languages and Operating Systems, {ASPLOS} 2015, Istanbul, Turkey, March 14-18, 2015}, pages = {429--443}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2694344.2694364}, doi = {10.1145/2694344.2694364}, timestamp = {Wed, 07 Jul 2021 13:23:08 +0200}, biburl = {https://dblp.org/rec/conf/asplos/MullapudiVB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dphoto/TianBLJFFW15, author = {Qiyuan Tian and Henryk Blasinski and Steven Lansel and Haomiao Jiang and Munenori Fukunishi and Joyce E. Farrell and Brian A. Wandell}, editor = {Nitin Sampat and Radka Tezaur and Dietmar W{\"{u}}ller}, title = {Automatically designing an image processing pipeline for a five-band camera prototype using the local, linear, learned {(L3)} method}, booktitle = {Digital Photography XI, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Francisco, California, USA, February 9-10, 2015, Proceedings}, series = {{SPIE} Proceedings}, volume = {9404}, pages = {940403}, publisher = {SPIE/IS{\&}T}, year = {2015}, url = {https://doi.org/10.1117/12.2083435}, doi = {10.1117/12.2083435}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dphoto/TianBLJFFW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsipa/HuynhRMD15a, author = {Phat Huynh and Robert Ross and Andrew Martchenko and John Devlin}, title = {Dou-edge evaluation algorithm for automatic thin crack detection in pipelines}, booktitle = {2015 {IEEE} International Conference on Signal and Image Processing Applications, {ICSIPA} 2015, Kuala Lumpur, Malaysia, October 19-21, 2015}, pages = {191--196}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICSIPA.2015.7412188}, doi = {10.1109/ICSIPA.2015.7412188}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icsipa/HuynhRMD15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/swat4ls/LamprechtM15, author = {Anna{-}Lena Lamprecht and Tiziana Margaria}, editor = {James Malone and Robert Stevens and Kerstin Forsberg and Andrea Splendiani}, title = {Automatic Synthesis of Bioconductor Pipelines: {A} Domain Modeling Challenge}, booktitle = {Proceedings of the 8th Semantic Web Applications and Tools for Life Sciences International Conference, Cambridge UK, December 7-10, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1546}, pages = {216--217}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1546/poster\_63.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:03 +0100}, biburl = {https://dblp.org/rec/conf/swat4ls/LamprechtM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wanlp/BougaresB15, author = {Fethi Bougares and Houda Bouamor}, editor = {Nizar Habash and Stephan Vogel and Kareem Darwish}, title = {UMMU{\textdollar}@{\textdollar}QALB-2015 Shared Task: Character and Word level {SMT} pipeline for Automatic Error Correction of Arabic Text}, booktitle = {Proceedings of the Second Workshop on Arabic Natural Language Processing, ANLP@ACL 2015, Beijing, China, July 30, 2015}, pages = {166--172}, publisher = {Association for Computational Linguistics}, year = {2015}, url = {https://doi.org/10.18653/v1/W15-3221}, doi = {10.18653/V1/W15-3221}, timestamp = {Fri, 06 Aug 2021 00:40:46 +0200}, biburl = {https://dblp.org/rec/conf/wanlp/BougaresB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/Zabolotny15a, author = {Wojciech M. Zabolotny}, title = {Automatic latency balancing in VHDL-implemented complex pipelined systems}, journal = {CoRR}, volume = {abs/1509.08111}, year = {2015}, url = {http://arxiv.org/abs/1509.08111}, eprinttype = {arXiv}, eprint = {1509.08111}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/Zabolotny15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/hal/Fouquet14, author = {Cl{\'{e}}ment Fouquet}, title = {Aide {\`{a}} la d{\'{e}}tection et {\`{a}} la reconnaissance de d{\'{e}}fauts structurels dans les pipelines par analyse automatique des images XtraSonic. (Helping Smart Detection and Recognition of pipeline structure failures based on automatic "XTraSonic Images" Processing and Analysis)}, school = {Cergy-Pontoise University, France}, year = {2014}, url = {https://tel.archives-ouvertes.fr/tel-01166341}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/hal/Fouquet14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvca/FengHXS14, author = {Andrew W. Feng and Yazhou Huang and Yuyu Xu and Ari Shapiro}, title = {Fast, automatic character animation pipelines}, journal = {Comput. Animat. Virtual Worlds}, volume = {25}, number = {1}, pages = {3--16}, year = {2014}, url = {https://doi.org/10.1002/cav.1560}, doi = {10.1002/CAV.1560}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvca/FengHXS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/Vidal-CallejaMMLR14, author = {Teresa A. Vidal{-}Calleja and Jaime Valls Mir{\'{o}} and Fernando Mart{\'{\i}}n and Daniel C. Lingnau and David E. Russell}, title = {Automatic detection and verification of pipeline construction features with multi-modal data}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {3116--3122}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS.2014.6942993}, doi = {10.1109/IROS.2014.6942993}, timestamp = {Tue, 05 Sep 2023 15:07:47 +0200}, biburl = {https://dblp.org/rec/conf/iros/Vidal-CallejaMMLR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/taros/LouLSHD14, author = {Lu Lou and Yonghuai Liu and Minglan Sheng and Jiwan Han and John H. Doonan}, editor = {Michael N. Mistry and Ales Leonardis and Mark Witkowski and Chris Melhuish}, title = {A Cost-Effective Automatic 3D Reconstruction Pipeline for Plants Using Multi-view Images}, booktitle = {Advances in Autonomous Robotics Systems - 15th Annual Conference, {TAROS} 2014, Birmingham, UK, September 1-3, 2014. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {8717}, pages = {221--230}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-10401-0\_20}, doi = {10.1007/978-3-319-10401-0\_20}, timestamp = {Thu, 18 Jul 2019 15:57:47 +0200}, biburl = {https://dblp.org/rec/conf/taros/LouLSHD14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/webist/GarridoBEPIM14, author = {{\'{A}}ngel L. Garrido and Mar{\'{\i}}a Granados Buey and Sandra Escudero and {\'{A}}lvaro Peir{\'{o}} and Sergio Ilarri and Eduardo Mena}, editor = {Val{\'{e}}rie Monfort and Karl{-}Heinz Krempels}, title = {The {GENIE} Project - {A} Semantic Pipeline for Automatic Document Categorisation}, booktitle = {{WEBIST} 2014 - Proceedings of the 10th International Conference on Web Information Systems and Technologies, Volume 2, Barcelona, Spain, 3-5 April, 2014}, pages = {161--171}, publisher = {SciTePress}, year = {2014}, url = {https://doi.org/10.5220/0004750601610171}, doi = {10.5220/0004750601610171}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/webist/GarridoBEPIM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/MiyajimaTA14, author = {Takaaki Miyajima and David B. Thomas and Hideharu Amano}, title = {An Automatic Mixed Software Hardware Pipeline Builder for {CPU-FPGA} Platforms}, journal = {CoRR}, volume = {abs/1408.4969}, year = {2014}, url = {http://arxiv.org/abs/1408.4969}, eprinttype = {arXiv}, eprint = {1408.4969}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/MiyajimaTA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/basesearch/Filiba13, author = {Terry Filiba}, title = {Automatic Mapping of Real Time Radio Astronomy Signal Processing Pipelines onto Heterogeneous Clusters}, school = {University of California, Berkeley, {USA}}, year = {2013}, url = {http://www.escholarship.org/uc/item/4808f21c}, timestamp = {Wed, 26 Apr 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/basesearch/Filiba13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhpcn/ZhangOYB13, author = {Yuanming Zhang and Kanemitsu Ootsu and Takashi Yokota and Takanobu Baba}, title = {An automatic thread decomposition approach for pipelined multithreading}, journal = {Int. J. High Perform. Comput. Netw.}, volume = {7}, number = {3}, pages = {227--237}, year = {2013}, url = {https://doi.org/10.1504/IJHPCN.2013.056526}, doi = {10.1504/IJHPCN.2013.056526}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhpcn/ZhangOYB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/LoLCLTHY13, author = {Chen Kang Lo and Mao Lin Li and Li{-}Chun Chen and Yi{-}Shan Lu and Ren{-}Song Tsay and Hsu{-}Yao Huang and Jen{-}Chieh Yeh}, title = {Automatic generation of high-speed accurate {TLM} models for out-of-order pipelined bus}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {13}, number = {1s}, pages = {37:1--37:25}, year = {2013}, url = {https://doi.org/10.1145/2536747.2536759}, doi = {10.1145/2536747.2536759}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/LoLCLTHY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cases/CordesENM13, author = {Daniel Cordes and Michael Engel and Olaf Neugebauer and Peter Marwedel}, title = {Automatic Extraction of pipeline parallelism for embedded heterogeneous multi-core platforms}, booktitle = {International Conference on Compilers, Architecture and Synthesis for Embedded Systems, {CASES} 2013, Montreal, QC, Canada, September 29 - October 4, 2013}, pages = {4:1--4:10}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CASES.2013.6662508}, doi = {10.1109/CASES.2013.6662508}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/cases/CordesENM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicling/WachsmuthRE13, author = {Henning Wachsmuth and Mirko Rose and Gregor Engels}, editor = {Alexander F. Gelbukh}, title = {Automatic Pipeline Construction for Real-Time Annotation}, booktitle = {Computational Linguistics and Intelligent Text Processing - 14th International Conference, CICLing 2013, Samos, Greece, March 24-30, 2013, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {7816}, pages = {38--49}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-37247-6\_4}, doi = {10.1007/978-3-642-37247-6\_4}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/cicling/WachsmuthRE13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwscas/TangellapalliH13, author = {Phani Balaji Swamy Tangellapalli and Syed Rafay Hasan}, title = {Soft error aware pipelined architecture: Leveraging automatic repeat request protocol}, booktitle = {{IEEE} 56th International Midwest Symposium on Circuits and Systems, {MWSCAS} 2013, Columbus, OH, USA, August 4-7, 2013}, pages = {549--552}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/MWSCAS.2013.6674707}, doi = {10.1109/MWSCAS.2013.6674707}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/mwscas/TangellapalliH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/GuoWFZ13, author = {Qi Guo and Chao Wang and Xiaojing Feng and Xuehai Zhou}, title = {Automatic Loop-Based Pipeline Optimization on Reconfigurable Platform}, booktitle = {12th {IEEE} International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2013 / 11th {IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA-13} / 12th {IEEE} International Conference on Ubiquitous Computing and Communications, IUCC-2013, Melbourne, Australia, July 16-18, 2013}, pages = {919--926}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TrustCom.2013.112}, doi = {10.1109/TRUSTCOM.2013.112}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/GuoWFZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmm2/LarueBDS12, author = {Fr{\'{e}}d{\'{e}}ric Larue and Marco Di Benedetto and Matteo Dellepiane and Roberto Scopigno}, title = {From the Digitization of Cultural Artifacts to the Web Publishing of Digital 3D Collections: an Automatic Pipeline for Knowledge Sharing}, journal = {J. Multim.}, volume = {7}, number = {2}, pages = {132--144}, year = {2012}, url = {https://doi.org/10.4304/jmm.7.2.132-144}, doi = {10.4304/JMM.7.2.132-144}, timestamp = {Wed, 27 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmm2/LarueBDS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RauY12, author = {Jiann{-}Yeou Rau and Po{-}Chia Yeh}, title = {A Semi-Automatic Image-Based Close Range 3D Modeling Pipeline Using a Multi-Camera Configuration}, journal = {Sensors}, volume = {12}, number = {8}, pages = {11271--11293}, year = {2012}, url = {https://doi.org/10.3390/s120811271}, doi = {10.3390/S120811271}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RauY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asap/HaastregtK12, author = {Sven van Haastregt and Bart Kienhuis}, title = {Enabling Automatic Pipeline Utilization Improvement in Polyhedral Process Network Implementations}, booktitle = {23rd {IEEE} International Conference on Application-Specific Systems, Architectures and Processors, {ASAP} 2012, Delft, The Netherlands, July 9-11, 2012}, pages = {173--176}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ASAP.2012.23}, doi = {10.1109/ASAP.2012.23}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asap/HaastregtK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/CordesEMN12, author = {Daniel Cordes and Michael Engel and Peter Marwedel and Olaf Neugebauer}, editor = {Ahmed Jerraya and Luca P. Carloni and Naehyuck Chang and Franco Fummi}, title = {Automatic extraction of multi-objective aware pipeline parallelism using genetic algorithms}, booktitle = {Proceedings of the 10th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2012, part of ESWeek '12 Eighth Embedded Systems Week, Tampere, Finland, October 7-12, 2012}, pages = {73--82}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2380445.2380463}, doi = {10.1145/2380445.2380463}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/CordesEMN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/digipro/McNallyL12, author = {Philip Captain and Matthew M. Low}, editor = {Armin Bruderlin and Ken Anjyo and Eric Enderton and Larry Cutler}, title = {{M.A.C.:} an automatic stereoscopic calculator for animation pipelines}, booktitle = {Proceedings of the Digital Production Symposium, DigiPro '12, Glendale, California, USA, August 4, 2012}, pages = {27--36}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2370919.2370924}, doi = {10.1145/2370919.2370924}, timestamp = {Thu, 30 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/digipro/McNallyL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hais/GoienetxeaBJMG12, author = {Izaro Goienetxea and I{\~{n}}igo Barandiaran and Carlos Jauquicoa and Gregory Maclair and Manuel Gra{\~{n}}a}, editor = {Emilio Corchado and V{\'{a}}clav Sn{\'{a}}sel and Ajith Abraham and Michal Wozniak and Manuel Gra{\~{n}}a and Sung{-}Bae Cho}, title = {Image Analysis Pipeline for Automatic Karyotyping}, booktitle = {Hybrid Artificial Intelligent Systems - 7th International Conference, {HAIS} 2012, Salamanca, Spain, March 28-30th, 2012. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7209}, pages = {392--403}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-28931-6\_38}, doi = {10.1007/978-3-642-28931-6\_38}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hais/GoienetxeaBJMG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itaero/AlqaanMS12, author = {Hani Alqaan and Mikael Mannberg and Al Savvaris}, title = {Automatic Pipeline Detection for UAVs}, booktitle = {Infotech@Aerospace 2012, Garden Grove, California, USA, June 19-21, 2012}, year = {2012}, url = {https://doi.org/10.2514/6.2012-2463}, doi = {10.2514/6.2012-2463}, timestamp = {Fri, 05 May 2017 13:12:21 +0200}, biburl = {https://dblp.org/rec/conf/itaero/AlqaanMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacbb/Reboiro-JatoRFFV12, author = {David Reboiro{-}Jato and Miguel Reboiro{-}Jato and Florentino Fdez{-}Riverola and Nuno A. Fonseca and Jorge Vieira}, editor = {Miguel P. Rocha and Nicholas M. Luscombe and Florentino Fdez{-}Riverola and Juan M. Corchado Rodr{\'{\i}}guez}, title = {On the Development of a Pipeline for the Automatic Detection of Positively Selected Sites}, booktitle = {6th International Conference on Practical Applications of Computational Biology {\&} Bioinformatics, Salamanca, Spain}, series = {Advances in Intelligent and Soft Computing}, volume = {154}, pages = {225--229}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-28839-5\_26}, doi = {10.1007/978-3-642-28839-5\_26}, timestamp = {Wed, 12 Oct 2022 08:58:54 +0200}, biburl = {https://dblp.org/rec/conf/pacbb/Reboiro-JatoRFFV12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/patmos/SaifhashemiB12, author = {Arash Saifhashemi and Peter A. Beerel}, editor = {Jos{\'{e}} L. Ayala and Delong Shang and Alex Yakovlev}, title = {Observability Conditions and Automatic Operand-Isolation in High-Throughput Asynchronous Pipelines}, booktitle = {Integrated Circuit and System Design. Power and Timing Modeling, Optimization and Simulation, 22nd International Workshop, {PATMOS} 2012, Newcastle upon Tyne, UK, September 4-6, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7606}, pages = {205--214}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-36157-9\_21}, doi = {10.1007/978-3-642-36157-9\_21}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/patmos/SaifhashemiB12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/PienaarCR12, author = {Jacques A. Pienaar and Srimat T. Chakradhar and Anand Raghunathan}, editor = {Jeffrey K. Hollingsworth}, title = {Automatic generation of software pipelines for heterogeneous parallel systems}, booktitle = {{SC} Conference on High Performance Computing Networking, Storage and Analysis, {SC} '12, Salt Lake City, UT, {USA} - November 11 - 15, 2012}, pages = {24}, publisher = {{IEEE/ACM}}, year = {2012}, url = {https://doi.org/10.1109/SC.2012.22}, doi = {10.1109/SC.2012.22}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sc/PienaarCR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/ApopeiD11, author = {Beniamin Apopei and Tony J. Dodd}, title = {{FPGA} automatic re-synchronisation for pipelined, floating point control systems applications}, journal = {Des. Autom. Embed. Syst.}, volume = {15}, number = {3-4}, pages = {247--288}, year = {2011}, url = {https://doi.org/10.1007/s10617-011-9077-3}, doi = {10.1007/S10617-011-9077-3}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/ApopeiD11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeJKJLSY11, author = {Sungin Lee and Senator Jeong and Hong{-}Gee Kim and Hanmin Jung and Mikyoung Lee and Seung{-}Jae Song and Beom{-}Jong You}, title = {OntoPipeliner: An ontology-based automatic semantic service pipeline generator}, journal = {Expert Syst. Appl.}, volume = {38}, number = {8}, pages = {9472--9482}, year = {2011}, url = {https://doi.org/10.1016/j.eswa.2011.01.152}, doi = {10.1016/J.ESWA.2011.01.152}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeJKJLSY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/arc/AliasPP11, author = {Christophe Alias and Bogdan Pasca and Alexandru Plesco}, editor = {Andreas Koch and Ram Krishnamurthy and John McAllister and Roger F. Woods and Tarek A. El{-}Ghazawi}, title = {Automatic Generation of FPGA-Specific Pipelined Accelerators}, booktitle = {Reconfigurable Computing: Architectures, Tools and Applications - 7th International Symposium, {ARC} 2011, Belfast, UK, March 23-25, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6578}, pages = {53--66}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19475-7\_7}, doi = {10.1007/978-3-642-19475-7\_7}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/arc/AliasPP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bildmed/DinseWPBNGLBP11, author = {Juliane Dinse and Daniela I. Wellein and Matthias Pfeifle and Silvia Born and Thilo Noack and Matthias Gutberlet and Lukas Lehmkuhl and Oliver Burgert and Bernhard Preim}, editor = {Heinz Handels and Jan Ehrhardt and Thomas Martin Deserno and Hans{-}Peter Meinzer and Thomas Tolxdorff}, title = {Extracting the Fine Structure of the Left Cardiac Ventricle in 4D {CT} Data - {A} Semi-Automatic Segmentation Pipeline}, booktitle = {Bildverarbeitung f{\"{u}}r die Medizin 2011: Algorithmen - Systeme - Anwendungen, Proceedings des Workshops vom 20. bis 22. M{\"{a}}rz 2011 in L{\"{u}}beck}, series = {Informatik Aktuell}, pages = {264--268}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19335-4\_55}, doi = {10.1007/978-3-642-19335-4\_55}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bildmed/DinseWPBNGLBP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/VelevG11, author = {Miroslav N. Velev and Ping Gao}, editor = {Joel R. Phillips and Alan J. Hu and Helmut Graeb}, title = {Automatic formal verification of multithreaded pipelined microprocessors}, booktitle = {2011 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2011, San Jose, California, USA, November 7-10, 2011}, pages = {679--686}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICCAD.2011.6105403}, doi = {10.1109/ICCAD.2011.6105403}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/VelevG11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitst/DeMottEP11, author = {Jared D. DeMott and Richard J. Enbody and William F. Punch III}, title = {Towards an automatic exploit pipeline}, booktitle = {6th International Conference for Internet Technology and Secured Transactions, {ICITST} 2011, Abu Dhabi, UAE, December 11-14, 2011}, pages = {323--329}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/6148387/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitst/DeMottEP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/CordesHMM11, author = {Daniel Cordes and Andreas Heinig and Peter Marwedel and Arindam Mallik}, title = {Automatic Extraction of Pipeline Parallelism for Embedded Software Using Linear Programming}, booktitle = {17th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2011, Tainan, Taiwan, December 7-9, 2011}, pages = {699--706}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICPADS.2011.31}, doi = {10.1109/ICPADS.2011.31}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/CordesHMM11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipsj/YamadaOOIOYNFHASO10, author = {Hideki Yamada and Yui Ogawa and Tomonori Ooya and Tomoya Ishimori and Yasunori Osana and Masato Yoshimi and Yuri Nishikawa and Akira Funahashi and Noriko Hiroi and Hideharu Amano and Yuichiro Shibata and Kiyoshi Oguri}, title = {Automatic Pipeline Construction Focused on Similarity of Rate Law Functions for an FPGA-based Biochemical Simulator}, journal = {{IPSJ} Trans. Syst. {LSI} Des. Methodol.}, volume = {3}, pages = {244--256}, year = {2010}, url = {https://doi.org/10.2197/ipsjtsldm.3.244}, doi = {10.2197/IPSJTSLDM.3.244}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipsj/YamadaOOIOYNFHASO10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/Srinivasan10, author = {Sudarshan K. Srinivasan}, title = {Automatic Refinement Checking of Pipelines with Out-of-Order Execution}, journal = {{IEEE} Trans. Computers}, volume = {59}, number = {8}, pages = {1138--1144}, year = {2010}, url = {https://doi.org/10.1109/TC.2010.18}, doi = {10.1109/TC.2010.18}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/Srinivasan10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEpact/TournavitisF10, author = {Georgios Tournavitis and Bj{\"{o}}rn Franke}, editor = {Valentina Salapura and Michael Gschwind and Jens Knoop}, title = {Semi-automatic extraction and exploitation of hierarchical pipeline parallelism using profiling information}, booktitle = {19th International Conference on Parallel Architectures and Compilation Techniques, {PACT} 2010, Vienna, Austria, September 11-15, 2010}, pages = {377--388}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854273.1854321}, doi = {10.1145/1854273.1854321}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEpact/TournavitisF10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bcb/WangPSS10, author = {Peng Wang and Bj{\"{o}}rn Peters and John Sidney and Alessandro Sette}, editor = {Aidong Zhang and Mark Borodovsky and Gultekin {\"{O}}zsoyoglu and Armin R. Mikler}, title = {An automatic computational pipeline to display {MHC} binding motifs}, booktitle = {Proceedings of the First {ACM} International Conference on Bioinformatics and Computational Biology, {BCB} 2010, Niagara Falls, NY, USA, August 2-4, 2010}, pages = {634--641}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854776.1854894}, doi = {10.1145/1854776.1854894}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bcb/WangPSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/NurvitadhiHLK10, author = {Eriko Nurvitadhi and James C. Hoe and Shih{-}Lien Lu and Timothy Kam}, editor = {Sachin S. Sapatnekar}, title = {Automatic multithreaded pipeline synthesis from transactional datapath specifications}, booktitle = {Proceedings of the 47th Design Automation Conference, {DAC} 2010, Anaheim, California, USA, July 13-18, 2010}, pages = {314--319}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1837274.1837356}, doi = {10.1145/1837274.1837356}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/NurvitadhiHLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/ZhangOYB10, author = {Yuanming Zhang and Kanemitsu Ootsu and Takashi Yokota and Takanobu Baba}, title = {Automatic Thread Decomposition for Pipelined Multithreading}, booktitle = {16th {IEEE} International Conference on Parallel and Distributed Systems, {ICPADS} 2010, Shanghai, China, December 8-10, 2010}, pages = {91--98}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/ICPADS.2010.18}, doi = {10.1109/ICPADS.2010.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/ZhangOYB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrc/LiH09, author = {Xinyu Li and Omar Hammami}, title = {An Automatic Design Flow for Data Parallel and Pipelined Signal Processing Applications on Embedded Multiprocessor with NoC: Application to Cryptography}, journal = {Int. J. Reconfigurable Comput.}, volume = {2009}, pages = {631490:1--631490:14}, year = {2009}, url = {https://doi.org/10.1155/2009/631490}, doi = {10.1155/2009/631490}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrc/LiH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/HouH08, author = {Zujun Hou and Su Huang}, title = {Characterization of a sequential pipeline approach to automatic tissue segmentation from brain {MR} Images}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {2}, number = {5}, pages = {305--316}, year = {2008}, url = {https://doi.org/10.1007/s11548-007-0144-y}, doi = {10.1007/S11548-007-0144-Y}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/HouH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ManoliosS08, author = {Panagiotis Manolios and Sudarshan K. Srinivasan}, title = {Automatic verification of safety and liveness for pipelined machines using {WEB} refinement}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {13}, number = {3}, pages = {45:1--45:19}, year = {2008}, url = {https://doi.org/10.1145/1367045.1367054}, doi = {10.1145/1367045.1367054}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ManoliosS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejes/Koch07, author = {Andreas Koch}, title = {Efficient Integration of Pipelined {IP} Blocks into Automatically Compiled Datapaths}, journal = {{EURASIP} J. Embed. Syst.}, volume = {2007}, year = {2007}, url = {https://doi.org/10.1155/2007/65173}, doi = {10.1155/2007/65173}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ejes/Koch07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/LevyBRCP07, author = {Joshua H. Levy and Robert E. Broadhurst and Surajit Ray and Edward L. Chaney and Stephen M. Pizer}, editor = {Josien P. W. Pluim and Joseph M. Reinhardt}, title = {Signaling local non-credibility in an automatic segmentation pipeline}, booktitle = {Medical Imaging 2007: Image Processing, San Diego, CA, United States, 17-22 February 2007}, series = {{SPIE} Proceedings}, volume = {6512}, pages = {65123Q}, publisher = {{SPIE}}, year = {2007}, url = {https://doi.org/10.1117/12.709015}, doi = {10.1117/12.709015}, timestamp = {Wed, 23 May 2018 13:19:32 +0200}, biburl = {https://dblp.org/rec/conf/miip/LevyBRCP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/KudlurFM06, author = {Manjunath Kudlur and Kevin Fan and Scott A. Mahlke}, editor = {Reinaldo A. Bergamaschi and Kiyoung Choi}, title = {Streamroller: : automatic synthesis of prescribed throughput accelerator pipelines}, booktitle = {Proceedings of the 4th International Conference on Hardware/Software Codesign and System Synthesis, {CODES+ISSS} 2006, Seoul, Korea, October 22-25, 2006}, pages = {270--275}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1176254.1176321}, doi = {10.1145/1176254.1176321}, timestamp = {Mon, 26 Nov 2018 12:14:45 +0100}, biburl = {https://dblp.org/rec/conf/codes/KudlurFM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ViswanathAJ06, author = {Vinod Viswanath and Jacob A. Abraham and Warren A. Hunt Jr.}, editor = {Georges G. E. Gielen}, title = {Automatic insertion of low power annotations in {RTL} for pipelined microprocessors}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {496--501}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243858}, doi = {10.1109/DATE.2006.243858}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ViswanathAJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/Halpin06, author = {Harry Halpin}, editor = {Nicoletta Calzolari and Khalid Choukri and Aldo Gangemi and Bente Maegaard and Joseph Mariani and Jan Odijk and Daniel Tapias}, title = {Automatic Evaluation and Composition of {NLP} Pipelines with Web Services}, booktitle = {Proceedings of the Fifth International Conference on Language Resources and Evaluation, {LREC} 2006, Genoa, Italy, May 22-28, 2006}, pages = {2470--2473}, publisher = {European Language Resources Association {(ELRA)}}, year = {2006}, url = {http://www.lrec-conf.org/proceedings/lrec2006/summaries/767.html}, timestamp = {Mon, 19 Aug 2019 15:23:22 +0200}, biburl = {https://dblp.org/rec/conf/lrec/Halpin06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parelec/PetrovskyS06, author = {Alexander A. Petrovsky and Sergei L. Shkredov}, title = {Automatic Generation of Split-Radix 2-4 Parallel-Pipeline {FFT} Processors: Hardware Reconfiguration and Core Optimizations}, booktitle = {Fifth International Conference on Parallel Computing in Electrical Engineering {(PARELEC} 2006), 13-17 September 2006, Bialystok, Poland}, pages = {181--186}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/PARELEC.2006.18}, doi = {10.1109/PARELEC.2006.18}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/parelec/PetrovskyS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ivc/IyerS05, author = {Shivprakash Iyer and Sunil K. Sinha}, title = {A robust approach for automatic detection and segmentation of cracks in underground pipeline images}, journal = {Image Vis. Comput.}, volume = {23}, number = {10}, pages = {921--933}, year = {2005}, url = {https://doi.org/10.1016/j.imavis.2005.05.017}, doi = {10.1016/J.IMAVIS.2005.05.017}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ivc/IyerS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/charme/Velev05, author = {Miroslav N. Velev}, editor = {Dominique Borrione and Wolfgang J. Paul}, title = {Automatic Formal Verification of Liveness for Pipelined Processors with Multicycle Functional Units}, booktitle = {Correct Hardware Design and Verification Methods, 13th {IFIP} {WG} 10.5 Advanced Research Working Conference, {CHARME} 2005, Saarbr{\"{u}}cken, Germany, October 3-6, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3725}, pages = {97--113}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11560548\_10}, doi = {10.1007/11560548\_10}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/charme/Velev05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fimh/PfeiferHTHSFMT05, author = {Bernhard Pfeifer and Friedrich Hanser and Thomas Trieb and Christoph Hinterm{\"{u}}ller and Michael Seger and Gerald Fischer and Robert Modre and Bernhard Tilg}, editor = {Alejandro F. Frangi and Petia Radeva and Andr{\'{e}}s Santos and Monica Hernandez}, title = {Combining Active Appearance Models and Morphological Operators Using a Pipeline for Automatic Myocardium Extraction}, booktitle = {Functional Imaging and Modeling of the Heart, Third International Workshop, {FIMH} 2005, Barcelona, Spain, June 2-4, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3504}, pages = {44--53}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11494621\_5}, doi = {10.1007/11494621\_5}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fimh/PfeiferHTHSFMT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/ShamirN05, author = {Lior Shamir and Robert J. Nemiroff}, editor = {Lipo Wang and Yaochu Jin}, title = {Using Fuzzy Logic for Automatic Analysis of Astronomical Pipelines}, booktitle = {Fuzzy Systems and Knowledge Discovery, Second International Conference, {FSKD} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3614}, pages = {634--637}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11540007\_78}, doi = {10.1007/11540007\_78}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/fskd/ShamirN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/DaiHLH05, author = {Jinquan Dai and Bo Huang and Long Li and Luddy Harrison}, editor = {Vivek Sarkar and Mary W. Hall}, title = {Automatically partitioning packet processing applications for pipelined architectures}, booktitle = {Proceedings of the {ACM} {SIGPLAN} 2005 Conference on Programming Language Design and Implementation, Chicago, IL, USA, June 12-15, 2005}, pages = {237--248}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1065010.1065039}, doi = {10.1145/1065010.1065039}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/DaiHLH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/GuoECXPX04, author = {Jun{-}tao Guo and Kyle Ellrott and Won Jae Chung and Dong Xu and Sergei Passovets and Ying Xu}, title = {{PROSPECT-PSPP:} an automatic computational pipeline for protein structure prediction}, journal = {Nucleic Acids Res.}, volume = {32}, number = {Web-Server-Issue}, pages = {522--525}, year = {2004}, url = {https://doi.org/10.1093/nar/gkh414}, doi = {10.1093/NAR/GKH414}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/GuoECXPX04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/MishraDT03, author = {Prabhat Mishra and Nikil D. Dutt and Hiroyuki Tomiyama}, title = {Towards Automatic Validation of Dynamic Behavior in Pipelined Processor Specifications}, journal = {Des. Autom. Embed. Syst.}, volume = {8}, number = {2-3}, pages = {249--265}, year = {2003}, url = {https://doi.org/10.1023/B:DAEM.0000003965.80744.1c}, doi = {10.1023/B:DAEM.0000003965.80744.1C}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/MishraDT03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pc/GonzalezAMR03, author = {Daniel Gonz{\'{a}}lez and Francisco Almeida and Luz Marina Moreno and Casiano Rodr{\'{\i}}guez}, title = {Towards the automatic optimal mapping of pipeline algorithms}, journal = {Parallel Comput.}, volume = {29}, number = {2}, pages = {241--254}, year = {2003}, url = {https://doi.org/10.1016/S0167-8191(02)00216-8}, doi = {10.1016/S0167-8191(02)00216-8}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pc/GonzalezAMR03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkJL03, author = {Sangdeok Park and Hee Don Jeong and Zhong Soo Lim}, title = {Design of a mobile robot system for automatic integrity evaluation of large size reservoirs and pipelines in industrial fields}, booktitle = {2003 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Las Vegas, Nevada, USA, October 27 - November 1, 2003}, pages = {2618--2623}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/IROS.2003.1249265}, doi = {10.1109/IROS.2003.1249265}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkJL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/MishraKD03, author = {Prabhat Mishra and Arun Kejariwal and Nikil D. Dutt}, title = {Rapid Exploration of Pipelined Processors through Automatic Generation of Synthesizable {RTL} Models}, booktitle = {14th {IEEE} International Workshop on Rapid System Prototyping {(RSP} 2003), 9-11 June 2003, San Diego, CA, {USA}}, pages = {226--232}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IWRSP.2003.1207052}, doi = {10.1109/IWRSP.2003.1207052}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rsp/MishraKD03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/CornoCRS03, author = {Fulvio Corno and Gianluca Cumani and Matteo Sonza Reorda and Giovanni Squillero}, editor = {Gary B. Lamont and Hisham Haddad and George A. Papadopoulos and Brajendra Panda}, title = {Automatic Test Program Generation for Pipeline Processors}, booktitle = {Proceedings of the 2003 {ACM} Symposium on Applied Computing (SAC), March 9-12, 2003, Melbourne, FL, {USA}}, pages = {736--740}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/952532.952676}, doi = {10.1145/952532.952676}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/CornoCRS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ZijdenbosFE02, author = {Alex P. Zijdenbos and Reza Forghani and Alan C. Evans}, title = {Automatic 'Pipeline' Analysis of 3D {MRI} Data for Clinical Trials: Application to Multiple Sclerosis}, journal = {{IEEE} Trans. Medical Imaging}, volume = {21}, number = {10}, pages = {1280--1291}, year = {2002}, url = {https://doi.org/10.1109/TMI.2002.806283}, doi = {10.1109/TMI.2002.806283}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/ZijdenbosFE02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/MishraDNT02, author = {Prabhat Mishra and Nikil D. Dutt and Alexandru Nicolau and Hiroyuki Tomiyama}, title = {Automatic Verification of In-Order Execution In Microprocessors with Fragmented Pipelines and Multicycle Functional Units}, booktitle = {2002 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2002), 4-8 March 2002, Paris, France}, pages = {36--43}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/DATE.2002.998247}, doi = {10.1109/DATE.2002.998247}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/date/MishraDNT02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/MishraD02, author = {Prabhat Mishra and Nikil D. Dutt}, title = {Automatic functional test program generation for pipelined processors using model checking}, booktitle = {Seventh {IEEE} International High-Level Design Validation and Test Workshop 2002, Cannes, France, October 27-29, 2002}, pages = {99--103}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/HLDVT.2002.1224436}, doi = {10.1109/HLDVT.2002.1224436}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hldvt/MishraD02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkJL02, author = {Sangdeok Park and Hee Don Jeong and Zhong Soo Lim}, title = {Development of mobile robot systems for automatic diagnosis of boiler tubes in fossil power plants and large size pipelines}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, Lausanne, Switzerland, September 30 - October 4, 2002}, pages = {1880--1885}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/IRDS.2002.1044030}, doi = {10.1109/IRDS.2002.1044030}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkJL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/MishraTHGDN02, author = {Prabhat Mishra and Hiroyuki Tomiyama and Ashok Halambi and Peter Grun and Nikil D. Dutt and Alexandru Nicolau}, title = {Automatic Modeling and Validation of Pipeline Specifications Driven by an Architecture Description Language}, booktitle = {Proceedings of the 7th Asia and South Pacific Design Automation Conference {(ASP-DAC} 2002), and the 15th International Conference on {VLSI} Design {(VLSI} Design 2002), Bangalore, India, January 7-11, 2002}, pages = {458}, publisher = {{IEEE} Computer Society}, year = {2002}, url = {https://doi.org/10.1109/ASPDAC.2002.994963}, doi = {10.1109/ASPDAC.2002.994963}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsid/MishraTHGDN02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hldvt/MishraDN01, author = {Prabhat Mishra and Nikil D. Dutt and Alex Nicolau}, title = {Automatic validation of pipeline specifications}, booktitle = {Proceedings of the Sixth {IEEE} International High-Level Design Validation and Test Workshop 2001, Monterey, California, USA, November 7-9, 2001}, pages = {9--13}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/HLDVT.2001.972800}, doi = {10.1109/HLDVT.2001.972800}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hldvt/MishraDN01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iolts/BoseRA01, author = {Mrinal Bose and Elizabeth M. Rudnick and Magdy S. Abadir}, title = {Automatic Bias Generation Using Pipeline Instruction State Coverage for Biased Random Instruction Generation}, booktitle = {7th {IEEE} International On-Line Testing Workshop {(IOLTW} 2001), 9-11 July 2001, Taormina, Italy}, pages = {65}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/OLT.2001.937821}, doi = {10.1109/OLT.2001.937821}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iolts/BoseRA01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/FleuryDC00, author = {Martin Fleury and Andy C. Downton and Adrian F. Clark}, title = {Pipelined parallelisation of automatic face inspection}, journal = {Mach. Vis. Appl.}, volume = {12}, number = {4}, pages = {203--211}, year = {2000}, url = {https://doi.org/10.1007/s001380050140}, doi = {10.1007/S001380050140}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mva/FleuryDC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/parelec/GuillouQRM00, author = {Anne{-}Claire Guillou and Patrice Quinton and Tanguy Risset and Daniel Massicotte}, title = {Automatic Design of {VLSI} Pipelined {LMS} Architectures}, booktitle = {2000 International Conference on Parallel Computing in Electrical Engineering {(PARELEC} 2000), 27-30 August 2000, Quebec, Canada}, pages = {144--149}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/PCEE.2000.873618}, doi = {10.1109/PCEE.2000.873618}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/parelec/GuillouQRM00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/HuangW99, author = {Ing{-}Jer Huang and Li{-}Rong Wang}, title = {Automatic Simulation and Verification of Pipelined Microcontrollers}, journal = {J. Inf. Sci. Eng.}, volume = {15}, number = {2}, pages = {307--320}, year = {1999}, url = {http://www.iis.sinica.edu.tw/page/jise/1999/199903\_07.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/HuangW99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/Bjesse99, author = {Per Bjesse}, editor = {Nicolas Halbwachs and Doron A. Peled}, title = {Automatic Verification of Combinatorial and Pipelined {FFT}}, booktitle = {Computer Aided Verification, 11th International Conference, {CAV} '99, Trento, Italy, July 6-10, 1999, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {1633}, pages = {380--393}, publisher = {Springer}, year = {1999}, url = {https://doi.org/10.1007/3-540-48683-6\_33}, doi = {10.1007/3-540-48683-6\_33}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/cav/Bjesse99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/JunH96, author = {Hong Shin Jun and Sun Young Hwang}, title = {Automatic synthesis of dynamically configured pipelines supporting variable data initiation intervals}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {4}, number = {2}, pages = {279--285}, year = {1996}, url = {https://doi.org/10.1109/92.502200}, doi = {10.1109/92.502200}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/JunH96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cav/BurchD94, author = {Jerry R. Burch and David L. Dill}, editor = {David L. Dill}, title = {Automatic verification of Pipelined Microprocessor Control}, booktitle = {Computer Aided Verification, 6th International Conference, {CAV} '94, Stanford, California, USA, June 21-23, 1994, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {818}, pages = {68--80}, publisher = {Springer}, year = {1994}, url = {https://doi.org/10.1007/3-540-58179-0\_44}, doi = {10.1007/3-540-58179-0\_44}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/cav/BurchD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/BhagwatiD94, author = {Vishal Bhagwati and Srinivas Devadas}, editor = {Michael J. Lorenzetti}, title = {Automatic Verification of Pipelined Microprocessors}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {603--608}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196577}, doi = {10.1145/196244.196577}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/BhagwatiD94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JunH94, author = {Hong Shin Jun and Sun Young Hwang}, editor = {Michael J. Lorenzetti}, title = {Automatic Synthesis of Pipeline Structures with Variable Data Initiation Intervals}, booktitle = {Proceedings of the 31st Conference on Design Automation, San Diego, California, USA, June 6-10, 1994}, pages = {537--541}, publisher = {{ACM} Press}, year = {1994}, url = {https://doi.org/10.1145/196244.196528}, doi = {10.1145/196244.196528}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/JunH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurodac/Mutz94, author = {Matthias Mutz}, editor = {Jean Mermet}, title = {An automatically verified generalized multifunction arithmetic pipeline}, booktitle = {Proceedings EURO-DAC'94, European Design Automation Conference, Grenoble, France, September 19-22, 1994}, pages = {290--295}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {http://dl.acm.org/citation.cfm?id=198267}, timestamp = {Wed, 29 Mar 2017 16:45:25 +0200}, biburl = {https://dblp.org/rec/conf/eurodac/Mutz94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/IwashitaKNH94, author = {Hiroaki Iwashita and Satoshi Kowatari and Tsuneo Nakata and Fumiyasu Hirose}, editor = {Jochen A. G. Jess and Richard L. Rudell}, title = {Automatic test program generation for pipelined processors}, booktitle = {Proceedings of the 1994 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1994, San Jose, California, USA, November 6-10, 1994}, pages = {580--583}, publisher = {{IEEE} Computer Society / {ACM}}, year = {1994}, url = {https://doi.org/10.1109/ICCAD.1994.629879}, doi = {10.1109/ICCAD.1994.629879}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/IwashitaKNH94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micro/HuangD93, author = {Ing{-}Jer Huang and Alvin M. Despain}, editor = {Andrew Wolfe and William H. Mangione{-}Smith}, title = {An extended classification of inter-instruction dependency and its application in automatic synthesis of pipelined processors}, booktitle = {Proceedings of the 26th Annual International Symposium on Microarchitecture, Austin, Texas, USA, November 1993}, pages = {236--246}, publisher = {{ACM} / {IEEE} Computer Society}, year = {1993}, url = {https://doi.org/10.1109/MICRO.1993.282759}, doi = {10.1109/MICRO.1993.282759}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/micro/HuangD93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip10-2/JostWPR92, author = {A. G. Jost and L. F. Wang and S. Periyalwar and William Robertson}, editor = {Gabriele Saucier and Jacques Trilhe}, title = {Automatic Layout Synthesis of Pipelined Multipliers for Systolic Arrays}, booktitle = {Synthesis for Control Dominated Circuits, Selected papers from the {IFIP} {WG10.2/WG10.5} Workshops, Grenoble, France, April and September, 1992}, series = {{IFIP} Transactions}, volume = {{A-22}}, pages = {385--398}, publisher = {North-Holland}, year = {1992}, timestamp = {Thu, 09 Jun 2016 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ifip10-2/JostWPR92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimKP91, author = {James J. Kim and Fadi J. Kurdahi and Nohbyung Park}, title = {Automatic Synthesis of Time-Stationary Controllers for Pipelined Data Paths}, booktitle = {1991 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 1993, Santa Clara, CA, USA, November 11-14, 1991. Digest of Technical Papers}, pages = {30--33}, publisher = {{IEEE} Computer Society}, year = {1991}, url = {https://doi.org/10.1109/ICCAD.1991.185183}, doi = {10.1109/ICCAD.1991.185183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimKP91.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/McNallC90, author = {Kristen N. McNall and Albert E. Casavant}, editor = {Richard C. Smith}, title = {Automatic Operator Configuration in the Synthesis of Pipelined Architectures}, booktitle = {Proceedings of the 27th {ACM/IEEE} Design Automation Conference. Orlando, Florida, USA, June 24-28, 1990}, pages = {174--179}, publisher = {{IEEE} Computer Society Press}, year = {1990}, url = {https://doi.org/10.1145/123186.123252}, doi = {10.1145/123186.123252}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/McNallC90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/WangP90, author = {Ching{-}Yi Wang and Keshab K. Parhi}, title = {Automatic generation of control circuits in pipelined {DSP} architectures}, booktitle = {Proceedings of the 1990 {IEEE} International Conference on Computer Design: {VLSI} in Computers and Processors, {ICCD} 1990, Cambridge, MA, USA, 17-19 September, 1990}, pages = {324--327}, publisher = {{IEEE} Computer Society}, year = {1990}, url = {https://doi.org/10.1109/ICCD.1990.130240}, doi = {10.1109/ICCD.1990.130240}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/WangP90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/Raj89, author = {Vijay K. Raj}, title = {{DAGAR:} an automatic pipelined microarchitecture synthesis system}, booktitle = {Computer Design: {VLSI} in Computers and Processors, {ICCD} 1989. Proceedings., 1989 {IEEE} International Conference on, Cambridge, MA, USA, October 2-4, 1989}, pages = {428--431}, publisher = {{IEEE}}, year = {1989}, url = {https://doi.org/10.1109/ICCD.1989.63402}, doi = {10.1109/ICCD.1989.63402}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/iccd/Raj89.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/YodaOTE88, author = {Haruo Yoda and Yozo Ohuchi and Yuzo Taniguchi and Masakazu Ejiri}, title = {An Automatic Wafer Inspection System Using Pipelined Image Processing Techniques}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {10}, number = {1}, pages = {4--16}, year = {1988}, url = {https://doi.org/10.1109/34.3863}, doi = {10.1109/34.3863}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/YodaOTE88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.