default search action
Search dblp for Publications
export results for "Zheng-Chi Lu"
@article{DBLP:journals/access/FuZAARELGLCY24, author = {Guohua Fu and Jianwei Zheng and Islam Abudayyeh and Chizobam Ani and Cyril Rakovski and Louis Ehwerhemuepha and Hongxia Lu and Yongjuan Guo and Shenglin Liu and Huimin Chu and Bing Yang}, title = {CardioGPT: An {ECG} Interpretation Generation Model}, journal = {{IEEE} Access}, volume = {12}, pages = {50254--50264}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3384349}, doi = {10.1109/ACCESS.2024.3384349}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/FuZAARELGLCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangZLLLZCWN24, author = {Jiao Wang and Yi Zheng and Jun Luo and Timothy Tin{-}Yan Lee and Pengfei Li and Ying{-}Qi Zhang and Chung{-}Wai James Cheung and Duo Wai{-}Chi Wong and Ming Ni}, title = {Applications of Deep Learning Models on the Medical Images of Osteonecrosis of the Femoral Head {(ONFH):} {A} Comprehensive Review}, journal = {{IEEE} Access}, volume = {12}, pages = {57613--57632}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3389669}, doi = {10.1109/ACCESS.2024.3389669}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangZLLLZCWN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/TangWLLZYHZ24, author = {Lu Tang and Xiangrui Wang and Pengfei Lian and Zhiyuan Lu and Qibin Zheng and Xilin Yang and Qianyuan Hu and Hui Zheng}, title = {Wearable Sensor-Based Multi-modal Fusion Network for Automated Gait Dysfunction Assessment in Children with Cerebral Palsy}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {7}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300845}, doi = {10.1002/AISY.202300845}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/TangWLLZYHZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/ZhengBCYS24, author = {Litao Zheng and Giorgio Battistelli and Luigi Chisci and Feng Yang and Lihong Shi}, title = {Distributed state estimation for heterogeneous sensor networks}, journal = {Autom.}, volume = {169}, pages = {111839}, year = {2024}, url = {https://doi.org/10.1016/j.automatica.2024.111839}, doi = {10.1016/J.AUTOMATICA.2024.111839}, timestamp = {Sat, 31 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/ZhengBCYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bpmj/LiZZQL24, author = {Xing Li and Guiyang Zhang and Fangyuan Zheng and Yong Qi and Chang Lu}, title = {Can high-speed railway promote enterprise ambidextrous innovation: a quasi-natural experiment in China}, journal = {Bus. Process. Manag. J.}, volume = {30}, number = {5}, pages = {1667--1695}, year = {2024}, url = {https://doi.org/10.1108/BPMJ-11-2023-0855}, doi = {10.1108/BPMJ-11-2023-0855}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bpmj/LiZZQL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/MengLLCLZYCLW24, author = {Xiaoxu Meng and Xi Liang and Chao Liu and Nanhua Cheng and Shan Lu and Kexin Zhang and Yuanchen Yin and Tong Cheng and Chunming Lu and Zhengyan Wang}, title = {Associations between screen media use and young children's inhibitory control: Evidence from behavioral and fNIRS study}, journal = {Comput. Hum. Behav.}, volume = {152}, pages = {108041}, year = {2024}, url = {https://doi.org/10.1016/j.chb.2023.108041}, doi = {10.1016/J.CHB.2023.108041}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/MengLLCLZYCLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/WuYLRLRYG24, author = {Rong Wu and Zheng Yan and Yuan Luo and Pengyu Ren and Yu Liu and Fengjiao Ran and Xiantao Yang and Manting Gu}, title = {Mediation of social support in the relationship between mobile phone dependence and childhood physical maltreatment among left-behind and returned migrant middle school students: {A} multi-group structural equation modeling}, journal = {Comput. Hum. Behav.}, volume = {157}, pages = {108256}, year = {2024}, url = {https://doi.org/10.1016/j.chb.2024.108256}, doi = {10.1016/J.CHB.2024.108256}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/WuYLRLRYG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LuWCFLWHYGH24, author = {Qi{-}Hang Lu and Fang{-}Xiang Wang and Wei Chen and Hai{-}Yang Fu and Yin{-}Jie Lu and Shuang Wang and De{-}Yong He and Zhen{-}Qiang Yin and Guang{-}Can Guo and Zheng{-}Fu Han}, title = {Quantum key distribution over a mimicked dynamic-scattering channel}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {4}, year = {2024}, url = {https://doi.org/10.1007/s11432-023-3945-x}, doi = {10.1007/S11432-023-3945-X}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/LuWCFLWHYGH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/QiuYZZXLLBCCCCDDDDFFGGHHHHHHHHIK24, author = {Hao Qiu and Zhihao Yu and Tiange Zhao and Qi Zhang and Mingsheng Xu and Peifeng Li and Taotao Li and Wenzhong Bao and Yang Chai and Shula Chen and Yiqi Chen and Hui{-}Ming Cheng and Daoxin Dai and Zengfeng Di and Zhuo Dong and Xidong Duan and Yuhan Feng and Yu Fu and Jingshu Guo and Pengwen Guo and Yue Hao and Jun He and Xiao He and Jingyi Hu and Weida Hu and Zehua Hu and Xinyue Huang and Ziyang Huang and Ali Imran and Ziqiang Kong and Jia Li and Qian Li and Weisheng Li and Lei Liao and Bilu Liu and Can Liu and Chunsen Liu and Guanyu Liu and Kaihui Liu and Liwei Liu and Sheng Liu and Yuan Liu and Donglin Lu and Likuan Ma and Feng Miao and Zhenhua Ni and Jing Ning and Anlian Pan and Tian{-}Ling Ren and Haowen Shu and Litao Sun and Yue Sun and Quanyang Tao and Ziao Tian and Dong Wang and Hao Wang and Haomin Wang and Jialong Wang and Junyong Wang and Wenhui Wang and Xingjun Wang and Yeliang Wang and Yuwei Wang and Zhenyu Wang and Yao Wen and Haidi Wu and Hongzhao Wu and Jiangbin Wu and Yanqing Wu and Longfei Xia and Baixu Xiang and Luwen Xing and Qihua Xiong and Xiong Xiong and Jeffrey Xu and Tao Xu and Yang Xu and Liu Yang and Yi Yang and Yuekun Yang and Lei Ye and Yu Ye and Bin Yu and Ting Yu and Hui Zeng and Guangyu Zhang and Hongyun Zhang and Jincheng Zhang and Kai Zhang and Tao Zhang and Xinbo Zhang and Yanfeng Zhang and Chunsong Zhao and Yuda Zhao and Ting Zheng and Peng Zhou and Shaohua Kevin Zhou and Yuxuan Zhu and Deren Yang and Yi Shi and Han Wang and Xinran Wang}, title = {Two-dimensional materials for future information technology: status and prospects}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {6}, year = {2024}, url = {https://doi.org/10.1007/s11432-024-4033-8}, doi = {10.1007/S11432-024-4033-8}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/QiuYZZXLLBCCCCDDDDFFGGHHHHHHHHIK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/YangLXZZTGLCXH24, author = {Qiyu Yang and Zheng{-}Dong Luo and Fei Xiao and Junpeng Zhang and Dawei Zhang and Dongxin Tan and Xuetao Gan and Yan Liu and Zhufei Chu and Yinshui Xia and Genquan Han}, title = {Solid-state non-volatile memories based on vdW heterostructure-based vertical-transport ferroelectric field-effect transistors}, journal = {Sci. China Inf. Sci.}, volume = {67}, number = {6}, year = {2024}, url = {https://doi.org/10.1007/s11432-024-4004-9}, doi = {10.1007/S11432-024-4004-9}, timestamp = {Thu, 13 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/YangLXZZTGLCXH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/LuZOZ24, author = {Peng Lu and Zhuo Zhang and Chiamaka Henrietta Onyebuchi and Lifan Zheng}, title = {Agent-based modeling of high-rise building fires reveals self-rescue behaviors and better fire protection designs}, journal = {Eng. Appl. Artif. Intell.}, volume = {127}, number = {Part {B}}, pages = {107401}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2023.107401}, doi = {10.1016/J.ENGAPPAI.2023.107401}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/LuZOZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ZhangLLB24, author = {Zibo Zhang and Zheng Lu and Jiandong Liu and Ruibin Bai}, title = {Medical chief complaint classification with hierarchical structure of label descriptions}, journal = {Expert Syst. Appl.}, volume = {252}, pages = {123938}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2024.123938}, doi = {10.1016/J.ESWA.2024.123938}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/ZhangLLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gsis/ZhengLLCY24, author = {Liang Zheng and Jianzhong Lu and Hai Liu and Xiaoling Chen and Herv{\'{e}} Y{\'{e}}sou}, title = {Evidence of vegetation greening benefitting from the afforestation initiatives in China}, journal = {Geo spatial Inf. Sci.}, volume = {27}, number = {3}, pages = {683--702}, year = {2024}, url = {https://doi.org/10.1080/10095020.2023.2238782}, doi = {10.1080/10095020.2023.2238782}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gsis/ZhengLLCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/LiuYCYLLFZL24, author = {Zhi Liu and Jiaxi Yang and Kui Chen and Tao Yang and Xiaochen Li and Bingjie Lu and Dianzheng Fu and Zeyu Zheng and Changyong Luo}, title = {{TCM-KDIF:} An Information Interaction Framework Driven by Knowledge-Data and Its Clinical Application in Traditional Chinese Medicine}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {11}, pages = {20002--20014}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3368029}, doi = {10.1109/JIOT.2024.3368029}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/LiuYCYLLFZL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/XuZLJX24, author = {Chi Xu and Xinyue Zheng and Jingjing Luo and Linyi Jin and Ruilin Xu}, title = {Human capital evaluation of Chinese forestry listed companies based on the improvement of organizational resilience}, journal = {J. Comput. Methods Sci. Eng.}, volume = {24}, number = {4-5}, pages = {2409--2428}, year = {2024}, url = {https://doi.org/10.3233/JCM-247464}, doi = {10.3233/JCM-247464}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcmse/XuZLJX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/TangCHBZCLZTWMYYKFCTSZ24, author = {Wei Tang and Sung{-}Gun Cho and Tim Tri Hoang and Jacob Botimer and Wei Qiang Zhu and Ching{-}Chi Chang and Cheng{-}Hsun Lu and Junkang Zhu and Yaoyu Tao and Tianyu Wei and Naomi Kavi Motwani and Mani Yalamanchi and Ramya Yarlagadda and Sirisha Rani Kale and Mark Flanigan and Allen Chan and Thungoc Tran and Sergey Y. Shumarayev and Zhengya Zhang}, title = {Arvon: {A} Heterogeneous System-in-Package Integrating {FPGA} and {DSP} Chiplets for Versatile Workload Acceleration}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1235--1245}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3343457}, doi = {10.1109/JSSC.2023.3343457}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/TangCHBZCLZTWMYYKFCTSZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/ZhengHLSLFJWZMZTHJCNLL24, author = {Shuxin Zheng and Jiyan He and Chang Liu and Yu Shi and Ziheng Lu and Weitao Feng and Fusong Ju and Jiaxi Wang and Jianwei Zhu and Yaosen Min and He Zhang and Shidi Tang and Hongxia Hao and Peiran Jin and Chi Chen and Frank No{\'{e}} and Haiguang Liu and Tie{-}Yan Liu}, title = {Predicting equilibrium distributions for molecular systems with deep learning}, journal = {Nat. Mac. Intell.}, volume = {6}, number = {5}, pages = {558--567}, year = {2024}, url = {https://doi.org/10.1038/s42256-024-00837-3}, doi = {10.1038/S42256-024-00837-3}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/ZhengHLSLFJWZMZTHJCNLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/quantum/WenWCXLQHFWL24, author = {Jingwei Wen and Zhengan Wang and Chitong Chen and Junxiang Xiao and Hang Li and Ling Qian and Zhiguo Huang and Heng Fan and Shijie Wei and Guilu Long}, title = {A full circuit-based quantum algorithm for excited-states in quantum chemistry}, journal = {Quantum}, volume = {8}, pages = {1219}, year = {2024}, url = {https://doi.org/10.22331/q-2024-01-04-1219}, doi = {10.22331/Q-2024-01-04-1219}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/quantum/WenWCXLQHFWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MuTTLZDSLG24, author = {Ke Mu and Cheng Tang and Luigi Tosi and Yanfang Li and Xiangyang Zheng and Sandra Donnici and Jixiang Sun and Jun Liu and Xuelu Gao}, title = {Coastline Monitoring and Prediction Based on Long-Term Remote Sensing Data - {A} Case Study of the Eastern Coast of Laizhou Bay, China}, journal = {Remote. Sens.}, volume = {16}, number = {1}, pages = {185}, year = {2024}, url = {https://doi.org/10.3390/rs16010185}, doi = {10.3390/RS16010185}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/MuTTLZDSLG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/TianHYQLH24, author = {Zengrui Tian and Da Huo and Kunpeng Yi and Jialiang Que and Zhenguang Lu and Jianhua Hou}, title = {Evaluation of Suitable Habitats for Birds Based on MaxEnt and Google Earth Engine - {A} Case Study of Baer's Pochard (Aythya baeri) in Baiyangdian, China}, journal = {Remote. Sens.}, volume = {16}, number = {1}, pages = {64}, year = {2024}, url = {https://doi.org/10.3390/rs16010064}, doi = {10.3390/RS16010064}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/TianHYQLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/XuZFXCZWZLJ24, author = {Chi Xu and Zhijie Zhang and Zhenghui Fu and Shenqing Xiong and Hao Chen and Wanchang Zhang and Shuhang Wang and Donghui Zhang and Heng Lu and Xia Jiang}, title = {Impacts of Climatic Fluctuations and Vegetation Greening on Regional Hydrological Processes: {A} Case Study in the Xiaoxinganling Mountains-Sanjiang Plain Region, Northeastern China}, journal = {Remote. Sens.}, volume = {16}, number = {15}, pages = {2709}, year = {2024}, url = {https://doi.org/10.3390/rs16152709}, doi = {10.3390/RS16152709}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/XuZFXCZWZLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YuLWLXWDL24, author = {Wenlong Yu and Weile Li and Zhanglei Wu and Huiyan Lu and Zhengxuan Xu and Dong Wang and Xiujun Dong and Pengfei Li}, title = {Integrated Remote Sensing Investigation of Suspected Landslides: {A} Case Study of the Genie Slope on the Tibetan Plateau, China}, journal = {Remote. Sens.}, volume = {16}, number = {13}, pages = {2412}, year = {2024}, url = {https://doi.org/10.3390/rs16132412}, doi = {10.3390/RS16132412}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YuLWLXWDL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LuJWY24, author = {Zheng Lu and Hao Jia and Ding Wang and Haitao Yu}, title = {{MEMS} Resonant Beam with Outstanding Uniformity of Sensitivity and Temperature Distribution for Accurate Gas Sensing and On-Chip {TGA}}, journal = {Sensors}, volume = {24}, number = {8}, pages = {2495}, year = {2024}, url = {https://doi.org/10.3390/s24082495}, doi = {10.3390/S24082495}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LuJWY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhengLYLX24, author = {Lu Zheng and Wenhan Long and Junchao Yi and Lu Liu and Ke Xu}, title = {Enhanced Knowledge Distillation for Advanced Recognition of Chinese Herbal Medicine}, journal = {Sensors}, volume = {24}, number = {5}, pages = {1559}, year = {2024}, url = {https://doi.org/10.3390/s24051559}, doi = {10.3390/S24051559}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhengLYLX24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/YoshinoCCKLHMFLZFZKLJPGHDGHSZLSDB24, author = {Koichiro Yoshino and Yun{-}Nung Chen and Paul A. Crook and Satwik Kottur and Jinchao Li and Behnam Hedayatnia and Seungwhan Moon and Zhengcong Fei and Zekang Li and Jinchao Zhang and Yang Feng and Jie Zhou and Seokhwan Kim and Yang Liu and Di Jin and Alexandros Papangelis and Karthik Gopalakrishnan and Dilek Hakkani{-}Tur and Babak Damavandi and Alborz Geramifard and Chiori Hori and Ankit Shah and Chen Zhang and Haizhou Li and Jo{\~{a}}o Sedoc and Luis F. D'Haro and Rafael E. Banchs and Alexander Rudnicky}, title = {Overview of the Tenth Dialog System Technology Challenge: {DSTC10}}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {32}, pages = {765--778}, year = {2024}, url = {https://doi.org/10.1109/TASLP.2023.3293030}, doi = {10.1109/TASLP.2023.3293030}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taslp/YoshinoCCKLHMFLZFZKLJPGHDGHSZLSDB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LiLCLWYMF24, author = {Shengxi Li and Xuelong Li and Leonardo Chiariglione and Jiebo Luo and Wenwu Wang and Zhengyuan Yang and Danilo P. Mandic and Hamido Fujita}, title = {Introduction to the Special Issue on AI-Generated Content for Multimedia}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {34}, number = {8}, pages = {6809--6813}, year = {2024}, url = {https://doi.org/10.1109/TCSVT.2024.3427488}, doi = {10.1109/TCSVT.2024.3427488}, timestamp = {Sat, 24 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LiLCLWYMF24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ZhangHCLZCZYSW24, author = {Lu Zhang and Fengming Hui and Xiao Cheng and Gang Li and Xiaopo Zheng and Zhaohui Chi and Di Zhu and Hang Yu and Ling Sun and Shengli Wu}, title = {Enhancing the Quality of {FY-3D} {MERSI-II} {TIR} Images: An Application to Improve Sea Ice Lead Detection}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--16}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2024.3415172}, doi = {10.1109/TGRS.2024.3415172}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/ZhangHCLZCZYSW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LuoCJZLFZY24, author = {Jingbo Luo and Si{-}Lu Chen and Dexin Jiang and Tianjiang Zheng and Huamin Li and Zaojun Fang and Chi Zhang and Guilin Yang}, title = {Efficient Kinematic Calibration for Parallel Manipulators Based on Unit Dual Quaternion}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {4}, pages = {6791--6801}, year = {2024}, url = {https://doi.org/10.1109/TII.2024.3353914}, doi = {10.1109/TII.2024.3353914}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/LuoCJZLFZY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/CaoLJCZLL24, author = {Hangcheng Cao and Daibo Liu and Hongbo Jiang and Chao Cai and Tianyue Zheng and John C. S. Lui and Jun Luo}, title = {HandKey: Knocking-Triggered Robust Vibration Signature for Keyless Unlocking}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {23}, number = {1}, pages = {520--534}, year = {2024}, url = {https://doi.org/10.1109/TMC.2022.3216868}, doi = {10.1109/TMC.2022.3216868}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/CaoLJCZLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/ShiBCYZ24, author = {Lihong Shi and Giorgio Battistelli and Luigi Chisci and Feng Yang and Litao Zheng}, title = {Distributed Adaptive Bernoulli Filtering for Multi-Sensor Target Tracking Under Uncertainty}, journal = {{IEEE} Trans. Signal Process.}, volume = {72}, pages = {3242--3257}, year = {2024}, url = {https://doi.org/10.1109/TSP.2024.3422406}, doi = {10.1109/TSP.2024.3422406}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/ShiBCYZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/0005DFWLLYZH0024, author = {Chi Chen and Yiyang Du and Zheng Fang and Ziyue Wang and Fuwen Luo and Peng Li and Ming Yan and Ji Zhang and Fei Huang and Maosong Sun and Yang Liu}, editor = {Lun{-}Wei Ku and Andre Martins and Vivek Srikumar}, title = {Model Composition for Multimodal Large Language Models}, booktitle = {Proceedings of the 62nd Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2024, Bangkok, Thailand, August 11-16, 2024}, pages = {11246--11262}, publisher = {Association for Computational Linguistics}, year = {2024}, url = {https://aclanthology.org/2024.acl-long.606}, timestamp = {Mon, 26 Aug 2024 16:40:51 +0200}, biburl = {https://dblp.org/rec/conf/acl/0005DFWLLYZH0024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/DengCHLL24, author = {Yue Deng and Zheng Chen and Changyang He and Zhicong Lu and Bo Li}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Persuasion or Insulting? Unpacking Discursive Strategies of Gender Debate in Everyday Feminism in China}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {881:1--881:19}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642194}, doi = {10.1145/3613904.3642194}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/DengCHLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LuZZXG24, author = {Tao Lu and Hongxiao Zheng and Tianying Zhang and Xuhai Orson Xu and Anhong Guo}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {InteractOut: Leveraging Interaction Proxies as Input Manipulation Strategies for Reducing Smartphone Overuse}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {245:1--245:19}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642317}, doi = {10.1145/3613904.3642317}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LuZZXG24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/WangZZYLWGLS24, author = {Chongyang Wang and Siqi Zheng and Lingxiao Zhong and Chun Yu and Chen Liang and Yuntao Wang and Yuan Gao and Tin Lun Lam and Yuanchun Shi}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {PepperPose: Full-Body Pose Estimation with a Companion Robot}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {586:1--586:16}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642231}, doi = {10.1145/3613904.3642231}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/WangZZYLWGLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ChongLYNLWZLY24, author = {Ruining Chong and Luming Lu and Liner Yang and Jinran Nie and Zhenghao Liu and Shuo Wang and Shuhan Zhou and Yaoxin Li and Erhong Yang}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {{MCTS:} {A} Multi-Reference Chinese Text Simplification Dataset}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {11111--11122}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.969}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/ChongLYNLWZLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/XieZLJYCY24, author = {Yuankun Xie and Jingjing Zhou and Xiaolin Lu and Zhenghao Jiang and Yuxin Yang and Haonan Cheng and Long Ye}, title = {{FSD:} An Initial Chinese Dataset for Fake Song Detection}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2024, Seoul, Republic of Korea, April 14-19, 2024}, pages = {4605--4609}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICASSP48485.2024.10446271}, doi = {10.1109/ICASSP48485.2024.10446271}, timestamp = {Mon, 05 Aug 2024 15:26:37 +0200}, biburl = {https://dblp.org/rec/conf/icassp/XieZLJYCY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/LuanKZNZQ24, author = {Zheng Luan and Xiangqi Kong and Shuimu Zeng and Yuke Yao and Yaxuan Zhang and Xuerui Qiu}, title = {Using spiking neural networks to assist fine art and philology study: to classify styles of Chinese calligraphy with minimal computing power}, booktitle = {The Second Tiny Papers Track at {ICLR} 2024, Tiny Papers @ {ICLR} 2024, Vienna, Austria, May 11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=1715SJkbj7}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/LuanKZNZQ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ZhengCHJOY24, author = {Kaiping Zheng and Horng Ruey Chua and Melanie Herschel and H. V. Jagadish and Beng Chin Ooi and James Wei Luen Yip}, title = {Exploiting Negative Samples: {A} Catalyst for Cohort Discovery in Healthcare Analytics}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=k1J2GbamLi}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/ZhengCHJOY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/ChienSBYCLLCZGRDHBL24, author = {Wei{-}Chih Chien and C. L. Sung and Robert L. Bruce and C. W. Yeh and H. Y. Cheng and Z. L. Liu and E. K. Lai and C. W. Cheng and J. X. Zheng and A. Grun and A. Ray and D. Daudelin and H. Y. Ho and Matthew BrightSky and H. L. Lung}, title = {A Novel Program-verify Free and Low Drift Multilevel Operation on Cross-point {OTS-PCM} for In-Memory Computing Application}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536964}, doi = {10.1109/IMW59701.2024.10536964}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/ChienSBYCLLCZGRDHBL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/PalmieriTCZYZCHSLJBJGTDBSNXGLTTP24, author = {Andrea Palmieri and Mahdi Tavakoli and Chi Ching and Wenkai Zheng and Shi You and Xiaodong Zhang and Davide Cornigli and Michael Haverty and Navneet Singh and Luca Larcher and Monika Jamieson and Ajay Bhatnagar and Alexander Jansen and Max Gage and Jianshe Tang and Sameer Deshpande and Brian Brown and Arun Srivatsa and Mehul Naik and Bo Xie and Jerry Gelatos and Joung Joo Lee and Xianmin Tang and Gaurav Thareja and Milan Pesic}, title = {Characterization and Multiscale Modeling of {TDDB} in State-of-the-art {BEOL}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {10}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529483}, doi = {10.1109/IRPS48228.2024.10529483}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/PalmieriTCZYZCHSLJBJGTDBSNXGLTTP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LuoYLMXFQZWZCP24, author = {Xiongshi Luo and Xuewei You and Zhenghao Li and Hamed Mosalam and Dongfan Xu and Taiyang Fan and Hongchang Qiao and Wentao Zhou and Hongzhi Wu and Liping Zhong and Patrick Yin Chiang and Quan Pan}, title = {7.5 {A} 224Gb/s/wire Single-Ended {PAM-4} Transceiver Front-End with 29dB Equalization for 800GbE/1.6TbE}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {132--134}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454534}, doi = {10.1109/ISSCC49657.2024.10454534}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LuoYLMXFQZWZCP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mlsys/ZhaoLZYC0CK0K24, author = {Yilong Zhao and Chien{-}Yu Lin and Kan Zhu and Zihao Ye and Lequn Chen and Size Zheng and Luis Ceze and Arvind Krishnamurthy and Tianqi Chen and Baris Kasikci}, editor = {Phillip B. Gibbons and Gennady Pekhimenko and Christopher De Sa}, title = {Atom: Low-Bit Quantization for Efficient and Accurate {LLM} Serving}, booktitle = {Proceedings of the Seventh Annual Conference on Machine Learning and Systems, MLSys 2024, Santa Clara, CA, USA, May 13-16, 2024}, publisher = {mlsys.org}, year = {2024}, url = {https://proceedings.mlsys.org/paper\_files/paper/2024/hash/5edb57c05c81d04beb716ef1d542fe9e-Abstract-Conference.html}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mlsys/ZhaoLZYC0CK0K24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/ChenCLZCTD24, author = {Jingyu Chen and Kunrui Cao and Lu Lv and Beixiong Zheng and Haolian Chi and Siwei Tang and Danyu Diao}, title = {On the Reliability and Security Enhancements of Double-RIS Enabled {WPC} System with Jamming}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2024, Dubai, United Arab Emirates, April 21-24, 2024}, pages = {1--6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WCNC57260.2024.10570787}, doi = {10.1109/WCNC57260.2024.10570787}, timestamp = {Mon, 15 Jul 2024 15:18:26 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/ChenCLZCTD24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-1, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14447}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8079-6}, doi = {10.1007/978-981-99-8079-6}, isbn = {978-981-99-8078-9}, timestamp = {Fri, 17 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-10, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {X}}, series = {Communications in Computer and Information Science}, volume = {1964}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8141-0}, doi = {10.1007/978-981-99-8141-0}, isbn = {978-981-99-8140-3}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-11, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {XI}}, series = {Communications in Computer and Information Science}, volume = {1965}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8145-8}, doi = {10.1007/978-981-99-8145-8}, isbn = {978-981-99-8144-1}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-12, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {XII}}, series = {Communications in Computer and Information Science}, volume = {1966}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8148-9}, doi = {10.1007/978-981-99-8148-9}, isbn = {978-981-99-8147-2}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-13, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {XIII}}, series = {Communications in Computer and Information Science}, volume = {1967}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8178-6}, doi = {10.1007/978-981-99-8178-6}, isbn = {978-981-99-8177-9}, timestamp = {Mon, 18 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-14, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {XIV}}, series = {Communications in Computer and Information Science}, volume = {1968}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8181-6}, doi = {10.1007/978-981-99-8181-6}, isbn = {978-981-99-8180-9}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-15, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {XV}}, series = {Communications in Computer and Information Science}, volume = {1969}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8184-7}, doi = {10.1007/978-981-99-8184-7}, isbn = {978-981-99-8183-0}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-2, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {14448}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8082-6}, doi = {10.1007/978-981-99-8082-6}, isbn = {978-981-99-8081-9}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-3, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {14449}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8067-3}, doi = {10.1007/978-981-99-8067-3}, isbn = {978-981-99-8066-6}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-3.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-4, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {14450}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8070-3}, doi = {10.1007/978-981-99-8070-3}, isbn = {978-981-99-8069-7}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-4.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-5, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {14451}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8073-4}, doi = {10.1007/978-981-99-8073-4}, isbn = {978-981-99-8072-7}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-5.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-6, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {14452}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8076-5}, doi = {10.1007/978-981-99-8076-5}, isbn = {978-981-99-8075-8}, timestamp = {Fri, 17 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-6.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-7, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {VII}}, series = {Communications in Computer and Information Science}, volume = {1961}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8126-7}, doi = {10.1007/978-981-99-8126-7}, isbn = {978-981-99-8125-0}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-7.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-8, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {VIII}}, series = {Communications in Computer and Information Science}, volume = {1962}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8132-8}, doi = {10.1007/978-981-99-8132-8}, isbn = {978-981-99-8131-1}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-8.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iconip/2023-9, editor = {Biao Luo and Long Cheng and Zheng{-}Guang Wu and Hongyi Li and Chaojie Li}, title = {Neural Information Processing - 30th International Conference, {ICONIP} 2023, Changsha, China, November 20-23, 2023, Proceedings, Part {IX}}, series = {Communications in Computer and Information Science}, volume = {1963}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-981-99-8138-0}, doi = {10.1007/978-981-99-8138-0}, isbn = {978-981-99-8137-3}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iconip/2023-9.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-11944, author = {Ge Zhang and Xinrun Du and Bei Chen and Yiming Liang and Tongxu Luo and Tianyu Zheng and Kang Zhu and Yuyang Cheng and Chunpu Xu and Shuyue Guo and Haoran Zhang and Xingwei Qu and Junjie Wang and Ruibin Yuan and Yizhi Li and Zekun Wang and Yudong Liu and Yu{-}Hsuan Tsai and Fengji Zhang and Chenghua Lin and Wenhao Huang and Wenhu Chen and Jie Fu}, title = {{CMMMU:} {A} Chinese Massive Multi-discipline Multimodal Understanding Benchmark}, journal = {CoRR}, volume = {abs/2401.11944}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.11944}, doi = {10.48550/ARXIV.2401.11944}, eprinttype = {arXiv}, eprint = {2401.11944}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-11944.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-10787, author = {Xuan Shen and Zhenglun Kong and Changdi Yang and Zhaoyang Han and Lei Lu and Peiyan Dong and Cheng Lyu and Chih{-}hsiang Li and Xuehang Guo and Zhihao Shu and Wei Niu and Miriam Leeser and Pu Zhao and Yanzhi Wang}, title = {EdgeQAT: Entropy and Distribution Guided Quantization-Aware Training for the Acceleration of Lightweight LLMs on the Edge}, journal = {CoRR}, volume = {abs/2402.10787}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.10787}, doi = {10.48550/ARXIV.2402.10787}, eprinttype = {arXiv}, eprint = {2402.10787}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-10787.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-12750, author = {Chi Chen and Yiyang Du and Zheng Fang and Ziyue Wang and Fuwen Luo and Peng Li and Ming Yan and Ji Zhang and Fei Huang and Maosong Sun and Yang Liu}, title = {Model Composition for Multimodal Large Language Models}, journal = {CoRR}, volume = {abs/2402.12750}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.12750}, doi = {10.48550/ARXIV.2402.12750}, eprinttype = {arXiv}, eprint = {2402.12750}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-12750.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-13435, author = {Jianqiang Shen and Yuchin Juan and Shaobo Zhang and Ping Liu and Wen Pu and Sriram Vasudevan and Qingquan Song and Fedor Borisyuk and Kay Qianqi Shen and Haichao Wei and Yunxiang Ren and Yeou S. Chiou and Sicong Kuang and Yuan Yin and Ben Zheng and Muchen Wu and Shaghayegh Gharghabi and Xiaoqing Wang and Huichao Xue and Qi Guo and Daniel Hewlett and Luke Simon and Liangjie Hong and Wenjing Zhang}, title = {Learning to Retrieve for Job Matching}, journal = {CoRR}, volume = {abs/2402.13435}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.13435}, doi = {10.48550/ARXIV.2402.13435}, eprinttype = {arXiv}, eprint = {2402.13435}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-13435.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-19248, author = {Zhikun Xu and Yinghui Li and Ruixue Ding and Xinyu Wang and Boli Chen and Yong Jiang and Hai{-}Tao Zheng and Wenlian Lu and Pengjun Xie and Fei Huang}, title = {Let LLMs Take on the Latest Challenges! {A} Chinese Dynamic Question Answering Benchmark}, journal = {CoRR}, volume = {abs/2402.19248}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.19248}, doi = {10.48550/ARXIV.2402.19248}, eprinttype = {arXiv}, eprint = {2402.19248}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-19248.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09093, author = {Haohan Weng and Danqing Huang and Yu Qiao and Zheng Hu and Chin{-}Yew Lin and Tong Zhang and C. L. Philip Chen}, title = {Desigen: {A} Pipeline for Controllable Design Template Generation}, journal = {CoRR}, volume = {abs/2403.09093}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09093}, doi = {10.48550/ARXIV.2403.09093}, eprinttype = {arXiv}, eprint = {2403.09093}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09093.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-15985, author = {Yue Deng and Zheng Chen and Changyang He and Zhicong Lu and Bo Li}, title = {Persuasion or Insulting? Unpacking Discursive Strategies of Gender Debate in Everyday Feminism in China}, journal = {CoRR}, volume = {abs/2403.15985}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.15985}, doi = {10.48550/ARXIV.2403.15985}, eprinttype = {arXiv}, eprint = {2403.15985}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-15985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-04167, author = {Xinrun Du and Zhouliang Yu and Songyang Gao and Ding Pan and Yuyang Cheng and Ziyang Ma and Ruibin Yuan and Xingwei Qu and Jiaheng Liu and Tianyu Zheng and Xinchen Luo and Guorui Zhou and Binhang Yuan and Wenhu Chen and Jie Fu and Ge Zhang}, title = {Chinese Tiny {LLM:} Pretraining a Chinese-Centric Large Language Model}, journal = {CoRR}, volume = {abs/2404.04167}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.04167}, doi = {10.48550/ARXIV.2404.04167}, eprinttype = {arXiv}, eprint = {2404.04167}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-04167.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-09790, author = {Zheng Chen and Zongwei Wu and Eduard Zamfir and Kai Zhang and Yulun Zhang and Radu Timofte and Xiaokang Yang and Hongyuan Yu and Cheng Wan and Yuxin Hong and Zhijuan Huang and Yajun Zou and Yuan Huang and Jiamin Lin and Bingnan Han and Xianyu Guan and Yongsheng Yu and Daoan Zhang and Xuanwu Yin and Kunlong Zuo and Jinhua Hao and Kai Zhao and Kun Yuan and Ming Sun and Chao Zhou and Hongyu An and Xinfeng Zhang and Zhiyuan Song and Ziyue Dong and Qing Zhao and Xiaogang Xu and Pengxu Wei and Zhi{-}Chao Dou and Gui{-}Ling Wang and Chih{-}Chung Hsu and Chia{-}Ming Lee and Yi{-}Shiuan Chou and Cansu Korkmaz and A. Murat Tekalp and Yubin Wei and Xiaole Yan and Binren Li and Haonan Chen and Siqi Zhang and Sihan Chen and Amogh Joshi and Nikhil Akalwadi and Sampada Malagi and Palani Yashaswini and Chaitra Desai and Ramesh Ashok Tabib and Ujwala Patil and Uma Mudenagudi and Anjali Sarvaiya and Pooja Choksy and Jagrit Joshi and Shubh Kawa and Kishor P. Upla and Sushrut Patwardhan and Raghavendra Ramachandra and Sadat Hossain and Geongi Park and S. M. Nadim Uddin and Hao Xu and Yanhui Guo and Aman Urumbekov and Xingzhuo Yan and Wei Hao and Minghan Fu and Isaac Orais and Samuel Smith and Ying Liu and Wangwang Jia and Qisheng Xu and Kele Xu and Weijun Yuan and Zhan Li and Wenqing Kuang and Ruijin Guan and Ruting Deng and Zhao Zhang and Bo Wang and Suiyi Zhao and Yan Luo and Yanyan Wei and Asif Hussain Khan and Christian Micheloni and Niki Martinel}, title = {{NTIRE} 2024 Challenge on Image Super-Resolution ({\unicode{10761}}4): Methods and Results}, journal = {CoRR}, volume = {abs/2404.09790}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.09790}, doi = {10.48550/ARXIV.2404.09790}, eprinttype = {arXiv}, eprint = {2404.09790}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-09790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-11770, author = {Zuowen Wang and Chang Gao and Zongwei Wu and Marcos V. Conde and Radu Timofte and Shih{-}Chii Liu and Qinyu Chen and Zhengjun Zha and Wei Zhai and Han Han and Bohao Liao and Yuliang Wu and Zengyu Wan and Zhong Wang and Yang Cao and Ganchao Tan and Jinze Chen and Yan Ru Pei and Sasskia Br{\"{u}}ers and S{\'{e}}bastien M. Crouzet and Douglas McLelland and Olivier Coenen and Baoheng Zhang and Yizhao Gao and Jingyuan Li and Hayden Kwok{-}Hay So and Philippe Bich and Chiara Boretti and Luciano Prono and Mircea Lica and David Dinucu{-}Jianu and Catalin Gr{\^{\i}}u and Xiaopeng Lin and Hongwei Ren and Bojun Cheng and Xinan Zhang and Valentin Vial and Anthony Yezzi and James Tsai}, title = {Event-Based Eye Tracking. {AIS} 2024 Challenge Survey}, journal = {CoRR}, volume = {abs/2404.11770}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.11770}, doi = {10.48550/ARXIV.2404.11770}, eprinttype = {arXiv}, eprint = {2404.11770}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-11770.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-18085, author = {Zhengpeng Shi and Haoran Luo}, title = {{CRE-LLM:} {A} Domain-Specific Chinese Relation Extraction Framework with Fine-tuned Large Language Model}, journal = {CoRR}, volume = {abs/2404.18085}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.18085}, doi = {10.48550/ARXIV.2404.18085}, eprinttype = {arXiv}, eprint = {2404.18085}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-18085.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-03520, author = {Zheng Zhu and Xiaofeng Wang and Wangbo Zhao and Chen Min and Nianchen Deng and Min Dou and Yuqi Wang and Botian Shi and Kai Wang and Chi Zhang and Yang You and Zhaoxiang Zhang and Dawei Zhao and Liang Xiao and Jian Zhao and Jiwen Lu and Guan Huang}, title = {Is Sora a World Simulator? {A} Comprehensive Survey on General World Models and Beyond}, journal = {CoRR}, volume = {abs/2405.03520}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.03520}, doi = {10.48550/ARXIV.2405.03520}, eprinttype = {arXiv}, eprint = {2405.03520}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-03520.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-08748, author = {Zhimin Li and Jianwei Zhang and Qin Lin and Jiangfeng Xiong and Yanxin Long and Xinchi Deng and Yingfang Zhang and Xingchao Liu and Minbin Huang and Zedong Xiao and Dayou Chen and Jiajun He and Jiahao Li and Wenyue Li and Chen Zhang and Rongwei Quan and Jianxiang Lu and Jiabin Huang and Xiaoyan Yuan and Xiaoxiao Zheng and Yixuan Li and Jihong Zhang and Chao Zhang and Meng Chen and Jie Liu and Zheng Fang and Weiyan Wang and Jinbao Xue and Yangyu Tao and Jianchen Zhu and Kai Liu and Sihuan Lin and Yifu Sun and Yun Li and Dongdong Wang and Mingtao Chen and Zhichao Hu and Xiao Xiao and Yan Chen and Yuhong Liu and Wei Liu and Di Wang and Yong Yang and Jie Jiang and Qinglin Lu}, title = {Hunyuan-DiT: {A} Powerful Multi-Resolution Diffusion Transformer with Fine-Grained Chinese Understanding}, journal = {CoRR}, volume = {abs/2405.08748}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.08748}, doi = {10.48550/ARXIV.2405.08748}, eprinttype = {arXiv}, eprint = {2405.08748}, timestamp = {Sat, 15 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-08748.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-18435, author = {Hongwei Bran Li and Fernando Navarro and Ivan Ezhov and Amirhossein Bayat and Dhritiman Das and Florian Kofler and Suprosanna Shit and Diana Waldmannstetter and Johannes C. Paetzold and Xiaobin Hu and Benedikt Wiestler and Lucas Zimmer and Tamaz Amiranashvili and Chinmay Prabhakar and Christoph Berger and Jonas Weidner and Michelle Alonso{-}Basanta and Arif Rashid and Ujjwal Baid and Wesam Adel and Deniz Alis and Bhakti Baheti and Yingbin Bai and Ishaan Bhat and Sabri Can Cetindag and Wenting Chen and Li Cheng and Prasad Dutande and Lara Dular and Mustafa A. Elattar and Ming Feng and Shengbo Gao and Henkjan Huisman and Weifeng Hu and Shubham Innani and Wei Jiat and Davood Karimi and Hugo J. Kuijf and Jin Tae Kwak and Hoang Long Le and Xiang Lia and Huiyan Lin and Tongliang Liu and Jun Ma and Kai Ma and Ting Ma and Ilkay {\"{O}}ks{\"{u}}z and Robbie Holland and Arlindo L. Oliveira and Jimut Bahan Pal and Xuan Pei and Maoying Qiao and Anindo Saha and Raghavendra Selvan and Linlin Shen and Jo{\~{a}}o Louren{\c{c}}o Silva and Ziga Spiclin and Sanjay N. Talbar and Dadong Wang and Wei Wang and Xiong Wang and Yin Wang and Ruiling Xia and Kele Xu and Yanwu Yan and Mert Yergin and Shuang Yu and Lingxi Zeng and YingLin Zhang and Jiachen Zhao and Yefeng Zheng and Martin Zukovec and Richard K. G. Do and Anton S. Becker and Amber L. Simpson and Ender Konukoglu and Andr{\'{a}}s Jakab and Spyridon Bakas and Leo Joskowicz and Bjoern H. Menze}, title = {{QUBIQ:} Uncertainty Quantification for Biomedical Image Segmentation Challenge}, journal = {CoRR}, volume = {abs/2405.18435}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.18435}, doi = {10.48550/ARXIV.2405.18435}, eprinttype = {arXiv}, eprint = {2405.18435}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-18435.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-19730, author = {Shaohua Wang and Xing Xie and Yong Li and Danhuai Guo and Zhi Cai and Yu Liu and Yang Yue and Xiao Pan and Feng Lu and Huayi Wu and Zhipeng Gui and Zhiming Ding and Bolong Zheng and Fuzheng Zhang and Tao Qin and Jingyuan Wang and Chuang Tao and Zhengchao Chen and Hao Lu and Jiayi Li and Hongyang Chen and Peng Yue and Wenhao Yu and Yao Yao and Leilei Sun and Yong Zhang and Longbiao Chen and Xiaoping Du and Xiang Li and Xueying Zhang and Kun Qin and Zhaoya Gong and Weihua Dong and Xiaofeng Meng}, title = {Research on Foundation Model for Spatial Data Intelligence: China's 2024 White Paper on Strategic Development of Spatial Data Intelligence}, journal = {CoRR}, volume = {abs/2405.19730}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.19730}, doi = {10.48550/ARXIV.2405.19730}, eprinttype = {arXiv}, eprint = {2405.19730}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-19730.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-05967, author = {David Romero and Chenyang Lyu and Haryo Akbarianto Wibowo and Teresa Lynn and Injy Hamed and Aditya Nanda Kishore and Aishik Mandal and Alina Dragonetti and Artem Abzaliev and Atnafu Lambebo Tonja and Bontu Fufa Balcha and Chenxi Whitehouse and Christian Salamea and Dan John Velasco and David Ifeoluwa Adelani and David Le Meur and Emilio Villa{-}Cueva and Fajri Koto and Fauzan Farooqui and Frederico Belcavello and Ganzorig Batnasan and Gisela Vallejo and Grainne Caulfield and Guido Ivetta and Haiyue Song and Henok Biadglign Ademtew and Hern{\'{a}}n Maina and Holy Lovenia and Israel Abebe Azime and Jan Christian Blaise Cruz and Jay P. Gala and Jiahui Geng and Jes{\'{u}}s{-}Germ{\'{a}}n Ortiz{-}Barajas and Jinheon Baek and Jocelyn Dunstan and Laura Alonso Alemany and Kumaranage Ravindu Yasas Nagasinghe and Luciana Benotti and Luis Fernando D'Haro and Marcelo Viridiano and Marcos Estecha{-}Garitagoitia and Maria Camila Buitrago Cabrera and Mario Rodr{\'{\i}}guez{-}Cantelar and M{\'{e}}lanie Jouitteau and Mihail Mihaylov and Mohamed Fazli Mohamed Imam and Muhammad Farid Adilazuarda and Munkhjargal Gochoo and Munkh{-}Erdene Otgonbold and Naome A. Etori and Olivier Niyomugisha and Paula M{\'{o}}nica Silva and Pranjal A. Chitale and Raj Dabre and Rendi Chevi and Ruochen Zhang and Ryandito Diandaru and Samuel Cahyawijaya and Santiago G{\'{o}}ngora and Soyeong Jeong and Sukannya Purkayastha and Tatsuki Kuribayashi and Thanmay Jayakumar and Tiago Timponi Torrent and Toqeer Ehsan and Vladimir Araujo and Yova Kementchedjhieva and Zara Burzo and Zheng Wei Lim and Zheng Xin Yong and Oana Ignat and Joan Nwatu and Rada Mihalcea and Thamar Solorio and Alham Fikri Aji}, title = {{CVQA:} Culturally-diverse Multilingual Visual Question Answering Benchmark}, journal = {CoRR}, volume = {abs/2406.05967}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.05967}, doi = {10.48550/ARXIV.2406.05967}, eprinttype = {arXiv}, eprint = {2406.05967}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-05967.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09317, author = {Meng Wang and Tian Lin and Aidi Lin and Kai Yu and Yuanyuan Peng and Lianyu Wang and Cheng Chen and Ke Zou and Huiyu Liang and Man Chen and Xue Yao and Meiqin Zhang and Binwei Huang and Chaoxin Zheng and Peixin Zhang and Wei Chen and Yilong Luo and Yifan Chen and Honghe Xia and Tingkun Shi and Qi Zhang and Jinming Guo and Xiaolin Chen and Jingcheng Wang and Yih Chung Tham and Dianbo Liu and Wendy Wong and Sahil Thakur and Beau Fenner and Danqi Fang and Siying Liu and Qingyun Liu and Yuqiang Huang and Hongqiang Zeng and Yanda Meng and Yukun Zhou and Zehua Jiang and Minghui Qiu and Changqing Zhang and Xinjian Chen and Sophia Y. Wang and Cecilia S. Lee and Lucia Sobrin and Carol Y. Cheung and Chi Pui Pang and Pearse A Keane and Ching{-}Yu Cheng and Haoyu Chen and Huazhu Fu}, title = {Common and Rare Fundus Diseases Identification Using Vision-Language Foundation Model with Knowledge of Over 400 Diseases}, journal = {CoRR}, volume = {abs/2406.09317}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09317}, doi = {10.48550/ARXIV.2406.09317}, eprinttype = {arXiv}, eprint = {2406.09317}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09317.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-10118, author = {Holy Lovenia and Rahmad Mahendra and Salsabil Maulana Akbar and Lester James V. Miranda and Jennifer Santoso and Elyanah Aco and Akhdan Fadhilah and Jonibek Mansurov and Joseph Marvin Imperial and Onno Pepijn Kampman and Joel Ruben Antony Moniz and Muhammad Ravi Shulthan Habibi and Frederikus Hudi and Railey Montalan and Ryan Ignatius and Joanito Agili Lopo and William Nixon and B{\"{o}}rje F. Karlsson and James Jaya and Ryandito Diandaru and Yuze Gao and Patrick Amadeus Irawan and Bin Wang and Jan Christian Blaise Cruz and Chenxi Whitehouse and Ivan Halim Parmonangan and Maria Khelli and Wenyu Zhang and Lucky Susanto and Reynard Adha Ryanda and Sonny Lazuardi Hermawan and Dan John Velasco and Muhammad Dehan Al Kautsar and Willy Fitra Hendria and Yasmin Moslem and Noah Flynn and Muhammad Farid Adilazuarda and Haochen Li and Johanes Lee and R. Damanhuri and Shuo Sun and Muhammad Reza Qorib and Amirbek Djanibekov and Wei Qi Leong and Quyet V. Do and Niklas Muennighoff and Tanrada Pansuwan and Ilham Firdausi Putra and Yan Xu and Ngee Chia Tai and Ayu Purwarianti and Sebastian Ruder and William{-}Chandra Tjhi and Peerat Limkonchotiwat and Alham Fikri Aji and Sedrick Keh and Genta Indra Winata and Ruochen Zhang and Fajri Koto and Zheng Xin Yong and Samuel Cahyawijaya}, title = {SEACrowd: {A} Multilingual Multimodal Data Hub and Benchmark Suite for Southeast Asian Languages}, journal = {CoRR}, volume = {abs/2406.10118}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.10118}, doi = {10.48550/ARXIV.2406.10118}, eprinttype = {arXiv}, eprint = {2406.10118}, timestamp = {Thu, 11 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-10118.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-14015, author = {Qingpeng Cai and Kaiping Zheng and H. V. Jagadish and Beng Chin Ooi and James Wei Luen Yip}, title = {CohortNet: Empowering Cohort Discovery for Interpretable Healthcare Analytics}, journal = {CoRR}, volume = {abs/2406.14015}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.14015}, doi = {10.48550/ARXIV.2406.14015}, eprinttype = {arXiv}, eprint = {2406.14015}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-14015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-17309, author = {Yongliang Wu and Bozheng Li and Jiawang Cao and Wenbo Zhu and Yi Lu and Weiheng Chi and Chuyun Xie and Haolin Zheng and Ziyue Su and Jay Wu and Xu Yang}, title = {Zero-Shot Long-Form Video Understanding through Screenplay}, journal = {CoRR}, volume = {abs/2406.17309}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.17309}, doi = {10.48550/ARXIV.2406.17309}, eprinttype = {arXiv}, eprint = {2406.17309}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-17309.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-18259, author = {Jiazhou Ji and Ruizhe Li and Shujun Li and Jie Guo and Weidong Qiu and Zheng Huang and Chiyu Chen and Xiaoyu Jiang and Xinru Lu}, title = {Detecting Machine-Generated Texts: Not Just "AI vs Humans" and Explainability is Complicated}, journal = {CoRR}, volume = {abs/2406.18259}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.18259}, doi = {10.48550/ARXIV.2406.18259}, eprinttype = {arXiv}, eprint = {2406.18259}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-18259.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-21075, author = {Tom Gunter and Zirui Wang and Chong Wang and Ruoming Pang and Andy Narayanan and Aonan Zhang and Bowen Zhang and Chen Chen and Chung{-}Cheng Chiu and David Qiu and Deepak Gopinath and Dian Ang Yap and Dong Yin and Feng Nan and Floris Weers and Guoli Yin and Haoshuo Huang and Jianyu Wang and Jiarui Lu and John Peebles and Ke Ye and Mark Lee and Nan Du and Qibin Chen and Quentin Keunebroek and Sam Wiseman and Syd Evans and Tao Lei and Vivek Rathod and Xiang Kong and Xianzhi Du and Yanghao Li and Yongqiang Wang and Yuan Gao and Zaid Ahmed and Zhaoyang Xu and Zhiyun Lu and Al Rashid and Albin Madappally Jose and Alec Doane and Alfredo Bencomo and Allison Vanderby and Andrew Hansen and Ankur Jain and Anupama Mann Anupama and Areeba Kamal and Bugu Wu and Carolina Brum and Charlie Maalouf and Chinguun Erdenebileg and Chris Dulhanty and Dominik Moritz and Doug Kang and Eduardo Jimenez and Evan Ladd and Fangping Shi and Felix Bai and Frank Chu and Fred Hohman and Hadas Kotek and Hannah Gillis Coleman and Jane Li and Jeffrey P. Bigham and Jeffery Cao and Jeff Lai and Jessica Cheung and Jiulong Shan and Joe Zhou and John Li and Jun Qin and Karanjeet Singh and Karla Vega and Kelvin Zou and Laura Heckman and Lauren Gardiner and Margit Bowler and Maria Cordell and Meng Cao and Nicole Hay and Nilesh Shahdadpuri and Otto Godwin and Pranay Dighe and Pushyami Rachapudi and Ramsey Tantawi and Roman Frigg and Sam Davarnia and Sanskruti Shah and Saptarshi Guha and Sasha Sirovica and Shen Ma and Shuang Ma and Simon Wang and Sulgi Kim and Suma Jayaram and Vaishaal Shankar and Varsha Paidi and Vivek Kumar and Xin Wang and Xin Zheng and Walker Cheng}, title = {Apple Intelligence Foundation Language Models}, journal = {CoRR}, volume = {abs/2407.21075}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.21075}, doi = {10.48550/ARXIV.2407.21075}, eprinttype = {arXiv}, eprint = {2407.21075}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-21075.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-02555, author = {Yiwen Chen and Yikai Wang and Yihao Luo and Zhengyi Wang and Zilong Chen and Jun Zhu and Chi Zhang and Guosheng Lin}, title = {MeshAnything {V2:} Artist-Created Mesh Generation With Adjacent Mesh Tokenization}, journal = {CoRR}, volume = {abs/2408.02555}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.02555}, doi = {10.48550/ARXIV.2408.02555}, eprinttype = {arXiv}, eprint = {2408.02555}, timestamp = {Thu, 12 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-02555.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cas/ZhangXL23, author = {Yuting Zhang and Lan Xu and Zhengnan Lu}, title = {Purchase Decision of {GPPS:} An Empirical Study Based on Machine Learning in China}, journal = {Cybern. Syst.}, volume = {54}, number = {1}, pages = {60--87}, year = {2023}, url = {https://doi.org/10.1080/01969722.2022.2055384}, doi = {10.1080/01969722.2022.2055384}, timestamp = {Mon, 16 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cas/ZhangXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/GaoWHHJGTLZZZSYW23, author = {Yifei Gao and Chao Wu and Jiaqi Huang and Zhihong Huang and Zhengsen Jin and Siyu Guo and Xiaoyu Tao and Shan Lu and Jingyuan Zhang and Fanqin Zhang and Yiyan Zhai and Rui Shi and Peizhi Ye and Jiarui Wu}, title = {A new strategy to identify {ADAM12} and {PDGFRB} as a novel prognostic biomarker for matrine regulates gastric cancer via high throughput chip mining and computational verification}, journal = {Comput. Biol. Medicine}, volume = {166}, pages = {107562}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107562}, doi = {10.1016/J.COMPBIOMED.2023.107562}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/GaoWHHJGTLZZZSYW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/HanHLFMCGC23, author = {Zhenggong Han and Haisong Huang and Dan Lu and Qingsong Fan and Chi Ma and Xingran Chen and Qiang Gu and Qipeng Chen}, title = {One-stage and lightweight {CNN} detection approach with attention: Application to {WBC} detection of microscopic images}, journal = {Comput. Biol. Medicine}, volume = {154}, pages = {106606}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.106606}, doi = {10.1016/J.COMPBIOMED.2023.106606}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/HanHLFMCGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/XieZLLWZJZS23, author = {Chunbao Xie and Ling Zhong and Jiangrong Luo and Ji Luo and Yingmiao Wu and Shuai Zheng and Lingxi Jiang and Jianbo Zhang and Yi Shi}, title = {Identification of mutation gene prognostic biomarker in multiple myeloma through gene panel exome sequencing and transcriptome analysis in Chinese population}, journal = {Comput. Biol. Medicine}, volume = {163}, pages = {107224}, year = {2023}, url = {https://doi.org/10.1016/j.compbiomed.2023.107224}, doi = {10.1016/J.COMPBIOMED.2023.107224}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cbm/XieZLLWZJZS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LiLLZG23, author = {Mengjie Li and Shaobao Li and Xiaoyuan Luo and Xinquan Zheng and Xinping Guan}, title = {Distributed periodic event-triggered terminal sliding mode control for vehicular platoon system}, journal = {Sci. China Inf. Sci.}, volume = {66}, number = {12}, year = {2023}, url = {https://doi.org/10.1007/s11432-023-3840-x}, doi = {10.1007/S11432-023-3840-X}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/LiLLZG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LiaoCXLZKZ23, author = {Jian Liao and Jun Cheng and Bin Xin and Delin Luo and Lihui Zheng and Yuhang Kang and Shaolei Zhou}, title = {{UAV} swarm formation reconfiguration control based on variable-stepsize {MPC-APCMPIO} algorithm}, journal = {Sci. China Inf. Sci.}, volume = {66}, number = {11}, year = {2023}, url = {https://doi.org/10.1007/s11432-022-3735-5}, doi = {10.1007/S11432-022-3735-5}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/LiaoCXLZKZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ZhangLCGGX23, author = {Chi Zhang and Xiangjun Lu and Pei Cao and Dawu Gu and Zheng Guo and Sen Xu}, title = {A nonprofiled side-channel analysis based on variational lower bound related to mutual information}, journal = {Sci. China Inf. Sci.}, volume = {66}, number = {1}, year = {2023}, url = {https://doi.org/10.1007/s11432-021-3451-1}, doi = {10.1007/S11432-021-3451-1}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/ZhangLCGGX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ZhangZLHZZL23, author = {Qing Zhang and Yuhang Zhang and Wei Lu and Huajie Huang and Zheng Zhong and Congshu Zhou and Yongfu Li}, title = {Litho-AsymVnet: super-resolution lithography modeling with an asymmetric V-net architecture}, journal = {Sci. China Inf. Sci.}, volume = {66}, number = {12}, year = {2023}, url = {https://doi.org/10.1007/s11432-022-3755-y}, doi = {10.1007/S11432-022-3755-Y}, timestamp = {Mon, 11 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/ZhangZLHZZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/ZhangLL23, author = {Zhengang Zhang and Yushu Lu and Taiye Luo}, title = {Evolutionary Game of Value Synergy in Industrial Chain: In the Context of "Chain Chief System"}, journal = {Complex.}, volume = {2023}, pages = {9293749:1--9293749:19}, year = {2023}, url = {https://doi.org/10.1155/2023/9293749}, doi = {10.1155/2023/9293749}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/ZhangLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/ZhuZL23, author = {Dongdan Zhu and Yuting Zhang and Zhengnan Lu}, title = {Policy Evolution of Government Procurement of Public Services in China: {A} Text-Mining Perspective}, journal = {Complex.}, volume = {2023}, pages = {3139117:1--3139117:15}, year = {2023}, url = {https://doi.org/10.1155/2023/3139117}, doi = {10.1155/2023/3139117}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/ZhuZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/LuCLLYYLZ23, author = {Siyu Lu and Ligao Cai and Zhixin Liu and Shan Liu and Bo Yang and Lirong Yin and Mingzhe Liu and Wenfeng Zheng}, title = {An Optimized Chinese Filtering Model Using Value Scale Extended Text Vector}, journal = {Comput. Syst. Sci. Eng.}, volume = {47}, number = {2}, pages = {1881--1899}, year = {2023}, url = {https://doi.org/10.32604/csse.2023.034853}, doi = {10.32604/CSSE.2023.034853}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csse/LuCLLYYLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/LiQLZWPHLJWZSH23, author = {Liping Li and Haining Qin and Eimear Nic Lughadha and Yaomin Zheng and Huawei Wan and Jack Plummer and Melanie{-}Jayne R. Howes and Huiyuan Liu and Yangming Jiang and Tuo Wang and Huihui Zhao and Zhanfeng Shen and Huiping Huang}, title = {Red list assessments of Chinese higher plants}, journal = {Int. J. Digit. Earth}, volume = {16}, number = {1}, pages = {2762--2775}, year = {2023}, url = {https://doi.org/10.1080/17538947.2023.2233497}, doi = {10.1080/17538947.2023.2233497}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/digearth/LiQLZWPHLJWZSH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/ChenHLHBXW23, author = {Xin Chen and Li He and Fang Luo and Zhengwei He and Wenqian Bai and Yixian Xiao and Zhifei Wang}, title = {Dynamic characteristics and impacts of ecosystem service values under land use change: {A} case study on the Zoig{\^{e}} plateau, China}, journal = {Ecol. Informatics}, volume = {78}, pages = {102350}, year = {2023}, url = {https://doi.org/10.1016/j.ecoinf.2023.102350}, doi = {10.1016/J.ECOINF.2023.102350}, timestamp = {Mon, 01 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/ChenHLHBXW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LuoLLZSY23, author = {Quanquan Luo and Baoguo Li and Chi Liu and Shuang Zheng and Shuqiang Su and Chenghao Yuan}, title = {Determination of thermal efficiency of air {ETSC-PCM} using artificial neural network technique}, journal = {Expert Syst. Appl.}, volume = {230}, pages = {120556}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2023.120556}, doi = {10.1016/J.ESWA.2023.120556}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LuoLLZSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hisas/WangZWXDCHZLYTXZJLYX23, author = {Lijie Wang and Jinling Zhang and Jingtao Wang and Hao Xue and Lin Deng and Fengyuan Che and Xueyuan Heng and Xuejun Zheng and Zilong Lu and Liuqing Yang and Qihua Tan and Yeping Xu and Yanchun Zhang and Xiaokang Ji and Gang Li and Fan Yang and Fuzhong Xue}, title = {Postoperative prognostic nomogram for adult grade {II/III} astrocytoma in the Chinese Han population}, journal = {Health Inf. Sci. Syst.}, volume = {11}, number = {1}, pages = {23}, year = {2023}, url = {https://doi.org/10.1007/s13755-023-00223-0}, doi = {10.1007/S13755-023-00223-0}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hisas/WangZWXDCHZLYTXZJLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijira/GeCHZFZY23, author = {Wujie Ge and Si{-}Lu Chen and Hua Hu and Tianjiang Zheng and Zaojun Fang and Chi Zhang and Guilin Yang}, title = {Detection and localization strategy based on {YOLO} for robot sorting under complex lighting conditions}, journal = {Int. J. Intell. Robotics Appl.}, volume = {7}, number = {3}, pages = {589--601}, year = {2023}, url = {https://doi.org/10.1007/s41315-023-00285-z}, doi = {10.1007/S41315-023-00285-Z}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijira/GeCHZFZY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/ZhengLWLLXZ23, author = {Pengfei Zheng and Jingjing Lou and Xiyuan Wan and Qingdong Luo and Yunhan Li and Linsheng Xie and Zegang Zhu}, title = {{LED} Chip Defect Detection Method Based on a Hybrid Algorithm}, journal = {Int. J. Intell. Syst.}, volume = {2023}, pages = {1--13}, year = {2023}, url = {https://doi.org/10.1155/2023/4096164}, doi = {10.1155/2023/4096164}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijis/ZhengLWLLXZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijrr/ZhangPZWYXMZWYZQGZTYWZZDWXWYLD23, author = {Tao Zhang and Yong Pang and Ting Zeng and Guoxin Wang and Shen Yin and Kun Xu and Guidong Mo and Xingwang Zhang and Lusi Wang and Shuai Yang and Zeng Zhao and Junjie Qin and Junshan Gong and Zhongxian Zhao and Xuefeng Tong and Zhongwang Yin and Haiyuan Wang and Fan Zhao and Yanhong Zheng and Xiangjin Deng and Bin Wang and Jinchang Xu and Wei Wang and Shuangfei Yu and Xiaoming Lai and Xilun Ding}, title = {Robotic drilling for the Chinese Chang'E 5 lunar sample-return mission}, journal = {Int. J. Robotics Res.}, volume = {42}, number = {8}, pages = {586--613}, year = {2023}, url = {https://doi.org/10.1177/02783649231187918}, doi = {10.1177/02783649231187918}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijrr/ZhangPZWYXMZWYZQGZTYWZZDWXWYLD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jkm/ZhaoQLLHX23, author = {Yan Zhao and Niannian Qi and Luying Li and Zheng Li and Xiao Han and Ling Xuan}, title = {How do knowledge diversity and ego-network structures affect firms' sustainable innovation: evidence from alliance innovation networks of China's new energy industries}, journal = {J. Knowl. Manag.}, volume = {27}, number = {1}, pages = {178--196}, year = {2023}, url = {https://doi.org/10.1108/JKM-03-2022-0173}, doi = {10.1108/JKM-03-2022-0173}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jkm/ZhaoQLLHX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/NwoyeAYVXZXJYWYZDGSRZCWWZGRSTKPRASB23, author = {Chinedu Innocent Nwoye and Deepak Alapatt and Tong Yu and Armine Vardazaryan and Fangfang Xia and Zixuan Zhao and Tong Xia and Fucang Jia and Yuxuan Yang and Hao Wang and Derong Yu and Guoyan Zheng and Xiaotian Duan and Neil Getty and Ricardo Sanchez{-}Matilla and Maria Robu and Li Zhang and Huabin Chen and Jiacheng Wang and Liansheng Wang and Bokai Zhang and Beerend G. A. Gerats and Sista Raviteja and Rachana Sathish and Rong Tao and Satoshi Kondo and Winnie Pang and Hongliang Ren and Julian Ronald Abbing and Mohammad Hasan Sarhan and Sebastian Bodenstedt and Nithya Bhasker and Bruno Oliveira and Helena R. Torres and Li Ling and Finn Gaida and Tobias Czempiel and Jo{\~{a}}o L. Vila{\c{c}}a and Pedro Morais and Jaime C. Fonseca and Ruby Mae Egging and Inge Nicole Wijma and Chen Qian and Guibin Bian and Zhen Li and Velmurugan Balasubramanian and Debdoot Sheet and Imanol Luengo and Yuanbo Zhu and Shuai Ding and Jakob{-}Anton Aschenbrenner and Nicolas Elini van der Kar and Mengya Xu and Mobarakol Islam and Lalithkumar Seenivasan and Alexander Jenke and Danail Stoyanov and Didier Mutter and Pietro Mascagni and Barbara Seeliger and Cristians Gonzalez and Nicolas Padoy}, title = {CholecTriplet2021: {A} benchmark challenge for surgical action triplet recognition}, journal = {Medical Image Anal.}, volume = {86}, pages = {102803}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102803}, doi = {10.1016/J.MEDIA.2023.102803}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/NwoyeAYVXZXJYWYZDGSRZCWWZGRSTKPRASB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/Zhai0FY23, author = {Zhengli Zhai and Xin Zhang and FeiFei Fang and Luyao Yao}, title = {Text classification of Chinese news based on multi-scale {CNN} and {LSTM} hybrid model}, journal = {Multim. Tools Appl.}, volume = {82}, number = {14}, pages = {20975--20988}, year = {2023}, url = {https://doi.org/10.1007/s11042-023-14450-w}, doi = {10.1007/S11042-023-14450-W}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/Zhai0FY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/WangCDGGLMSTYYZZLM23, author = {Jiyao Wang and Farideh Chitsaz and Myra K. Derbyshire and Noreen R. Gonzales and Marc Gwadz and Shennan Lu and Gabriele H. Marchler and James S. Song and Narmada Thanki and Roxanne A. Yamashita and Mingzhang Yang and Dachuan Zhang and Chanjuan Zheng and Christopher J. Lanczycki and Aron Marchler{-}Bauer}, title = {The conserved domain database in 2023}, journal = {Nucleic Acids Res.}, volume = {51}, number = {{D1}}, pages = {384--388}, year = {2023}, url = {https://doi.org/10.1093/nar/gkac1096}, doi = {10.1093/NAR/GKAC1096}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/WangCDGGLMSTYYZZLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ZhengFFFQTTG23, author = {Peng Zheng and Huazhu Fu and Deng{-}Ping Fan and Qi Fan and Jie Qin and Yu{-}Wing Tai and Chi{-}Keung Tang and Luc Van Gool}, title = {GCoNet+: {A} Stronger Group Collaborative Co-Salient Object Detector}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {45}, number = {9}, pages = {10929--10946}, year = {2023}, url = {https://doi.org/10.1109/TPAMI.2023.3264571}, doi = {10.1109/TPAMI.2023.3264571}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pami/ZhengFFFQTTG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LiHZXXLCL23, author = {Jian Li and Yisen Huang and Xue Zhang and Ke Xie and Yitian Xian and Xiao Luo and Philip Wai Yan Chiu and Zheng Li}, title = {An Autonomous Surgical Instrument Tracking Framework With a Binocular Camera for a Robotic Flexible Laparoscope}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {8}, number = {7}, pages = {4291--4298}, year = {2023}, url = {https://doi.org/10.1109/LRA.2023.3281934}, doi = {10.1109/LRA.2023.3281934}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LiHZXXLCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SongYZZDLSSYD23, author = {Yingqiang Song and Mingzhu Ye and Zhao Zheng and Dexi Zhan and Wenxu Duan and Miao Lu and Zhenqi Song and Dengkuo Sun and Kaizhong Yao and Ziqi Ding}, title = {Tree-Structured Parzan Estimator-Machine Learning-Ordinary Kriging: An Integration Method for Soil Ammonia Spatial Prediction in the Typical Cropland of Chinese Yellow River Delta with Sentinel-2 Remote Sensing Image and Air Quality Data}, journal = {Remote. Sens.}, volume = {15}, number = {17}, pages = {4268}, year = {2023}, url = {https://doi.org/10.3390/rs15174268}, doi = {10.3390/RS15174268}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/SongYZZDLSSYD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangLZDWZZN23, author = {Xiaofeng Wang and Pingping Luo and Yue Zheng and Weili Duan and Shuangtao Wang and Wei Zhu and Yuzhu Zhang and Daniel Nover}, title = {Drought Disasters in China from 1991 to 2018: Analysis of Spatiotemporal Trends and Characteristics}, journal = {Remote. Sens.}, volume = {15}, number = {6}, pages = {1708}, year = {2023}, url = {https://doi.org/10.3390/rs15061708}, doi = {10.3390/RS15061708}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangLZDWZZN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhengLLSDW23, author = {Meiduan Zheng and Haijun Luan and Guangsheng Liu and Jinming Sha and Zheng Duan and Lanhui Wang}, title = {Ground-Based Hyperspectral Retrieval of Soil Arsenic Concentration in Pingtan Island, China}, journal = {Remote. Sens.}, volume = {15}, number = {17}, pages = {4349}, year = {2023}, url = {https://doi.org/10.3390/rs15174349}, doi = {10.3390/RS15174349}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhengLLSDW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YunZZWH23, author = {Lu Yun and Xinxin Zhang and Yuchao Zheng and Dahan Wang and Lizhong Hua}, title = {Enhance the Accuracy of Landslide Detection in {UAV} Images Using an Improved Mask {R-CNN} Model: {A} Case Study of Sanming, China}, journal = {Sensors}, volume = {23}, number = {9}, pages = {4287}, year = {2023}, url = {https://doi.org/10.3390/s23094287}, doi = {10.3390/S23094287}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YunZZWH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/YangZLW23, author = {Ching{-}Nung Yang and Cheng{-}En Zheng and Ming{-}Chan Lu and Xiaotian Wu}, title = {Secret image sharing by using multi-prime modular arithmetic}, journal = {Signal Process.}, volume = {205}, pages = {108882}, year = {2023}, url = {https://doi.org/10.1016/j.sigpro.2022.108882}, doi = {10.1016/J.SIGPRO.2022.108882}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/YangZLW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/systems/LiuWLYLYTZ23, author = {Xuan Liu and Shuang Wang and Siyu Lu and Zhengtong Yin and Xiaolu Li and Lirong Yin and Jiawei Tian and Wenfeng Zheng}, title = {Adapting Feature Selection Algorithms for the Classification of Chinese Texts}, journal = {Syst.}, volume = {11}, number = {9}, pages = {483}, year = {2023}, url = {https://doi.org/10.3390/systems11090483}, doi = {10.3390/SYSTEMS11090483}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/systems/LiuWLYLYTZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/WeiZMLMMZCC23, author = {Lai Wei and Zihao Zheng and Nereo Markulic and Jorge Lagos and Ewout Martens and Rui Paulo Martins and Yan Zhu and Jan Craninckx and Chi{-}Hang Chan}, title = {A 12-bit 1GS/s {ADC} With Background Distortion and Split-ADC-Like Gain Calibration}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {12}, pages = {4679--4691}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2023.3303217}, doi = {10.1109/TCSI.2023.3303217}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/WeiZMLMMZCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcss/LiCZW23, author = {Jingyu Li and Lu Cheng and Xiaolong Zheng and Fei{-}Yue Wang}, title = {Analyzing the Stock Volatility Spillovers in Chinese Financial and Economic Sectors}, journal = {{IEEE} Trans. Comput. Soc. Syst.}, volume = {10}, number = {1}, pages = {269--284}, year = {2023}, url = {https://doi.org/10.1109/TCSS.2021.3134487}, doi = {10.1109/TCSS.2021.3134487}, timestamp = {Mon, 03 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcss/LiCZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/LuSZGZSD23, author = {Hongliang Lu and Hongjun Su and Pan Zheng and Yihan Gao and Hengyi Zheng and Weiwei Sun and Qian Du}, title = {A Probabilistic Sample Boosting Approach With Adaptive Representation Coefficient Consistency for China Coastal Wetland Land Cover Classification Using {GF-5} Hyperspectral Imagery}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--18}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3307549}, doi = {10.1109/TGRS.2023.3307549}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/LuSZGZSD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/LiangBLTSYZNWKN23, author = {Percy Liang and Rishi Bommasani and Tony Lee and Dimitris Tsipras and Dilara Soylu and Michihiro Yasunaga and Yian Zhang and Deepak Narayanan and Yuhuai Wu and Ananya Kumar and Benjamin Newman and Binhang Yuan and Bobby Yan and Ce Zhang and Christian Cosgrove and Christopher D. Manning and Christopher R{\'{e}} and Diana Acosta{-}Navas and Drew A. Hudson and Eric Zelikman and Esin Durmus and Faisal Ladhak and Frieda Rong and Hongyu Ren and Huaxiu Yao and Jue Wang and Keshav Santhanam and Laurel J. Orr and Lucia Zheng and Mert Y{\"{u}}ksekg{\"{o}}n{\"{u}}l and Mirac Suzgun and Nathan Kim and Neel Guha and Niladri S. Chatterji and Omar Khattab and Peter Henderson and Qian Huang and Ryan Chi and Sang Michael Xie and Shibani Santurkar and Surya Ganguli and Tatsunori Hashimoto and Thomas Icard and Tianyi Zhang and Vishrav Chaudhary and William Wang and Xuechen Li and Yifan Mai and Yuhui Zhang and Yuta Koreeda}, title = {Holistic Evaluation of Language Models}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=iO4LZibEqW}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/LiangBLTSYZNWKN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmlr/SrivastavaRRSAF23, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {Trans. Mach. Learn. Res.}, volume = {2023}, year = {2023}, url = {https://openreview.net/forum?id=uyTL5Bvosj}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmlr/SrivastavaRRSAF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/ZhengXL23, author = {Shiyuan Zheng and Hong Xie and John C. S. Lui}, title = {Pricing Social Visibility Service in Online Social Networks: Modeling and Algorithms}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {10}, number = {2}, pages = {859--870}, year = {2023}, url = {https://doi.org/10.1109/TNSE.2022.3223958}, doi = {10.1109/TNSE.2022.3223958}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnse/ZhengXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhangLCCZH0023, author = {Hanchong Zhang and Jieyu Li and Lu Chen and Ruisheng Cao and Yunyan Zhang and Yu Huang and Yefeng Zheng and Kai Yu}, editor = {Anna Rogers and Jordan L. Boyd{-}Graber and Naoaki Okazaki}, title = {{CSS:} {A} Large-scale Cross-schema Chinese Text-to-SQL Medical Dataset}, booktitle = {Findings of the Association for Computational Linguistics: {ACL} 2023, Toronto, Canada, July 9-14, 2023}, pages = {6970--6983}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-acl.435}, doi = {10.18653/V1/2023.FINDINGS-ACL.435}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/ZhangLCCZH0023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ZhengCLMHH23, author = {Chaoyang Zheng and Zhipeng Chen and Jianhua Lu and Yan Ma and Yumei Huang and Zhiliang Hong}, title = {A 400M-510MHz On-Chip Transformer-Based {RF} Power Amplifier with 22.5dBm Output Power and 48{\%} {PAE}}, booktitle = {15th {IEEE} International Conference on ASIC, {ASICON} 2023, Nanjing, China, October 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ASICON58565.2023.10396316}, doi = {10.1109/ASICON58565.2023.10396316}, timestamp = {Fri, 16 Feb 2024 14:02:58 +0100}, biburl = {https://dblp.org/rec/conf/asicon/ZhengCLMHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/YangGLZQZWZLJTMLZ23, author = {Jiezhi Yang and Jun Gao and Yanyan Li and Antong Zhou and Chaochao Qu and Kuo Zhao and Linjing Wei and Le Zhang and Zirong Liu and Ning Jiang and Wenjing Tao and Kangzhe Ma and Quan Lu and Huiru Zheng}, editor = {Xingpeng Jiang and Haiying Wang and Reda Alhajj and Xiaohua Hu and Felix Engel and Mufti Mahmud and Nadia Pisanti and Xuefeng Cui and Hong Song}, title = {A smart chicken farming platform for chicken behavior identification and feed residual estimation}, booktitle = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2023, Istanbul, Turkiye, December 5-8, 2023}, pages = {1627--1634}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BIBM58861.2023.10385923}, doi = {10.1109/BIBM58861.2023.10385923}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/YangGLZQZWZLJTMLZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cchi/TangZHZWBML23, author = {Jiawei Tang and Yu Zhang and Shaoshuai Huang and Yaqi Zheng and Yuhong Wei and Ting Bai and Shijie Ming and Zhaolin Lu}, title = {An Empirical Study on Knowledge Payment Behavior and Knowledge Anxiety among Chinese University Students}, booktitle = {Proceedings of the Eleventh International Symposium of Chinese CHI, {CCHI} 2023, Denpasar, Bali, Indonesia, November 13-16, 2023}, pages = {321--330}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3629606.3629636}, doi = {10.1145/3629606.3629636}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cchi/TangZHZWBML23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ShiHWMZM023, author = {Chuhan Shi and Yicheng Hu and Shenan Wang and Shuai Ma and Chengbo Zheng and Xiaojuan Ma and Qiong Luo}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {RetroLens: {A} Human-AI Collaborative System for Multi-step Retrosynthetic Route Planning}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {770:1--770:20}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581469}, doi = {10.1145/3544548.3581469}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ShiHWMZM023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ZhengWSMLM23, author = {Chengbo Zheng and Yuheng Wu and Chuhan Shi and Shuai Ma and Jiehui Luo and Xiaojuan Ma}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {Competent but Rigid: Identifying the Gap in Empowering {AI} to Participate Equally in Group Decision-Making}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {351:1--351:19}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581131}, doi = {10.1145/3544548.3581131}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ZhengWSMLM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiAVTZDLCLLLWCDLQHLWJLYJBAKYCCHCHC23, author = {Codruta O. Ancuti and Cosmin Ancuti and Florin{-}Alexandru Vasluianu and Radu Timofte and Han Zhou and Wei Dong and Yangyi Liu and Jun Chen and Huan Liu and Liangyan Li and Zijun Wu and Yubo Dong and Yuyan Li and Tian Qiu and Yu He and Yonghong Lu and Yinwei Wu and Zhenxiang Jiang and Songhua Liu and Xingyi Yang and Yongcheng Jing and Bilel Benjdira and Anas M. Ali and Anis Koubaa and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Wei{-}Ting Chen and Zhi{-}Kai Huang and Yi{-}Chung Chen and Chia{-}Hsuan Hsieh and Hua{-}En Chang and Yuan{-}Chun Chiang and Sy{-}Yen Kuo and Yu Guo and Yuan Gao and Ryan Wen Liu and Yuxu Lu and Jingxiang Qu and Shengfeng He and Wenqi Ren and Trung Hoang and Haichuan Zhang and Amirsaeed Yazdani and Vishal Monga and Lehan Yang and Alex Jiahao Wu and Tiancheng Mai and Xiaofeng Cong and Xuemeng Yin and Xuefei Yin and Hazim Emad and Ahmed Abdallah and Yahya Yasser and Dalia Elshahat and Esraa Elbaz and Zhan Li and Wenqing Kuang and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Zhao Zhang and Yanyan Wei and Junhu Wang and Suiyi Zhao and Huan Zheng and Jin Guo and Yangfan Sun and Tianli Liu and Dejun Hao and Kui Jiang and Anjali Sarvaiya and Kalpesh Prajapati and Ratnadeep Patra and Pragnesh Barik and Chaitanya Rathod and Kishor P. Upla and Kiran B. Raja and Raghavendra Ramachandra and Christoph Busch}, title = {{NTIRE} 2023 {HR} NonHomogeneous Dehazing Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1808--1825}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00180}, doi = {10.1109/CVPRW59228.2023.00180}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiAVTZDLCLLLWCDLQHLWJLYJBAKYCCHCHC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaoMYWZZDLSTSLZSCMCZCXLBSHZAHWYZZLCRZW23, author = {Mingdeng Cao and Chong Mou and Fanghua Yu and Xintao Wang and Yinqiang Zheng and Jian Zhang and Chao Dong and Gen Li and Ying Shan and Radu Timofte and Xiaopeng Sun and Weiqi Li and Zhenyu Zhang and Xuhan Sheng and Bin Chen and Haoyu Ma and Ming Cheng and Shijie Zhao and Wanwan Cui and Tianyu Xu and Chunyang Li and Long Bao and Heng Sun and Huaibo Huang and Xiaoqiang Zhou and Yuang Ai and Ran He and Renlong Wu and Yi Yang and Zhilu Zhang and Shuohao Zhang and Junyi Li and Yunjin Chen and Dongwei Ren and Wangmeng Zuo and Qian Wang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Wei{-}Ting Chen and Yuan{-}Chun Chiang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Zebin Zhang and Jiaqi Zhang and Yuhui Wang and Shuhao Cui and Junshi Huang and Li Zhu and Shuman Tian and Wei Yu and Bingchun Luo}, title = {{NTIRE} 2023 Challenge on 360{\textdegree} Omnidirectional Image and Video Super-Resolution: Datasets, Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1731--1745}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00174}, doi = {10.1109/CVPRW59228.2023.00174}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaoMYWZZDLSTSLZSCMCZCXLBSHZAHWYZZLCRZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/KangLZHXHLRXTYPZQZDTLLLGLFLWFBZSWLCZXL23, author = {Xiaoyang Kang and Xianhui Lin and Kai Zhang and Zheng Hui and Wangmeng Xiang and Jun{-}Yan He and Xiaoming Li and Peiran Ren and Xuansong Xie and Radu Timofte and Yixin Yang and Jinshan Pan and Zhong Zheng and Peng Qiyan and Jiangxin Zhang and Jinhui Dong and Jinjing Tan and Chi{-}Chen Lin and Lin Qipei Li and Qirong Liang and Ruipeng Gang and Xiaofeng Liu and Shuang Feng and Shuai Liu and Hao Wang and Chaoyu Feng and Furui Bai and Yuqian Zhang and Guangqi Shao and Xiaotao Wang and Lei Lei and Siqi Chen and Yu Zhang and Hanning Xu and Zheyuan Liu and Zhao Zhang and Yan Luo and Zhichao Zuo}, title = {{NTIRE} 2023 Video Colorization Challenge}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1570--1581}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00159}, doi = {10.1109/CVPRW59228.2023.00159}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/KangLZHXHLRXTYPZQZDTLLLGLFLWFBZSWLCZXL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23, author = {Yawei Li and Yulun Zhang and Radu Timofte and Luc Van Gool and Lei Yu and Youwei Li and Xinpeng Li and Ting Jiang and Qi Wu and Mingyan Han and Wenjie Lin and Chengzhi Jiang and Jinting Luo and Haoqiang Fan and Shuaicheng Liu and Yucong Wang and Minjie Cai and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Yanyu Mao and Nihao Zhang and Qian Wang and Mingjun Zheng and Long Sun and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Zhongbao Yang and Yan Wang and Erlin Pan and Qixuan Cai and Xinan Dai and Magauiya Zhussip and Nikolay Kalyazin and Dmitry Vyal and Xueyi Zou and Youliang Yan and Heaseo Chung and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Bohao Liao and Zhibo Du and Yu{-}Liang Wu and Gege Shi and Long Peng and Yang Wang and Yang Cao and Zhengjun Zha and Zhi{-}Kai Huang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Hao{-}Hsiang Yang and Wei{-}Ting Chen and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Xin Liu and Jiahao Pan and Hongyuan Yu and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Xuanwu Yin and Kunlong Zuo and Weijian Deng and Hongjie Yuan and Zengtong Lu and Mingyu Ouyang and Wenzhuo Ma and Nian Liu and Hanyou Zheng and Yuantong Zhang and Junxi Zhang and Zhenzhong Chen and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Yurui Zhu and Xi Wang and Xueyang Fu and Zheng{-}Jun Zha and Daheng Yin and Mengyang Liu and Baijun Chen and Ao Li and Lei Luo and Kangjun Jin and Ce Zhu and Xiaoming Zhang and Chengxing Xie and Linze Li and Haiteng Meng and Tianlin Zhang and Tianrui Li and Xiaole Zhao and Zhao Zhang and Baiang Li and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Jiahuan Ren and Kang Hu and Jingpeng Shi and Zhijian Wu and Dingjiang Huang and Jinchen Zhu and Hui Li and Qianru Xv and Tianle Liu and Gang Wu and Junpeng Jiang and Xianming Liu and Junjun Jiang and Mingjian Zhang and Shizhuang Weng and Jing Hu and Chengxu Wu and Qinrui Fan and Chengming Feng and Ziwei Luo and Shu Hu and Siwei Lyu and Xi Wu and Xin Wang}, title = {{NTIRE} 2023 Challenge on Efficient Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1922--1960}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00189}, doi = {10.1109/CVPRW59228.2023.00189}, timestamp = {Fri, 06 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LiZTGYLLJWHLJLFLWCLZFSMZWZSPDTYWPCDZKV23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23, author = {Florin{-}Alexandru Vasluianu and Tim Seizinger and Radu Timofte and Shuhao Cui and Junshi Huang and Shuman Tian and Mingyuan Fan and Jiaqi Zhang and Li Zhu and Xiaoming Wei and Xiaolin Wei and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Xiaoyi Dong and Xi Sheryl Zhang and Chenghua Li and Cong Leng and Woon{-}Ha Yeo and Wang{-}Taek Oh and Yeoreum Lee and Han{-}Cheol Ryu and Jinting Luo and Chengzhi Jiang and Mingyan Han and Qi Wu and Wenjie Lin and Lei Yu and Xinpeng Li and Ting Jiang and Haoqiang Fan and Shuaicheng Liu and Shuning Xu and Binbin Song and Xiangyu Chen and Shile Zhang and Jiantao Zhou and Zhao Zhang and Suiyi Zhao and Huan Zheng and Yangcheng Gao and Yanyan Wei and Bo Wang and Jiahuan Ren and Yan Luo and Yuki Kondo and Riku Miyata and Fuma Yasue and Taito Naruki and Norimichi Ukita and Hua{-}En Chang and Hao{-}Hsiang Yang and Yi{-}Chung Chen and Yuan{-}Chun Chiang and Zhi{-}Kai Huang and Wei{-}Ting Chen and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Li Xianwei and Huiyuan Fu and Chunlin Liu and Huadong Ma and Binglan Fu and Huiming He and Mengjia Wang and Wenxuan She and Yu Liu and Sabari Nathan and Priya Kansal and Zhongjian Zhang and Huabin Yang and Yan Wang and Yanru Zhang and Shruti S. Phutke and Ashutosh Kulkarni and Md Raqib Khan and Subrahmanyam Murala and Santosh Kumar Vipparthi and Heng Ye and Zixi Liu and Xingyi Yang and Songhua Liu and Yinwei Wu and Yongcheng Jing and Qianhao Yu and Naishan Zheng and Jie Huang and Yuhang Long and Mingde Yao and Feng Zhao and Bowen Zhao and Nan Ye and Ning Shen and Yanpeng Cao and Tong Xiong and Weiran Xia and Dingwen Li and Shuchen Xia}, title = {{NTIRE} 2023 Image Shadow Removal Challenge Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1788--1807}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00179}, doi = {10.1109/CVPRW59228.2023.00179}, timestamp = {Mon, 26 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/VasluianuSTCHTFZZWWLGZSSDZLLYOLRLJHWLY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Ming Cheng and Haoyu Ma and Qiufang Ma and Xiaopeng Sun and Shijie Zhao and Xuhan Sheng and Yukang Ding and Ming Sun and Xing Wen and Dafeng Zhang and Jia Li and Fan Wang and Zheng Xie and Zongyao He and Zidian Qiu and Zilin Pan and Zhihao Zhan and Xingyuan Xian and Zhi Jin and Yuanbo Zhou and Wei Deng and Ruofeng Nie and Jiajun Zhang and Qinquan Gao and Tong Tong and Kexin Zhang and Junpei Zhang and Rui Peng and Yanbiao Ma and Licheng Jiao and Haoran Bai and Lingshun Kong and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Pu Cao and Tianrui Huang and Lu Yang and Qing Song and Bingxin Chen and Chunhua He and Meiyun Chen and Zijie Guo and Shaojuan Luo and Chengzhi Cao and Kunyu Wang and Fanrui Zhang and Qiang Zhang and Nancy Mehta and Subrahmanyam Murala and Akshay Dudhane and Yujin Wang and Lingen Li and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He and Junyang Chen and Hao Li and Yukai Shi and Zhijing Yang and Wenbin Zou and Yunchen Zhang and Mingchao Jiang and Zhongxin Yu and Ming Tan and Hongxia Gao and Ziwei Luo and Fredrik K. Gustafsson and Zheng Zhao and Jens Sj{\"{o}}lund and Thomas B. Sch{\"{o}}n and Jingxiang Chen and Bo Yang and XiSheryl Zhang and Chenghua Li and Weijun Yuan and Zhan Li and Ruting Deng and Jintao Zeng and Pulkit Mahajan and Sahaj Mistry and Shreyas Chatterjee and Vinit Jakhetiya and Badri N. Subudhi and Sunil Prasad Jaiswal and Zhao Zhang and Huan Zheng and Suiyi Zhao and Yangcheng Gao and Yanyan Wei and Bo Wang and Gen Li and Aijin Li and Lei Sun and Ke Chen and Congling Tang and Yunzhe Li and Jun Chen and Yuan{-}Chun Chiang and Yi{-}Chung Chen and Zhi{-}Kai Huang and Hao{-}Hsiang Yang and I{-}Hsiang Chen and Sy{-}Yen Kuo and Yiheng Wang and Gang Zhu and Xingyi Yang and Songhua Liu and Yongcheng Jing and Xingyu Hu and Jianwen Song and Changming Sun and Arcot Sowmya and Seung Ho Park and Xiaoyan Lei and Jingchao Wang and Chenbo Zhai and Yufei Zhang and Weifeng Cao and Wenlong Zhang}, title = {{NTIRE} 2023 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1346--1372}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00141}, doi = {10.1109/CVPRW59228.2023.00141}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangGWLGTCMMSZSDSWZLWXHQPZXJZDNZGTZZPM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dmbd/ZhangLJYZZ23, author = {Jinhao Zhang and Lingyun Lu and Guoxin Jiang and Chi Yuan and Haoqian Zhang and Shaoqiu Zheng}, editor = {Ying Tan and Yuhui Shi}, title = {A Joint Method for Combat Intent Recognition and Key Information Extraction}, booktitle = {Data Mining and Big Data - 8th International Conference, {DMBD} 2023, Sanya, China, December 9-12, 2023, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {2018}, pages = {115--125}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-97-0844-4\_9}, doi = {10.1007/978-981-97-0844-4\_9}, timestamp = {Mon, 26 Feb 2024 17:35:08 +0100}, biburl = {https://dblp.org/rec/conf/dmbd/ZhangLJYZZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/0007GLNP0FHLWZG23, author = {Qi Zhu and Christian Geishauser and Hsien{-}Chin Lin and Carel van Niekerk and Baolin Peng and Zheng Zhang and Shutong Feng and Michael Heck and Nurul Lubis and Dazhen Wan and Xiaochen Zhu and Jianfeng Gao and Milica Gasic and Minlie Huang}, editor = {Yansong Feng and Els Lefever}, title = {ConvLab-3: {A} Flexible Dialogue System Toolkit Based on a Unified Data Format}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023 - System Demonstrations, Singapore, December 6-10, 2023}, pages = {106--123}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-demo.9}, doi = {10.18653/V1/2023.EMNLP-DEMO.9}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/0007GLNP0FHLWZG23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hccs/GeZZWW23, author = {Jun Ge and Zhenxing Zhang and Lumin Zhou and Zheng Wei and Yilei Wang}, title = {{MI} Identification Algorithm Based on Feature Selection via Chi-square Test}, booktitle = {International Conference on Human-Centered Cognitive Systems, {HCCS} 2023, Cardiff, United Kingdom, December 16-17, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HCCS59561.2023.10452595}, doi = {10.1109/HCCS59561.2023.10452595}, timestamp = {Wed, 13 Mar 2024 18:33:28 +0100}, biburl = {https://dblp.org/rec/conf/hccs/GeZZWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LuAPSYZ23, author = {Tingting Lu and Zhixuan An and Romano Pagliari and Haiming Shen and Zheng Yang and Yiyang Zhang}, editor = {Masaaki Kurosu and Ayako Hashizume and Aaron Marcus and Elizabeth Rosenzweig and Marcelo M. Soares and Don Harris and Wen{-}Chin Li and Dylan D. Schmorrow and Cali M. Fidopiastis and Pei{-}Luen Patrick Rau}, title = {Survey and Analysis on Experience Satisfaction of Remote {ATC} Tower System User in China}, booktitle = {{HCI} International 2023 - Late Breaking Papers - 25th International Conference on Human-Computer Interaction, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14054}, pages = {389--407}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-48038-6\_25}, doi = {10.1007/978-3-031-48038-6\_25}, timestamp = {Wed, 06 Dec 2023 13:43:02 +0100}, biburl = {https://dblp.org/rec/conf/hci/LuAPSYZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LuLCFJ23, author = {Chien{-}Tsung Lu and Xinyu Lu and Ming Cheng and Haoruo Fu and Zhenglei Ji}, editor = {Don Harris and Wen{-}Chin Li}, title = {Emerging Challenges - How Pilot Students Remained Resilient During the Pandemic?}, booktitle = {Engineering Psychology and Cognitive Ergonomics - 20th International Conference, {EPCE} 2023, Held as Part of the 25th {HCI} International Conference, {HCII} 2023, Copenhagen, Denmark, July 23-28, 2023, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {14017}, pages = {415--430}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-3-031-35392-5\_32}, doi = {10.1007/978-3-031-35392-5\_32}, timestamp = {Thu, 13 Jul 2023 10:09:58 +0200}, biburl = {https://dblp.org/rec/conf/hci/LuLCFJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbbe/WangSZLQGXH23, author = {Aikun Wang and Chao Song and Hongjiang Zhang and Chengxin Lu and Zhengyu Qin and Ling Guo and Xin Xiong and Jianfeng He}, title = {The Association between Serum 25-Hydroxyvitamin {D} Levels and Anemia in Middle-Aged and Elderly Individuals: {A} Cross-Sectional Study in China}, booktitle = {Proceedings of the 10th International Conference on Biomedical and Bioinformatics Engineering, {ICBBE} 2023, Kyoto, Japan, November 9-12, 2023}, pages = {245--251}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3637732.3637748}, doi = {10.1145/3637732.3637748}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icbbe/WangSZLQGXH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/WangZXZWCYDL023, author = {Xiaofeng Wang and Zheng Zhu and Wenbo Xu and Yunpeng Zhang and Yi Wei and Xu Chi and Yun Ye and Dalong Du and Jiwen Lu and Xingang Wang}, title = {OpenOccupancy: {A} Large Scale Benchmark for Surrounding Semantic Occupancy Perception}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {17804--17813}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01636}, doi = {10.1109/ICCV51070.2023.01636}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/WangZXZWCYDL023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/Ooi0STTXYZ023, author = {Beng Chin Ooi and Gang Chen and Mike Zheng Shou and Kian{-}Lee Tan and Anthony K. H. Tung and Xiaokui Xiao and James Wei Luen Yip and Bingxue Zhang and Meihui Zhang}, title = {The Metaverse Data Deluge: What Can We Do About It?}, booktitle = {39th {IEEE} International Conference on Data Engineering, {ICDE} 2023, Anaheim, CA, USA, April 3-7, 2023}, pages = {3675--3687}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICDE55515.2023.00296}, doi = {10.1109/ICDE55515.2023.00296}, timestamp = {Thu, 27 Jul 2023 14:59:05 +0200}, biburl = {https://dblp.org/rec/conf/icde/Ooi0STTXYZ023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmhi/JenFLHHZC23, author = {Chih{-}Hung Jen and Shu{-}Kai S. Fan and Kun{-}Mu Lu and Ching{-}Wen Huang and Ju{-}Ling Hsiao and Yu{-}Zhi Zheng and Yi{-}Jun Cai}, title = {Using the Concept of Generative Adversarial Network {(GAN)} to Strengthen the Classification Performance for X-ray Images of Small Samples}, booktitle = {The 7th International Conference on Medical and Health Informatics, {ICMHI} 2023, Kyoto, Japan, May 12-14, 2023}, pages = {297--302}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3608298.3608365}, doi = {10.1145/3608298.3608365}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmhi/JenFLHHZC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChenZZL23, author = {Hongxi Chen and Ge Zheng and Rongting Zhang and Maomiao L{\"{u}}}, title = {Spatio-Temporal Evolution Characteristics and Driving Mechanism of Gazelle Enterprises: a Case Study on Jiangsu Province, China}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {2500--2503}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282934}, doi = {10.1109/IGARSS52108.2023.10282934}, timestamp = {Tue, 07 Nov 2023 16:21:25 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ChenZZL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LuHZJZ23, author = {Jing Lu and Guangcheng Hu and Chaolei Zheng and Li Jia and Tianjie Zhao}, title = {Time-Series Characteristics of Evapotranspiration in China from 2001 to 2021}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {2438--2441}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10282871}, doi = {10.1109/IGARSS52108.2023.10282871}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LuHZJZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ZhouZHZLWL23, author = {Yashi Zhou and Qingjun Zhang and Xiaolei Han and Liangbo Zhao and Hailiang Li and Zhibin Wang and Zheng Lv}, title = {High-squint Multichannel {SAR} Imaging in Azimuth Based on Chinese {GF-3} Satellite Data}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {8269--8272}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10283285}, doi = {10.1109/IGARSS52108.2023.10283285}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ZhouZHZLWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuangTHLLHKCZLLT23, author = {Fei Huang and Hsing{-}Yen Tsai and Chi{-}Yu Huang and Yu{-}Chun Luo and Ching{-}Ho Li and Shao{-}Chang Huang and Yi{-}Hsiang Kao and Ke{-}Horng Chen and Kuo{-}Lin Zheng and Ying{-}Hsi Lin and Shian{-}Ru Lin and Tsung{-}Yen Tsai}, title = {3D Wireless Power Transfer with Noise Cancellation Technique for -62dB Noise Suppression and 90.1{\%} Efficiency}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {452--453}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067347}, doi = {10.1109/ISSCC42615.2023.10067347}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuangTHLLHKCZLLT23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/KeeZLPZCPWMW23, author = {Dawei Kee and Jun Zhang and Heshan Li and Guohao Peng and Lunan Zheng and Timothy Chia and Shu Ting Pan and Mingxing Wen and Yingchong Ma and Danwei Wang}, title = {Continental-NTU Delivery Robot Dataset for Perception and Navigation on Footpath}, booktitle = {25th {IEEE} International Conference on Intelligent Transportation Systems, {ITSC} 2022, Macau, China, October 8-12, 2022}, pages = {2568--2573}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ITSC57777.2023.10422656}, doi = {10.1109/ITSC57777.2023.10422656}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/KeeZLPZCPWMW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/YangFZWL23, author = {Wei Yang and Zhengru Fang and Tianle Zhang and Shiguang Wu and Chi Lu}, editor = {Abdulmotaleb El{-}Saddik and Tao Mei and Rita Cucchiara and Marco Bertini and Diana Patricia Tobon Vallejo and Pradeep K. Atrey and M. Shamim Hossain}, title = {Modal-aware Bias Constrained Contrastive Learning for Multimodal Recommendation}, booktitle = {Proceedings of the 31st {ACM} International Conference on Multimedia, {MM} 2023, Ottawa, ON, Canada, 29 October 2023- 3 November 2023}, pages = {6369--6378}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3581783.3612568}, doi = {10.1145/3581783.3612568}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/mm/YangFZWL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/Song0WZZYHL23, author = {Yihang Song and Li Lu and Jiliang Wang and Chong Zhang and Hui Zheng and Shen Yang and Jinsong Han and Jian Li}, editor = {Mahesh Balakrishnan and Manya Ghobadi}, title = {{\(\mu\)}Mote: Enabling Passive Chirp De-spreading and {\(\mu\)}W-level Long-Range Downlink for Backscatter Devices}, booktitle = {20th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2023, Boston, MA, April 17-19, 2023}, pages = {1751--1766}, publisher = {{USENIX} Association}, year = {2023}, url = {https://www.usenix.org/conference/nsdi23/presentation/song-yihang}, timestamp = {Thu, 11 May 2023 17:08:22 +0200}, biburl = {https://dblp.org/rec/conf/nsdi/Song0WZZYHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LiangZLLLHPCKLH23, author = {Yan{-}Kui Liang and June{-}Yang Zheng and Yu{-}Lon Lin and Wei{-}Li Li and Yu{-}Cheng Lu and Dong{-}Ru Hsieh and Li{-}Chi Peng and Tsung{-}Te Chou and Chi{-}Chung Kei and Chun{-}Chieh Lu and Huai{-}Ying Huang and Yuan{-}Chieh Tseng and Tien{-}Sheng Chao and Edward Yi Chang and Chun{-}Hsiung Lin}, title = {Aggressively Scaled Atomic Layer Deposited Amorphous InZnOx Thin Film Transistor Exhibiting Prominent Short Channel Characteristics (SS= 69 mV/dec.; {DIBL} = 27.8 mV/V) and High Gm(802 {\(\mu\)}S/{\(\mu\)}m at {VDS} = 2V)}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185343}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185343}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LiangZLLLHPCKLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/TangCHBZCLZTWMY23, author = {Wei Tang and Sung{-}Gun Cho and Tim Tri Hoang and Jacob Botimer and Wei Qiang Zhu and Ching{-}Chi Chang and Cheng{-}Hsun Lu and Junkang Zhu and Yaoyu Tao and Tianyu Wei and Naomi Kavi Motwani and Mani Yalamanchi and Ramya Yarlagadda and Sirisha Kale and Mark Flannigan and Allen Chan and Thungoc Tran and Sergey Y. Shumarayev and Zhengya Zhang}, title = {Arvon: {A} Heterogeneous SiP Integrating a 14nm {FPGA} and Two 22nm 1.8TFLOPS/W DSPs with 1.7Tbps/mm\({}^{\mbox{2}}\) {AIB} 2.0 Interface to Provide Versatile Workload Acceleration}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185388}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185388}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/TangCHBZCLZTWMY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KieferKPZPABDRQAHZXZTSSZZZAJLZLRVGMHXE23, author = {Benjamin Kiefer and Matej Kristan and Janez Pers and Lojze Zust and Fabio Poiesi and Fabio Augusto de Alcantara Andrade and Alexandre Bernardino and Matthew Dawkins and Jenni Raitoharju and Yitong Quan and Adem Atmaca and Timon H{\"{o}}fer and Qiming Zhang and Yufei Xu and Jing Zhang and Dacheng Tao and Lars Sommer and Raphael Spraul and Hangyue Zhao and Hongpu Zhang and Yanyun Zhao and Jan Lukas Augustin and Eui{-}ik Jeon and Impyeong Lee and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Sagar Verma and Siddharth Gupta and Shishir Muralidhara and Niharika Hegde and Daitao Xing and Nikolaos Evangeliou and Anthony Tzes and Vojtech Bartl and Jakub Spanhel and Adam Herout and Neelanjan Bhowmik and Toby P. Breckon and Shivanand Kundargi and Tejas Anvekar and Ramesh Ashok Tabib and Uma Mudengudi and Arpita Vats and Yang Song and Delong Liu and Yonglin Li and Shuman Li and Chenhao Tan and Long Lan and Vladimir Somers and Christophe De Vleeschouwer and Alexandre Alahi and Hsiang{-}Wei Huang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Pyong{-}Kun Kim and Kwangju Kim and Kyoungoh Lee and Shuai Jiang and Haiwen Li and Zheng Ziqiang and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Sai{-}Kit Yeung and Zhuang Jia and Sophia Yang and Chih{-}Chung Hsu and Xiu{-}Yu Hou and Yu{-}An Jhang and Simon Yang and Mau{-}Tsuen Yang}, title = {1\({}^{\mbox{st}}\) Workshop on Maritime Computer Vision (MaCVi) 2023: Challenge Results}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision Workshops, {WACV} 2023 - Workshops, Waikoloa, HI, USA, January 3-7, 2023}, pages = {265--302}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/WACVW58289.2023.00033}, doi = {10.1109/WACVW58289.2023.00033}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wacv/KieferKPZPABDRQAHZXZTSSZZZAJLZLRVGMHXE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/FiondaHAACCC0DE23, author = {Valeria Fionda and Olaf Hartig and Reyhaneh Abdolazimi and Sihem Amer{-}Yahia and Hongzhi Chen and Xiao Chen and Peng Cui and Jeffrey Dalton and Xin Luna Dong and Lisette Esp{\'{\i}}n{-}Noboa and Wenqi Fan and Manuela Fritz and Quan Gan and Jingtong Gao and Xiaojie Guo and Torsten Hahmann and Jiawei Han and Soyeon Caren Han and Estevam Hruschka and Liang Hu and Jiaxin Huang and Utkarshani Jaimini and Olivier Jeunen and Yushan Jiang and Fariba Karimi and George Karypis and Krishnaram Kenthapadi and Himabindu Lakkaraju and Hady W. Lauw and Thai Le and Trung{-}Hoang Le and Dongwon Lee and Geon Lee and Liat Levontin and Cheng{-}Te Li and Haoyang Li and Ying Li and Jay Chiehen Liao and Qidong Liu and Usha Lokala and Ben London and Siqu Long and Hande K{\"{u}}{\c{c}}{\"{u}}k{-}McGinty and Yu Meng and Seungwhan Moon and Usman Naseem and Pradeep Natarajan and Behrooz Omidvar{-}Tehrani and Zijie Pan and Devesh Parekh and Jian Pei and Tiago Peixoto and Steven Pemberton and Josiah Poon and Filip Radlinski and Federico Rossetto and Kaushik Roy and Aghiles Salah and Mehrnoosh Sameki and Amit P. Sheth and Cogan Shimizu and Kijung Shin and Dongjin Song and Julia Stoyanovich and Dacheng Tao and Johanne Trippas and Quoc Truong and Yu{-}Che Tsai and Adaku Uchendu and Bram van den Akker and Lin Wang and Minjie Wang and Shoujin Wang and Xin Wang and Ingmar Weber and Henry Weld and Lingfei Wu and Da Xu and Yifan Ethan Xu and Shuyuan Xu and Bo Yang and Ke Yang and Elad Yom{-}Tov and Jaemin Yoo and Zhou Yu and Reza Zafarani and Hamed Zamani and Meike Zehlike and Qi Zhang and Xikun Zhang and Yongfeng Zhang and Yu Zhang and Zheng Zhang and Liang Zhao and Xiangyu Zhao and Wenwu Zhu}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {Tutorials at The Web Conference 2023}, booktitle = {Companion Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {648--658}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543873.3587713}, doi = {10.1145/3543873.3587713}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/FiondaHAACCC0DE23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-03281, author = {Xiangyu Li and Gongning Luo and Kuanquan Wang and Hongyu Wang and Jun Liu and Xinjie Liang and Jie Jiang and Zhenghao Song and Chunyue Zheng and Haokai Chi and Mingwang Xu and Yingte He and Xinghua Ma and Jingwen Guo and Yifan Liu and Chuanpu Li and Zeli Chen and Md Mahfuzur Rahman Siddiquee and Andriy Myronenko and Antoine P. Sanner and Anirban Mukhopadhyay and Ahmed E. Othman and Xingyu Zhao and Weiping Liu and Jinhuang Zhang and Xiangyuan Ma and Qinghui Liu and Bradley J. MacIntosh and Wei Liang and Moona Mazher and Abdul Qayyum and Valeriia Abramova and Xavier Llad{\'{o}} and Shuo Li}, title = {The state-of-the-art 3D anisotropic intracranial hemorrhage segmentation on non-contrast head {CT:} The {INSTANCE} challenge}, journal = {CoRR}, volume = {abs/2301.03281}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.03281}, doi = {10.48550/ARXIV.2301.03281}, eprinttype = {arXiv}, eprint = {2301.03281}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-03281.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-03829, author = {Kaiping Zheng and Thao Nguyen and Jesslyn Hwei Sing Chong and Charlene Enhui Goh and Melanie Herschel and Hee Hoon Lee and Beng Chin Ooi and Wei Wang and James Wei Luen Yip}, title = {A Dietary Nutrition-aided Healthcare Platform via Effective Food Recognition on a Localized Singaporean Food Dataset}, journal = {CoRR}, volume = {abs/2301.03829}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.03829}, doi = {10.48550/ARXIV.2301.03829}, eprinttype = {arXiv}, eprint = {2301.03829}, timestamp = {Thu, 19 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-03829.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-03991, author = {Xiaofeng Wang and Zheng Zhu and Wenbo Xu and Yunpeng Zhang and Yi Wei and Xu Chi and Yun Ye and Dalong Du and Jiwen Lu and Xingang Wang}, title = {OpenOccupancy: {A} Large Scale Benchmark for Surrounding Semantic Occupancy Perception}, journal = {CoRR}, volume = {abs/2303.03991}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.03991}, doi = {10.48550/ARXIV.2303.03991}, eprinttype = {arXiv}, eprint = {2303.03991}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-03991.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-04468, author = {Changshuo Liu and Wenqiao Zhang and Beng Chin Ooi and James Wei Luen Yip and Lingze Zeng and Kaiping Zheng}, title = {Toward Cohort Intelligence: {A} Universal Cohort Representation Learning Framework for Electronic Health Record Analysis}, journal = {CoRR}, volume = {abs/2304.04468}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.04468}, doi = {10.48550/ARXIV.2304.04468}, eprinttype = {arXiv}, eprint = {2304.04468}, timestamp = {Tue, 18 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-04468.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-15891, author = {Hanchong Zhang and Jieyu Li and Lu Chen and Ruisheng Cao and Yunyan Zhang and Yu Huang and Yefeng Zheng and Kai Yu}, title = {{CSS:} {A} Large-scale Cross-schema Chinese Text-to-SQL Medical Dataset}, journal = {CoRR}, volume = {abs/2305.15891}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.15891}, doi = {10.48550/ARXIV.2305.15891}, eprinttype = {arXiv}, eprint = {2305.15891}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-15891.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-05445, author = {Shuxin Zheng and Jiyan He and Chang Liu and Yu Shi and Ziheng Lu and Weitao Feng and Fusong Ju and Jiaxi Wang and Jianwei Zhu and Yaosen Min and He Zhang and Shidi Tang and Hongxia Hao and Peiran Jin and Chi Chen and Frank No{\'{e}} and Haiguang Liu and Tie{-}Yan Liu}, title = {Towards Predicting Equilibrium Distributions for Molecular Systems with Deep Learning}, journal = {CoRR}, volume = {abs/2306.05445}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.05445}, doi = {10.48550/ARXIV.2306.05445}, eprinttype = {arXiv}, eprint = {2306.05445}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-05445.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02232, author = {Yuankun Xie and Jingjing Zhou and Xiaolin Lu and Zhenghao Jiang and Yuxin Yang and Haonan Cheng and Long Ye}, title = {{FSD:} An Initial Chinese Dataset for Fake Song Detection}, journal = {CoRR}, volume = {abs/2309.02232}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02232}, doi = {10.48550/ARXIV.2309.02232}, eprinttype = {arXiv}, eprint = {2309.02232}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02232.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-19102, author = {Yilong Zhao and Chien{-}Yu Lin and Kan Zhu and Zihao Ye and Lequn Chen and Size Zheng and Luis Ceze and Arvind Krishnamurthy and Tianqi Chen and Baris Kasikci}, title = {Atom: Low-bit Quantization for Efficient and Accurate {LLM} Serving}, journal = {CoRR}, volume = {abs/2310.19102}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.19102}, doi = {10.48550/ARXIV.2310.19102}, eprinttype = {arXiv}, eprint = {2310.19102}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-19102.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-19773, author = {Kevin Lin and Faisal Ahmed and Linjie Li and Chung{-}Ching Lin and Ehsan Azarnasab and Zhengyuan Yang and Jianfeng Wang and Lin Liang and Zicheng Liu and Yumao Lu and Ce Liu and Lijuan Wang}, title = {{MM-VID:} Advancing Video Understanding with GPT-4V(ision)}, journal = {CoRR}, volume = {abs/2310.19773}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.19773}, doi = {10.48550/ARXIV.2310.19773}, eprinttype = {arXiv}, eprint = {2310.19773}, timestamp = {Fri, 03 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-19773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LaiOGXHMDCCD22, author = {Zhengfeng Lai and Luca Cerny Oliveira and Runlin Guo and Wenda Xu and Zin Hu and Kelsey Mifflin and Charles DeCarli and Sen{-}Ching S. Cheung and Chen{-}Nee Chuah and Brittany N. Dugger}, title = {BrainSec: Automated Brain Tissue Segmentation Pipeline for Scalable Neuropathological Analysis}, journal = {{IEEE} Access}, volume = {10}, pages = {49064--49079}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3171927}, doi = {10.1109/ACCESS.2022.3171927}, timestamp = {Wed, 08 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LaiOGXHMDCCD22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhaoLLQ22, author = {Yan Zhao and Zheng Li and Luying Li and Niannian Qi}, title = {Research on Technological Divisive Faultlines in Cliques: Evidence From China's Biomedical Industry Innovation Network}, journal = {{IEEE} Access}, volume = {10}, pages = {89745--89757}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3200753}, doi = {10.1109/ACCESS.2022.3200753}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhaoLLQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/LuJWDC22, author = {Shaoyu L{\"{u}} and Xiao{-}Zheng Jin and Xiao{-}Ming Wu and Li{-}Jian Ding and Jing Chi}, title = {Robust adaptive event-triggered fault-tolerant control for time-varying systems against perturbations and faulty actuators}, journal = {Appl. Math. Comput.}, volume = {426}, pages = {127133}, year = {2022}, url = {https://doi.org/10.1016/j.amc.2022.127133}, doi = {10.1016/J.AMC.2022.127133}, timestamp = {Mon, 09 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/LuJWDC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/QinYCAFHCNCNHNT22, author = {Jie Qin and Shuaihang Yuan and Jiaxin Chen and Boulbaba Ben Amor and Yi Fang and Nhat Hoang{-}Xuan and Chi{-}Bien Chu and Khoi{-}Nguyen Nguyen{-}Ngoc and Thien{-}Tri Cao and Nhat{-}Khang Ng{\^{o}} and Tuan{-}Luc Huynh and Hai{-}Dang Nguyen and Minh{-}Triet Tran and Haoyang Luo and Jianning Wang and Zheng Zhang and Zihao Xin and Yang Wang and Feng Wang and Ying Tang and Haiqin Chen and Yan Wang and Qunying Zhou and Ji Zhang and Hongyuan Wang}, title = {SHREC'22 track: Sketch-based 3D shape retrieval in the wild}, journal = {Comput. Graph.}, volume = {107}, pages = {104--115}, year = {2022}, url = {https://doi.org/10.1016/j.cag.2022.07.009}, doi = {10.1016/J.CAG.2022.07.009}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/QinYCAFHCNCNHNT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LuZCWG22, author = {Siqi Lu and Jianhua Zheng and Zhenfu Cao and Yongjuan Wang and Chunxiang Gu}, title = {A survey on cryptographic techniques for protecting big data security: present and forthcoming}, journal = {Sci. China Inf. Sci.}, volume = {65}, number = {10}, year = {2022}, url = {https://doi.org/10.1007/s11432-021-3393-x}, doi = {10.1007/S11432-021-3393-X}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/LuZCWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ZhouZSWXBHZLZXS22, author = {Jian Zhou and Yi Zhou and Ying Shi and Fangfang Wang and Zhicheng Xu and Zhizhong Bai and Min Huang and Lulu Zheng and Zhaoming Liang and Yihong Zhu and Qingqing Xu and Yiming Shen and Xiangxiao Ying and Jianxin Chen}, title = {A compact polarization-integrated long wavelength infrared focal plane array based on InAs/GaSb superlattice}, journal = {Sci. China Inf. Sci.}, volume = {65}, number = {2}, year = {2022}, url = {https://doi.org/10.1007/s11432-021-3252-2}, doi = {10.1007/S11432-021-3252-2}, timestamp = {Fri, 29 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ZhouZSWXBHZLZXS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/LvLBCZLQ22, author = {Yunxin Lv and Zixin Liu and Meihua Bi and Hao Chi and Yanrong Zhai and Yang Lu and Zhengfeng Qian}, title = {Artificial neural network assisted polling scheme for central coordinated low-latency WLANs}, journal = {Comput. Networks}, volume = {216}, pages = {109303}, year = {2022}, url = {https://doi.org/10.1016/j.comnet.2022.109303}, doi = {10.1016/J.COMNET.2022.109303}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cn/LvLBCZLQ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csms/YangBCSG22, author = {Zhenge Yang and Luzheng Bi and Weiming Chi and Haonan Shi and Cuntai Guan}, title = {Brain-Controlled Multi-Robot at Servo-Control Level Based on Nonlinear Model Predictive Control}, journal = {Complex Syst. Model. Simul.}, volume = {2}, number = {4}, pages = {307--321}, year = {2022}, url = {https://doi.org/10.23919/csms.2022.0019}, doi = {10.23919/CSMS.2022.0019}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csms/YangBCSG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/YanHHX0ZWL22, author = {Xinyun Yan and Zhengran He and Youxiang Huang and Xiaohu Xu and Jie Wang and Xiaofeng Zhou and Chishe Wang and Zhiyi Lu}, title = {A Lightweight Pedestrian Intrusion Detection and Warning Method for Intelligent Traffic Security}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {16}, number = {12}, pages = {3904--3922}, year = {2022}, url = {https://doi.org/10.3837/tiis.2022.12.007}, doi = {10.3837/TIIS.2022.12.007}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/YanHHX0ZWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaciii/XuLZZZL22, author = {Zhengzhi Xu and Xiujie Li and Chaojie Zhang and Jiani Zhu and Shangfeng Zhang and Ke Lu}, title = {Estimation and Dynamic Evolution of Provincial Factor-Output Elasticity in China}, journal = {J. Adv. Comput. Intell. Intell. Informatics}, volume = {26}, number = {5}, pages = {792--800}, year = {2022}, url = {https://doi.org/10.20965/jaciii.2022.p0792}, doi = {10.20965/JACIII.2022.P0792}, timestamp = {Mon, 10 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaciii/XuLZZZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdiq/ZhengZLCGSB22, author = {Zheng Zheng and Longtao Zheng and Morteza Alipour Langouri and Fei Chiang and Lukasz Golab and Jaroslaw Szlichta and Sridevi Baskaran}, title = {Contextual Data Cleaning with Ontology Functional Dependencies}, journal = {{ACM} J. Data Inf. Qual.}, volume = {14}, number = {3}, pages = {20:1--20:26}, year = {2022}, url = {https://doi.org/10.1145/3524303}, doi = {10.1145/3524303}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdiq/ZhengZLCGSB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhengWLMZCCM22, author = {Zihao Zheng and Lai Wei and Jorge Lagos and Ewout Martens and Yan Zhu and Chi{-}Hang Chan and Jan Craninckx and Rui Paulo Martins}, title = {A 3.3-GS/s 6-b Fully Dynamic Pipelined {ADC} With Linearized Dynamic Amplifier}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {6}, pages = {1673--1683}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3096938}, doi = {10.1109/JSSC.2021.3096938}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhengWLMZCCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/GongDTLWXMX22, author = {Yanxiang Gong and Linjie Deng and Shuai Tao and Xinchen Lu and Peicheng Wu and Zhiwei Xie and Zheng Ma and Mei Xie}, title = {Unified Chinese License Plate detection and recognition with high efficiency}, journal = {J. Vis. Commun. Image Represent.}, volume = {86}, pages = {103541}, year = {2022}, url = {https://doi.org/10.1016/j.jvcir.2022.103541}, doi = {10.1016/J.JVCIR.2022.103541}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvcir/GongDTLWXMX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lp/LiZZZJLWLXW22, author = {Chengzan Li and Yuanchun Zhou and Xiaohuan Zheng and Zeyu Zhang and Lulu Jiang and Zongwen Li and Pengyao Wang and Jianhui Li and Songyuan Xu and Zhanjie Wang}, title = {Tracing the footsteps of open research data in China}, journal = {Learn. Publ.}, volume = {35}, number = {1}, pages = {46--55}, year = {2022}, url = {https://doi.org/10.1002/leap.1439}, doi = {10.1002/LEAP.1439}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lp/LiZZZJLWLXW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/WishartGOWAPDST22, author = {David S. Wishart and Anchi Guo and Eponine Oler and Fei Wang and Afia Anjum and Harrison Peters and Raynard Dizon and Zinat Sayeeda and Siyang Tian and Brian L. Lee and Mark V. Berjanskii and Robert Mah and Mai Yamamoto and Juan Jovel and Claudia Torres{-}Calzada and Mickel Hiebert{-}Giesbrecht and Vicki W. Lui and Dorna Varshavi and Dorsa Varshavi and Dana Allen and David Arndt and Nitya Khetarpal and Aadhavya Sivakumaran and Karxena Harford and Selena Sanford and Kristen Yee and Xuan Cao and Zachary Budinski and Jaanus Liigand and Lun Zhang and Jiamin Zheng and Rupasri Mandal and Naama Karu and Maija Dambrova and Helgi B. Schi{\"{o}}th and Russell Greiner and Vasuk Gautam}, title = {{HMDB} 5.0: the Human Metabolome Database for 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {622--631}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab1062}, doi = {10.1093/NAR/GKAB1062}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/WishartGOWAPDST22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueBZZXHZLZCZZS22, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Jingyao Zeng and Yadong Zhang and Yunfei Shang and Jialin Mai and Shuo Shi and Mingming Lu and Congfan Bu and Zhewen Zhang and Zhenglin Du and Yinying Wang and Hongen Kang and Tianyi Xu and Lili Hao and Peilin Jia and Shuai Jiang and Qiheng Qian and Tongtong Zhu and Wenting Zong and Tong Jin and Yuansheng Zhang and Dong Zou and Qiang Du and Changrui Feng and Lina Ma and Sisi Zhang and Anke Wang and Lili Dong and Yanqing Wang and Wan Liu and Xing Yan and Yunchao Ling and Zhihua Zhou and Wang Kang and Tao Zhang and Shuai Ma and Haoteng Yan and Zunpeng Liu and Zejun Ji and Yusheng Cai and Si Wang and Moshi Song and Jie Ren and Qi Zhou and Jing Qu and Weiqi Zhang and Guanghui Liu and Xu Chen and Tingting Chen and Yanling Sun and Caixia Yu and Bixia Tang and Junwei Zhu and Shuang Zhai and Yubin Sun and Qiancheng Chen and Xiaoyu Yang and Xin Zhang and Zhengqi Sang and Yonggang Wang and Yilin Zhao and Huanxin Chen and Li Lan and Yingke Ma and Yaokai Jia and Xinchang Zheng and Meili Chen and Ming Chen and Guangyi Niu and Rong Pan and Wei Jing and Jian Sang and Chang Liu and Yujia Xiong and Mochen Zhang and Guoliang Wang and Lizhi Yi and Wei Zhao and Song Wu and Zhuang Xiong and Rujiao Li and Zheng Gong and Lin Liu and Zhao Li and Qianpeng Li and Sicheng Luo and Jiajia Wang and Yirong Shi and Honghong Zhou and Peng Zhang and Tingrui Song and Yanyan Li and Fei Yang and Mengwei Li and Zhaohua Li and Dongmei Tian and Xiaonan Liu and Cuiping Li and Xufei Teng and Shuhui Song and Yang Zhang and Ruru Chen and Rongqin Zhang and Feng Xu and Yifan Wang and Chenfen Zhou and Haizhou Wang and Andrew E. Teschendorff and Yungang He and Zhen Yang and Lun Li and Na Li and Ying Cui and Guangya Duan and Gangao Wu and Tianhao Huang and Enhui Jin and Hailong Kang and Zhonghuang Wang and Hua Chen and Mingkun Li and Wanshan Ning and Yu Xue and Yanhu Liu and Qijun Zhou and Xingyan Liu and Longlong Zhang and Bingyu Mao and Shihua Zhang and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Haichun Jing and Yitong Pan and Leisheng Shi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Dan Liu and Chi Zhang and Zheng Zhao and Tao Jiang and Wanying Wu and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Shaofeng Lin and Chuijie Liu and Anyuan Guo and Hao Yuan and Tianhan Su and Yong E. Zhang and Yincong Zhou and Guoji Guo and Shanshan Fu and Xiaodan Tan and Weizhi Zhang and Mei Luo and Yubin Xie and Chenwei Wang and Xingyu Liao and Xin Gao and Jianxin Wang and Guiyan Xie and Chunhui Yuan and Feng Tian and Dechang Yang and Ge Gao and Dachao Tang and Wenyi Wu and Yujie Gou and Cheng Han and Qinghua Cui and Xiangshang Li and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2022}, journal = {Nucleic Acids Res.}, volume = {50}, number = {{D1}}, pages = {27--38}, year = {2022}, url = {https://doi.org/10.1093/nar/gkab951}, doi = {10.1093/NAR/GKAB951}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueBZZXHZLZCZZS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/PangGTFYLALYH22, author = {Jiaoyan Pang and Hanning Guo and Xiaochen Tang and Yu Fu and Zhengwu Yang and Yongchao Li and Na An and Jing Luo and Zhijun Yao and Bin Hu}, title = {Uncovering the global task-modulated brain network in chunk decomposition with Chinese characters}, journal = {NeuroImage}, volume = {247}, pages = {118826}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2021.118826}, doi = {10.1016/J.NEUROIMAGE.2021.118826}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/PangGTFYLALYH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/WanWWYHZCWLXHMLXCSWHZHRZDG22, author = {Qing Wan and Changjin Wan and Huaqiang Wu and Yuchao Yang and Xiaohe Huang and Peng Zhou and Lin Chen and Tian{-}Yu Wang and Yi Li and Kanhao Xue and Yu{-}Hui He and Xiangshui Miao and Xi Li and Chenchen Xie and Houpeng Chen and Zhitang Song and Hong Wang and Yue Hao and Junyao Zhang and Jia Huang and Zheng Yu Ren and Li Qiang Zhu and Jianyu Du and Chen Ge and Yang Liu and Guanglong Ding and Ye Zhou and Su{-}Ting Han and Guosheng Wang and Xiao Yu and Bing Chen and Zhufei Chu and Lunyao Wang and Yinshui Xia and Chen Mu and Feng Lin and Chixiao Chen and Bojun Cheng and Yannan Xing and Weitao Zeng and Hong Chen and Lei Yu and Giacomo Indiveri and Ning Qiao}, title = {2022 roadmap on neuromorphic devices and applications research in China}, journal = {Neuromorph. Comput. Eng.}, volume = {2}, number = {4}, pages = {42501}, year = {2022}, url = {https://doi.org/10.1088/2634-4386/ac7a5a}, doi = {10.1088/2634-4386/AC7A5A}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuromorphic/WanWWYHZCWLXHMLXCSWHZHRZDG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YangYJSCCPZ22, author = {Haojin Yang and Zhengxin Yang and Dongdong Jin and Lin Su and Kai{-}Fung Chan and Kelvin Kam Lung Chong and Chi Pui Pang and Li Zhang}, title = {Magnetic Micro-Driller System for Nasolacrimal Duct Recanalization}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {3}, pages = {7367--7374}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3182105}, doi = {10.1109/LRA.2022.3182105}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/YangYJSCCPZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/CuiLYZWLW22, author = {Lilu Cui and Chuanjiang Luo and Chaolong Yao and Zhengbo Zou and Guiju Wu and Qiong Li and Xiaolong Wang}, title = {The Influence of Climate Change on Forest Fires in Yunnan Province, Southwest China Detected by {GRACE} Satellites}, journal = {Remote. Sens.}, volume = {14}, number = {3}, pages = {712}, year = {2022}, url = {https://doi.org/10.3390/rs14030712}, doi = {10.3390/RS14030712}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/CuiLYZWLW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HuWSMZXLXLWLCCC22, author = {Xiong Hu and Xiaocheng Wu and Shuli Song and Maoli Ma and Weili Zhou and Qingchen Xu and Lei Li and Cunying Xiao and Xie Li and Chi Wang and Qinghui Liu and Lue Chen and Guangming Chen and Jianfeng Cao and Mei Wang and Peijia Li and Zhanghu Chu and Bo Xia and Junfeng Yang and Cui Tu and Dan Liu and Simin Zhang and Quan Zhang and Zheng Li}, title = {First Observations of Mars Atmosphere and Ionosphere with Tianwen-1 Radio-Occultation Technique on 5 August 2021}, journal = {Remote. Sens.}, volume = {14}, number = {11}, pages = {2718}, year = {2022}, url = {https://doi.org/10.3390/rs14112718}, doi = {10.3390/RS14112718}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/HuWSMZXLXLWLCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/HuangZZJZLC22, author = {Yipeng Huang and Murong Zhang and Yuchun Zhao and Ben Jong{-}Dao Jou and Hui Zheng and Changrong Luo and Dehua Chen}, title = {Inter-Zone Differences of Convective Development in a Convection Outbreak Event over Southeastern Coast of China: An Observational Analysis}, journal = {Remote. Sens.}, volume = {14}, number = {1}, pages = {131}, year = {2022}, url = {https://doi.org/10.3390/rs14010131}, doi = {10.3390/RS14010131}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/HuangZZJZLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiGZLZLZ22, author = {Zilu Li and Huadong Guo and Lu Zhang and Dong Liang and Qi Zhu and Xvting Liu and Heng Zhou}, title = {Time-Series Monitoring of Dust-Proof Nets Covering Urban Construction Waste by Multispectral Images in Zhengzhou, China}, journal = {Remote. Sens.}, volume = {14}, number = {15}, pages = {3805}, year = {2022}, url = {https://doi.org/10.3390/rs14153805}, doi = {10.3390/RS14153805}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiGZLZLZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LuHZH22, author = {Ching{-}Yuan Lu and Po{-}Chun Hsu and Quanan Zheng and Chung{-}Ru Ho}, title = {Variations in Flow Patterns in the Northern Taiwan Strait Observed by Satellite-Tracked Drifters}, journal = {Remote. Sens.}, volume = {14}, number = {9}, pages = {2154}, year = {2022}, url = {https://doi.org/10.3390/rs14092154}, doi = {10.3390/RS14092154}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LuHZH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/OuLCZLSDXPXL22, author = {Yang Ou and Zhengqiang Li and Cheng Chen and Ying Zhang and Kaitao Li and Zheng Shi and Jiantao Dong and Hua Xu and Zongren Peng and Yisong Xie and Jie Luo}, title = {Evaluation of {MERRA-2} Aerosol Optical and Component Properties over China Using {SONET} and {PARASOL/GRASP} Data}, journal = {Remote. Sens.}, volume = {14}, number = {4}, pages = {821}, year = {2022}, url = {https://doi.org/10.3390/rs14040821}, doi = {10.3390/RS14040821}, timestamp = {Fri, 03 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/OuLCZLSDXPXL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangZLGZWWLS22, author = {Jinhua Wang and Feng Zhang and Guangming Luo and Yuchuan Guo and Jianghua Zheng and Shixin Wu and Dawei Wang and Suhong Liu and Qingdong Shi}, title = {Factors Influencing Seasonal Changes in Inundation of the Daliyaboyi Oasis, Lower Keriya River Valley, Central Tarim Basin, China}, journal = {Remote. Sens.}, volume = {14}, number = {19}, pages = {5050}, year = {2022}, url = {https://doi.org/10.3390/rs14195050}, doi = {10.3390/RS14195050}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangZLGZWWLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/XuCZCYL22, author = {Zhengyuan Xu and Shengbo Chen and Bingxue Zhu and Liwen Chen and Yinghui Ye and Peng Lu}, title = {Evaluating the Capability of Satellite Hyperspectral Imager, the ZY1-02D, for Topsoil Nitrogen Content Estimation and Mapping of Farmlands in Black Soil Area, China}, journal = {Remote. Sens.}, volume = {14}, number = {4}, pages = {1008}, year = {2022}, url = {https://doi.org/10.3390/rs14041008}, doi = {10.3390/RS14041008}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/XuCZCYL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangTLJZLLC22, author = {Leiku Yang and Xinyao Tian and Chao Liu and Weiqian Ji and Yu Zheng and Huan Liu and Xiaofeng Lu and Huizheng Che}, title = {Evaluation and Comparison of {MODIS} {C6} and {C6.1} Deep Blue Aerosol Products in Arid and Semi-Arid Areas of Northwestern China}, journal = {Remote. Sens.}, volume = {14}, number = {8}, pages = {1935}, year = {2022}, url = {https://doi.org/10.3390/rs14081935}, doi = {10.3390/RS14081935}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YangTLJZLLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YangYLZZ22, author = {Na Yang and Hang Yu and Ying Lu and Yehui Zhang and Yunchuan Zheng}, title = {Evaluating the Applicability of {PERSIANN-CDR} Products in Drought Monitoring: {A} Case Study of Long-Term Droughts over Huaihe River Basin, China}, journal = {Remote. Sens.}, volume = {14}, number = {18}, pages = {4460}, year = {2022}, url = {https://doi.org/10.3390/rs14184460}, doi = {10.3390/RS14184460}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YangYLZZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YuanLNYLDCZMFTX22, author = {Xinyue Yuan and Chao Liu and Ruihua Nie and Zhengli Yang and Weile Li and Xiaoai Dai and Junying Cheng and Junmin Zhang and Lei Ma and Xiao Fu and Min Tang and Yina Xu and Heng Lu}, title = {A Comparative Analysis of Certainty Factor-Based Machine Learning Methods for Collapse and Landslide Susceptibility Mapping in Wenchuan County, China}, journal = {Remote. Sens.}, volume = {14}, number = {14}, pages = {3259}, year = {2022}, url = {https://doi.org/10.3390/rs14143259}, doi = {10.3390/RS14143259}, timestamp = {Tue, 10 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YuanLNYLDCZMFTX22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ZhengCZLLDYWL22, author = {Xuemin Zheng and Li Cheng and Mingxin Zhao and Qian Luo and Honglong Li and Runjiang Dou and Shuangming Yu and Nanjian Wu and Liyuan Liu}, title = {ViP: {A} Hierarchical Parallel Vision Processor for Hybrid Vision Chip}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {6}, pages = {2957--2961}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3156945}, doi = {10.1109/TCSII.2022.3156945}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ZhengCZLLDYWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LuoCFXZZY22, author = {Jingbo Luo and Si{-}Lu Chen and Xiaonan Fan and Ciyuan Xiong and Tianjiang Zheng and Chi Zhang and Guilin Yang}, title = {Kinematic Calibration of a 4PPa-2PaR Parallel Mechanism With Subchains on Limbs}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--11}, year = {2022}, url = {https://doi.org/10.1109/tim.2022.3156998}, doi = {10.1109/TIM.2022.3156998}, timestamp = {Mon, 22 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LuoCFXZZY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/CaiCLPHZ22, author = {Chao Cai and Zhe Chen and Jun Luo and Henglin Pu and Menglan Hu and Rong Zheng}, title = {Boosting Chirp Signal Based Aerial Acoustic Communication Under Dynamic Channel Conditions}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {21}, number = {9}, pages = {3110--3121}, year = {2022}, url = {https://doi.org/10.1109/TMC.2021.3051665}, doi = {10.1109/TMC.2021.3051665}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/CaiCLPHZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnse/ZhengXL22, author = {Shiyuan Zheng and Hong Xie and John C. S. Lui}, title = {Optimizing Social Visibility in OSNs With Anonymity Guarantees: Efficient Algorithms and Applications}, journal = {{IEEE} Trans. Netw. Sci. Eng.}, volume = {9}, number = {4}, pages = {2237--2251}, year = {2022}, url = {https://doi.org/10.1109/TNSE.2022.3159672}, doi = {10.1109/TNSE.2022.3159672}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnse/ZhengXL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/Jiang00000L22, author = {Kui Jiang and Zhongyuan Wang and Zheng Wang and Chen Chen and Peng Yi and Tao Lu and Chia{-}Wen Lin}, title = {Degrade Is Upgrade: Learning Degradation for Low-Light Image Enhancement}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {1078--1086}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i1.19992}, doi = {10.1609/AAAI.V36I1.19992}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/Jiang00000L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ZhaoWXCL0L22, author = {Yinuo Zhao and Kun Wu and Zhiyuan Xu and Zhengping Che and Qi Lu and Jian Tang and Chi Harold Liu}, title = {{CADRE:} {A} Cascade Deep Reinforcement Learning Framework for Vision-Based Autonomous Urban Driving}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {3481--3489}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i3.20259}, doi = {10.1609/AAAI.V36I3.20259}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ZhaoWXCL0L22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/ZhangCBLLSYTXHS22, author = {Ningyu Zhang and Mosha Chen and Zhen Bi and Xiaozhuan Liang and Lei Li and Xin Shang and Kangping Yin and Chuanqi Tan and Jian Xu and Fei Huang and Luo Si and Yuan Ni and Guotong Xie and Zhifang Sui and Baobao Chang and Hui Zong and Zheng Yuan and Linfeng Li and Jun Yan and Hongying Zan and Kunli Zhang and Buzhou Tang and Qingcai Chen}, editor = {Smaranda Muresan and Preslav Nakov and Aline Villavicencio}, title = {{CBLUE:} {A} Chinese Biomedical Language Understanding Evaluation Benchmark}, booktitle = {Proceedings of the 60th Annual Meeting of the Association for Computational Linguistics (Volume 1: Long Papers), {ACL} 2022, Dublin, Ireland, May 22-27, 2022}, pages = {7888--7915}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.acl-long.544}, doi = {10.18653/V1/2022.ACL-LONG.544}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acl/ZhangCBLLSYTXHS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/MyersOBGZOSIPKR22, author = {Lynnea Myers and Shauna M. Overgaard and Tracey Brereton and Jason Greenwood and Lu Zheng and Joshua Ohde and Matthew Spiten and Kathy Ihrke and Kevin Peterson and Ashwani Khurana and Euijung Ryu and Madison Roy and Chung{-}Il Wi and Bjorn Nordlund and Young J. Juhn}, title = {Comprehensive Evaluation of Health Impact of an ML-Based {CDS} Solution Integrated with Remote Device: Protocol for {RCT} in Children with Asthma}, booktitle = {{AMIA} 2022, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 5-9, 2022}, publisher = {{AMIA}}, year = {2022}, url = {https://knowledge.amia.org/76677-amia-1.4637602/f002-1.4642717/f002-1.4642718/7002-1.4642722/7004-1.4642719}, timestamp = {Wed, 17 Apr 2024 11:46:45 +0200}, biburl = {https://dblp.org/rec/conf/amia/MyersOBGZOSIPKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HerathRBRAZLFH022, author = {Anuradha Herath and Bradley Rey and Sandra Bardot and Sawyer Rempel and Lucas Audette and Huizhe Zheng and Jun Li and Kevin Fan and Da{-}Yuan Huang and Wei Li and Pourang Irani}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma}, title = {Expanding Touch Interaction Capabilities for Smart-rings: An Exploration of Continual Slide and Microroll Gestures}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022, Extended Abstracts}, pages = {292:1--292:7}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491101.3519714}, doi = {10.1145/3491101.3519714}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HerathRBRAZLFH022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChangYZLZLZXPZC22, author = {Ziyi Chang and Changgui Yang and Yunshan Zhang and Zhuhao Li and Tianyu Zheng and Yuxuan Luo and Shaomin Zhang and Kedi Xu and Gang Pan and Bo Zhao and Yong Chen}, title = {A Battery-Less Crystal-Less 49.8{\(\mathrm{\mu}\)}W Neural-Recording Chip Featuring Two-Tone {RF} Power Harvesting}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772792}, doi = {10.1109/CICC53496.2022.9772792}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/ChangYZLZLZXPZC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BhatDTCCCCCDFGG22, author = {Goutam Bhat and Martin Danelljan and Radu Timofte and Yizhen Cao and Yuntian Cao and Meiya Chen and Xihao Chen and Shen Cheng and Akshay Dudhane and Haoqiang Fan and Ruipeng Gang and Jian Gao and Yan Gu and Jie Huang and Liufeng Huang and Youngsu Jo and Sukju Kang and Salman Khan and Fahad Shahbaz Khan and Yuki Kondo and Chenghua Li and Fangya Li and Jinjing Li and Youwei Li and Zechao Li and Chenming Liu and Shuaicheng Liu and Zikun Liu and Zhuoming Liu and Ziwei Luo and Zhengxiong Luo and Nancy Mehta and Subrahmanyam Murala and Yoonchan Nam and Chihiro Nakatani and Pavel Ostyakov and Jinshan Pan and Ge Song and Jian Sun and Long Sun and Jinhui Tang and Norimichi Ukita and Zhihong Wen and Qi Wu and Xiaohe Wu and Zeyu Xiao and Zhiwei Xiong and Rongjian Xu and Ruikang Xu and Youliang Yan and Jialin Yang and Wentao Yang and Zhongbao Yang and Fuma Yasue and Mingde Yao and Lei Yu and Cong Zhang and Syed Waqas Zamir and Jianxing Zhang and Shuohao Zhang and Zhilu Zhang and Qian Zheng and Gaofeng Zhou and Magauiya Zhussip and Xueyi Zou and Wangmeng Zuo}, title = {{NTIRE} 2022 Burst Super-Resolution Challenge}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {1040--1060}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00117}, doi = {10.1109/CVPRW56347.2022.00117}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/BhatDTCCCCCDFGG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTCKXLLCCYSCJXZBSZGLWZZLWSWLZYDZ22, author = {Andrey Ignatov and Radu Timofte and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Yu{-}Syuan Xu and Man{-}Yu Lee and Allen Lu and Chia{-}Ming Cheng and Chih{-}Cheng Chen and Jia{-}Ying Yong and Hong{-}Han Shuai and Wen{-}Huang Cheng and Zhuang Jia and Tianyu Xu and Yijian Zhang and Long Bao and Heng Sun and Diankai Zhang and Si Gao and Shaoli Liu and Biao Wu and Xiaofeng Zhang and Chengjian Zheng and Kaidi Lu and Ning Wang and Xiao Sun and Haodong Wu and Xuncheng Liu and Weizhan Zhang and Caixia Yan and Haipeng Du and Qinghua Zheng and Qi Wang and Wangdu Chen and Ran Duan and Mengdi Sun and Dan Zhu and Guannan Chen and Hojin Cho and Steve Kim and Shijie Yue and Chenghua Li and Zhengyang Zhuge and Wei Chen and Wenxu Wang and Yufeng Zhou and Xiaochen Cai and Hengxing Cai and Kele Xu and Li Liu and Zehua Cheng and Wenyi Lian and Wenjing Lian}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Power Efficient Video Super-Resolution on Mobile NPUs with Deep Learning, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {130--152}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_6}, doi = {10.1007/978-3-031-25066-8\_6}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTCKXLLCCYSCJXZBSZGLWZZLWSWLZYDZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KristanLMFPKCDZLDBZZYYCMFBBCCCCCCCCCCC22, author = {Matej Kristan and Ales Leonardis and Jir{\'{\i}} Matas and Michael Felsberg and Roman P. Pflugfelder and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Hyung Jin Chang and Martin Danelljan and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Johanna Bj{\"{o}}rklund and Yushan Zhang and Zhongqun Zhang and Song Yan and Wenyan Yang and Dingding Cai and Christoph Mayer and Gustavo Fern{\'{a}}ndez and Kang Ben and Goutam Bhat and Hong Chang and Guangqi Chen and Jiaye Chen and Shengyong Chen and Xilin Chen and Xin Chen and Xiuyi Chen and Yiwei Chen and Yu{-}Hsi Chen and Zhixing Chen and Yangming Cheng and Angelo Ciaramella and Yutao Cui and Benjamin Dzubur and Mohana Murali Dasari and Qili Deng and Debajyoti Dhar and Shangzhe Di and Emanuel Di Nardo and Daniel K. Du and Matteo Dunnhofer and Heng Fan and Zhen{-}Hua Feng and Zhihong Fu and Shang Gao and Rama Krishna Gorthi and Eric Granger and Q. H. Gu and Himanshu Gupta and Jianfeng He and Keji He and Yan Huang and Deepak Jangid and Rongrong Ji and Cheng Jiang and Yingjie Jiang and Felix J{\"{a}}remo Lawin and Ze Kang and Madhu Kiran and Josef Kittler and Simiao Lai and Xiangyuan Lan and Dongwook Lee and Hyunjeong Lee and Seohyung Lee and Hui Li and Ming Li and Wangkai Li and Xi Li and Xianxian Li and Xiao Li and Zhe Li and Liting Lin and Haibin Ling and Bo Liu and Chang Liu and Si Liu and Huchuan Lu and Rafael M. O. Cruz and Bingpeng Ma and Chao Ma and Jie Ma and Yinchao Ma and Niki Martinel and Alireza Memarmoghadam and Christian Micheloni and Payman Moallem and Le Thanh Nguyen{-}Meidine and Siyang Pan and ChangBeom Park and Danda Pani Paudel and Matthieu Paul and Houwen Peng and Andreas Robinson and Litu Rout and Shiguang Shan and Kristian Simonato and Tianhui Song and Xiaoning Song and Chao Sun and Jingna Sun and Zhangyong Tang and Radu Timofte and Chi{-}Yi Tsai and Luc Van Gool and Om Prakash Verma and Dong Wang and Fei Wang and Liang Wang and Liangliang Wang and Lijun Wang and Limin Wang and Qiang Wang and Gangshan Wu and Jinlin Wu and Xiaojun Wu and Fei Xie and Tianyang Xu and Wei Xu and Yong Xu and Yuanyou Xu and Wanli Xue and Zizheng Xun and Bin Yan and Dawei Yang and Jinyu Yang and Wankou Yang and Xiaoyun Yang and Yi Yang and Yichun Yang and Zongxin Yang and Botao Ye and Fisher Yu and Hongyuan Yu and Jiaqian Yu and Qianjin Yu and Weichen Yu and Kang Ze and Jiang Zhai and Chengwei Zhang and Chunhu Zhang and Kaihua Zhang and Tianzhu Zhang and Wenkang Zhang and Zhibin Zhang and Zhipeng Zhang and Jie Zhao and Shao{-}Chuan Zhao and Feng Zheng and Haixia Zheng and Min Zheng and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu and Yueting Zhuang}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {The Tenth Visual Object Tracking {VOT2022} Challenge Results}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {VIII}}, series = {Lecture Notes in Computer Science}, volume = {13808}, pages = {431--460}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25085-9\_25}, doi = {10.1007/978-3-031-25085-9\_25}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/KristanLMFPKCDZLDBZZYYCMFBBCCCCCCCCCCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eitce/LuoYDSXZ22, author = {Jigen Luo and Yang Yuan and Jianqiang Du and Qiang Shi and Wangping Xiong and Qiming Zheng}, title = {Joint extraction method of entity relationship in Chinese Medicine based on Data Augmentation and Deep Learning}, booktitle = {Proceedings of the 2022 6th International Conference on Electronic Information Technology and Computer Engineering, {EITCE} 2022, Xiamen, China, October 21-23, 2022}, pages = {1349--1358}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3573428.3573668}, doi = {10.1145/3573428.3573668}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eitce/LuoYDSXZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/geoinformatics/HanZLY22, author = {Chuqiao Han and Jianghua Zheng and Binbin Lu and Danlin Yu}, title = {Analysis on Influencing Factors of urban comprehensive carrying capacity: a case study of five provinces in Northwest China}, booktitle = {29th International Conference on Geoinformatics, Beijing, China, August 15-18, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/Geoinformatics57846.2022.9963843}, doi = {10.1109/GEOINFORMATICS57846.2022.9963843}, timestamp = {Fri, 09 Dec 2022 16:21:48 +0100}, biburl = {https://dblp.org/rec/conf/geoinformatics/HanZLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ZhouDWWWZXZ22, author = {Meiyu Zhou and Hanwen Du and Zhengyu Wang and Li Wang and Yibing Wu and Jinyao Zhang and Yajing Xu and Lu Zhong}, editor = {Marcelo M. Soares and Elizabeth Rosenzweig and Aaron Marcus}, title = {Identifying Key Factors Influencing Mobile Music App User Experience in China Using a Fuzzy {DEMATEL} Method}, booktitle = {Design, User Experience, and Usability: {UX} Research, Design, and Assessment - 11th International Conference, {DUXU} 2022, Held as Part of the 24th {HCI} International Conference, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13321}, pages = {339--350}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-05897-4\_24}, doi = {10.1007/978-3-031-05897-4\_24}, timestamp = {Tue, 21 Jun 2022 15:24:32 +0200}, biburl = {https://dblp.org/rec/conf/hci/ZhouDWWWZXZ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icann/ZhaoYLGXG22, author = {Zhengming Zhao and Hang Yu and Xiangfeng Luo and Jianqi Gao and Xiao Xu and Shengming Guo}, editor = {Elias Pimenidis and Plamen Angelov and Chrisina Jayne and Antonios Papaleonidas and Mehmet Aydin}, title = {{IA-ICGCN:} Integrating Prior Knowledge via Intra-event Association and Inter-event Causality for Chinese Causal Event Extraction}, booktitle = {Artificial Neural Networks and Machine Learning - {ICANN} 2022 - 31st International Conference on Artificial Neural Networks, Bristol, UK, September 6-9, 2022, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {13530}, pages = {519--531}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-15931-2\_43}, doi = {10.1007/978-3-031-15931-2\_43}, timestamp = {Wed, 10 Jul 2024 11:55:25 +0200}, biburl = {https://dblp.org/rec/conf/icann/ZhaoYLGXG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccda/LiuZL22, author = {Liying Liu and Yang Zheng and Ye Luo}, title = {Chip-Firing Reservoir Computing}, booktitle = {{ICCDA} 2022: The 6th International Conference on Compute and Data Analysis, Virtual Event / Shanghai, China, February 25 - 27, 2022}, pages = {75--80}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3523089.3523102}, doi = {10.1145/3523089.3523102}, timestamp = {Thu, 21 Dec 2023 08:56:00 +0100}, biburl = {https://dblp.org/rec/conf/iccda/LiuZL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-tw/HsiehLZT22, author = {Chen{-}Chiung Hsieh and Meng{-}Ju Lu and You{-}Zhan Zheng and Hsiao{-}Ting Tseng}, title = {Automatic Speaker Localization in Conference Based on Yolox-Tiny and {TDOA}}, booktitle = {{IEEE} International Conference on Consumer Electronics - Taiwan, {ICCE-TW} 2022, Taipei, Taiwan, July 6-8, 2022}, pages = {161--162}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE-Taiwan55306.2022.9869193}, doi = {10.1109/ICCE-TAIWAN55306.2022.9869193}, timestamp = {Fri, 09 Sep 2022 16:55:40 +0200}, biburl = {https://dblp.org/rec/conf/icce-tw/HsiehLZT22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/LiZHLXXCL22, author = {Jian Li and Xue Zhang and Yisen Huang and Xiao Luo and Ke Xie and Yitian Xian and Philip Waiyan Chiu and Zheng Li}, editor = {Honghai Liu and Zhouping Yin and Lianqing Liu and Li Jiang and Guoying Gu and Xinyu Wu and Weihong Ren}, title = {3D Visual Servo Control of a Flexible Endoscope with {RCM} Constraint}, booktitle = {Intelligent Robotics and Applications - 15th International Conference, {ICIRA} 2022, Harbin, China, August 1-3, 2022, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {13458}, pages = {53--63}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-13841-6\_5}, doi = {10.1007/978-3-031-13841-6\_5}, timestamp = {Wed, 21 Aug 2024 07:35:24 +0200}, biburl = {https://dblp.org/rec/conf/icira/LiZHLXXCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/ZhengLXL22, author = {Shiyuan Zheng and Xudong Liu and Hong Xie and John C. S. Lui}, title = {Reposting Service in Online Social Networks: Modeling and Online Incentive Protocols}, booktitle = {30th {IEEE} International Conference on Network Protocols, {ICNP} 2022, Lexington, KY, USA, October 30 - Nov. 2, 2022}, pages = {1--11}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICNP55882.2022.9940336}, doi = {10.1109/ICNP55882.2022.9940336}, timestamp = {Thu, 24 Nov 2022 14:56:20 +0100}, biburl = {https://dblp.org/rec/conf/icnp/ZhengLXL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LuoDLGLL22, author = {Zhengyu Luo and Lei Du and Lirong Liu and Yuhang Gan and Ke Liu and Chang Li}, title = {Study on Polarimetric Scattering Characteristics of Different Band {SAR} Images Based on Chinese Airborne Sar System}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2022, Kuala Lumpur, Malaysia, July 17-22, 2022}, pages = {2546--2549}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IGARSS46834.2022.9884902}, doi = {10.1109/IGARSS46834.2022.9884902}, timestamp = {Fri, 30 Sep 2022 15:33:50 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LuoDLGLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mesa/HuangLPCYTBGL22, author = {Zheng{-}Jie Huang and Wei{-}Hao Lu and Brijesh Patel and Po{-}Yan Chiu and Tz{-}Yu Yang and Hao Jian Tong and Vytautas Bucinskas and Modris Greitans and Po Ting Lin}, title = {Convolutional Neural Network-based Image Restoration {(CNNIR)}}, booktitle = {18th {IEEE/ASME} International Conference on Mechatronic and Embedded Systems and Applications, {MESA} 2022, Taipei, Taiwan, November 28-30, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/MESA55290.2022.10004461}, doi = {10.1109/MESA55290.2022.10004461}, timestamp = {Thu, 05 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mesa/HuangLPCYTBGL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/ZhengJLYF22, author = {Wu Zheng and Li Jiang and Fanbin Lu and Yangyang Ye and Chi{-}Wing Fu}, editor = {Jo{\~{a}}o Magalh{\~{a}}es and Alberto Del Bimbo and Shin'ichi Satoh and Nicu Sebe and Xavier Alameda{-}Pineda and Qin Jin and Vincent Oria and Laura Toni}, title = {Boosting Single-Frame 3D Object Detection by Simulating Multi-Frame Point Clouds}, booktitle = {{MM} '22: The 30th {ACM} International Conference on Multimedia, Lisboa, Portugal, October 10 - 14, 2022}, pages = {4848--4856}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3503161.3548123}, doi = {10.1145/3503161.3548123}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mm/ZhengJLYF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nanoarch/HouSWW22, author = {Zhengyi Hou and Luyao Shi and Bi Wang and Zhaohao Wang}, editor = {Christof Teuscher and Jie Han}, title = {Approximate computation based on {NAND-SPIN} {MRAM} for {CNN} on-chip training}, booktitle = {Proceedings of the 17th {ACM} International Symposium on Nanoscale Architectures, {NANOARCH} 2022, Virtual, OR, USA, December 7-9, 2022}, pages = {14:1--14:2}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3565478.3572537}, doi = {10.1145/3565478.3572537}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nanoarch/HouSWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YangZCLZLZX0Z22, author = {Changgui Yang and Yunshan Zhang and Ziyi Chang and Zhuhao Li and Tianyu Zheng and Yuxuan Luo and Shaomin Zhang and Kedi Xu and Gang Pan and Bo Zhao}, title = {A 0.4mm\({}^{\mbox{3}}\) Battery-Less Crystal-Less Neural-Recording SoC Achieving 1.6cm Backscattering Range with 2mm{\texttimes}2mm On-Chip Antenna}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {164--165}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830235}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830235}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/YangZCLZLZX0Z22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/YanSXHZWL22, author = {Xinyun Yan and Shang Shi and Xiaohu Xu and Zhengran He and Xiaofeng Zhou and Chishe Wang and Zhiyi Lu}, title = {An Automatic Pavement Crack Detection System with FocusCrack Dataset}, booktitle = {96th Vehicular Technology Conference, {VTC} Fall 2022, London, United Kingdom, September 26-29, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VTC2022-Fall57202.2022.10013000}, doi = {10.1109/VTC2022-FALL57202.2022.10013000}, timestamp = {Tue, 24 Jan 2023 16:39:10 +0100}, biburl = {https://dblp.org/rec/conf/vtc/YanSXHZWL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ACISicis/2022, editor = {Zheng{-}an Yao and Simon Xu and Jixin Ma and Wencai Du and Wei Lu}, title = {22nd {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2022, Zhuhai, China, June 26-28, 2022}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICIS54925.2022}, doi = {10.1109/ICIS54925.2022}, isbn = {978-1-6654-9463-2}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-08557, author = {Yinuo Zhao and Kun Wu and Zhiyuan Xu and Zhengping Che and Qi Lu and Jian Tang and Chi Harold Liu}, title = {{CADRE:} {A} Cascade Deep Reinforcement Learning Framework for Vision-based Autonomous Urban Driving}, journal = {CoRR}, volume = {abs/2202.08557}, year = {2022}, url = {https://arxiv.org/abs/2202.08557}, eprinttype = {arXiv}, eprint = {2202.08557}, timestamp = {Fri, 14 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-08557.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2204-04746, author = {Chinedu Innocent Nwoye and Deepak Alapatt and Tong Yu and Armine Vardazaryan and Fangfang Xia and Zixuan Zhao and Tong Xia and Fucang Jia and Yuxuan Yang and Hao Wang and Derong Yu and Guoyan Zheng and Xiaotian Duan and Neil Getty and Ricardo Sanchez{-}Matilla and Maria Robu and Li Zhang and Huabin Chen and Jiacheng Wang and Liansheng Wang and Bokai Zhang and Beerend G. A. Gerats and Sista Raviteja and Rachana Sathish and Rong Tao and Satoshi Kondo and Winnie Pang and Hongliang Ren and Julian Ronald Abbing and Mohammad Hasan Sarhan and Sebastian Bodenstedt and Nithya Bhasker and Bruno Oliveira and Helena R. Torres and Li Ling and Finn Gaida and Tobias Czempiel and Jo{\~{a}}o L. Vila{\c{c}}a and Pedro Morais and Jaime C. Fonseca and Ruby Mae Egging and Inge Nicole Wijma and Chen Qian and Guibin Bian and Zhen Li and Velmurugan Balasubramanian and Debdoot Sheet and Imanol Luengo and Yuanbo Zhu and Shuai Ding and Jakob{-}Anton Aschenbrenner and Nicolas Elini van der Kar and Mengya Xu and Mobarakol Islam and Lalithkumar Seenivasan and Alexander Jenke and Danail Stoyanov and Didier Mutter and Pietro Mascagni and Barbara Seeliger and Cristians Gonzalez and Nicolas Padoy}, title = {CholecTriplet2021: {A} benchmark challenge for surgical action triplet recognition}, journal = {CoRR}, volume = {abs/2204.04746}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2204.04746}, doi = {10.48550/ARXIV.2204.04746}, eprinttype = {arXiv}, eprint = {2204.04746}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2204-04746.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-03582, author = {Yanxiang Gong and Linjie Deng and Shuai Tao and Xinchen Lu and Peicheng Wu and Zhiwei Xie and Zheng Ma and Mei Xie}, title = {Unified Chinese License Plate Detection and Recognition with High Efficiency}, journal = {CoRR}, volume = {abs/2205.03582}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.03582}, doi = {10.48550/ARXIV.2205.03582}, eprinttype = {arXiv}, eprint = {2205.03582}, timestamp = {Thu, 19 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-03582.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04615, author = {Aarohi Srivastava and Abhinav Rastogi and Abhishek Rao and Abu Awal Md Shoeb and Abubakar Abid and Adam Fisch and Adam R. Brown and Adam Santoro and Aditya Gupta and Adri{\`{a}} Garriga{-}Alonso and Agnieszka Kluska and Aitor Lewkowycz and Akshat Agarwal and Alethea Power and Alex Ray and Alex Warstadt and Alexander W. Kocurek and Ali Safaya and Ali Tazarv and Alice Xiang and Alicia Parrish and Allen Nie and Aman Hussain and Amanda Askell and Amanda Dsouza and Ambrose Slone and Ameet Rahane and Anantharaman S. Iyer and Anders Andreassen and Andrea Madotto and Andrea Santilli and Andreas Stuhlm{\"{u}}ller and Andrew M. Dai and Andrew La and Andrew K. Lampinen and Andy Zou and Angela Jiang and Angelica Chen and Anh Vuong and Animesh Gupta and Anna Gottardi and Antonio Norelli and Anu Venkatesh and Arash Gholamidavoodi and Arfa Tabassum and Arul Menezes and Arun Kirubarajan and Asher Mullokandov and Ashish Sabharwal and Austin Herrick and Avia Efrat and Aykut Erdem and Ayla Karakas and B. Ryan Roberts and Bao Sheng Loe and Barret Zoph and Bartlomiej Bojanowski and Batuhan {\"{O}}zyurt and Behnam Hedayatnia and Behnam Neyshabur and Benjamin Inden and Benno Stein and Berk Ekmekci and Bill Yuchen Lin and Blake Howald and Bryan Orinion and Cameron Diao and Cameron Dour and Catherine Stinson and Cedrick Argueta and C{\`{e}}sar Ferri Ram{\'{\i}}rez and Chandan Singh and Charles Rathkopf and Chenlin Meng and Chitta Baral and Chiyu Wu and Chris Callison{-}Burch and Chris Waites and Christian Voigt and Christopher D. Manning and Christopher Potts and Cindy Ramirez and Clara E. Rivera and Clemencia Siro and Colin Raffel and Courtney Ashcraft and Cristina Garbacea and Damien Sileo and Dan Garrette and Dan Hendrycks and Dan Kilman and Dan Roth and Daniel Freeman and Daniel Khashabi and Daniel Levy and Daniel Mosegu{\'{\i}} Gonz{\'{a}}lez and Danielle Perszyk and Danny Hernandez and Danqi Chen and Daphne Ippolito and Dar Gilboa and David Dohan and David Drakard and David Jurgens and Debajyoti Datta and Deep Ganguli and Denis Emelin and Denis Kleyko and Deniz Yuret and Derek Chen and Derek Tam and Dieuwke Hupkes and Diganta Misra and Dilyar Buzan and Dimitri Coelho Mollo and Diyi Yang and Dong{-}Ho Lee and Dylan Schrader and Ekaterina Shutova and Ekin Dogus Cubuk and Elad Segal and Eleanor Hagerman and Elizabeth Barnes and Elizabeth Donoway and Ellie Pavlick and Emanuele Rodol{\`{a}} and Emma Lam and Eric Chu and Eric Tang and Erkut Erdem and Ernie Chang and Ethan A. Chi and Ethan Dyer and Ethan J. Jerzak and Ethan Kim and Eunice Engefu Manyasi and Evgenii Zheltonozhskii and Fanyue Xia and Fatemeh Siar and Fernando Mart{\'{\i}}nez{-}Plumed and Francesca Happ{\'{e}} and Fran{\c{c}}ois Chollet and Frieda Rong and Gaurav Mishra and Genta Indra Winata and Gerard de Melo and Germ{\'{a}}n Kruszewski and Giambattista Parascandolo and Giorgio Mariani and Gloria Wang and Gonzalo Jaimovitch{-}L{\'{o}}pez and Gregor Betz and Guy Gur{-}Ari and Hana Galijasevic and Hannah Kim and Hannah Rashkin and Hannaneh Hajishirzi and Harsh Mehta and Hayden Bogar and Henry Shevlin and Hinrich Sch{\"{u}}tze and Hiromu Yakura and Hongming Zhang and Hugh Mee Wong and Ian Ng and Isaac Noble and Jaap Jumelet and Jack Geissinger and Jackson Kernion and Jacob Hilton and Jaehoon Lee and Jaime Fern{\'{a}}ndez Fisac and James B. Simon and James Koppel and James Zheng and James Zou and Jan Kocon and Jana Thompson and Janelle Wingfield and Jared Kaplan and Jarema Radom and Jascha Sohl{-}Dickstein and Jason Phang and Jason Wei and Jason Yosinski and Jekaterina Novikova and Jelle Bosscher and Jennifer Marsh and Jeremy Kim and Jeroen Taal and Jesse H. Engel and Jesujoba Alabi and Jiacheng Xu and Jiaming Song and Jillian Tang and Joan Waweru and John Burden and John Miller and John U. Balis and Jonathan Batchelder and Jonathan Berant and J{\"{o}}rg Frohberg and Jos Rozen and Jos{\'{e}} Hern{\'{a}}ndez{-}Orallo and Joseph Boudeman and Joseph Guerr and Joseph Jones and Joshua B. Tenenbaum and Joshua S. Rule and Joyce Chua and Kamil Kanclerz and Karen Livescu and Karl Krauth and Karthik Gopalakrishnan and Katerina Ignatyeva and Katja Markert and Kaustubh D. Dhole and Kevin Gimpel and Kevin Omondi and Kory Mathewson and Kristen Chiafullo and Ksenia Shkaruta and Kumar Shridhar and Kyle McDonell and Kyle Richardson and Laria Reynolds and Leo Gao and Li Zhang and Liam Dugan and Lianhui Qin and Lidia Contreras Ochando and Louis{-}Philippe Morency and Luca Moschella and Lucas Lam and Lucy Noble and Ludwig Schmidt and Luheng He and Luis Oliveros Col{\'{o}}n and Luke Metz and L{\"{u}}tfi Kerem Senel and Maarten Bosma and Maarten Sap and Maartje ter Hoeve and Maheen Farooqi and Manaal Faruqui and Mantas Mazeika and Marco Baturan and Marco Marelli and Marco Maru and Mar{\'{\i}}a Jos{\'{e}} Ram{\'{\i}}rez{-}Quintana and Marie Tolkiehn and Mario Giulianelli and Martha Lewis and Martin Potthast and Matthew L. Leavitt and Matthias Hagen and M{\'{a}}ty{\'{a}}s Schubert and Medina Baitemirova and Melody Arnaud and Melvin McElrath and Michael A. Yee and Michael Cohen and Michael Gu and Michael I. Ivanitskiy and Michael Starritt and Michael Strube and Michal Swedrowski and Michele Bevilacqua and Michihiro Yasunaga and Mihir Kale and Mike Cain and Mimee Xu and Mirac Suzgun and Mitch Walker and Mo Tiwari and Mohit Bansal and Moin Aminnaseri and Mor Geva and Mozhdeh Gheini and Mukund Varma T. and Nanyun Peng and Nathan A. Chi and Nayeon Lee and Neta Gur{-}Ari Krakover and Nicholas Cameron and Nicholas Roberts and Nick Doiron and Nicole Martinez and Nikita Nangia and Niklas Deckers and Niklas Muennighoff and Nitish Shirish Keskar and Niveditha Iyer and Noah Constant and Noah Fiedel and Nuan Wen and Oliver Zhang and Omar Agha and Omar Elbaghdadi and Omer Levy and Owain Evans and Pablo Antonio Moreno Casares and Parth Doshi and Pascale Fung and Paul Pu Liang and Paul Vicol and Pegah Alipoormolabashi and Peiyuan Liao and Percy Liang and Peter Chang and Peter Eckersley and Phu Mon Htut and Pinyu Hwang and Piotr Milkowski and Piyush Patil and Pouya Pezeshkpour and Priti Oli and Qiaozhu Mei and Qing Lyu and Qinlang Chen and Rabin Banjade and Rachel Etta Rudolph and Raefer Gabriel and Rahel Habacker and Ramon Risco and Rapha{\"{e}}l Milli{\`{e}}re and Rhythm Garg and Richard Barnes and Rif A. Saurous and Riku Arakawa and Robbe Raymaekers and Robert Frank and Rohan Sikand and Roman Novak and Roman Sitelew and Ronan LeBras and Rosanne Liu and Rowan Jacobs and Rui Zhang and Ruslan Salakhutdinov and Ryan Chi and Ryan Lee and Ryan Stovall and Ryan Teehan and Rylan Yang and Sahib Singh and Saif M. Mohammad and Sajant Anand and Sam Dillavou and Sam Shleifer and Sam Wiseman and Samuel Gruetter and Samuel R. Bowman and Samuel S. Schoenholz and Sanghyun Han and Sanjeev Kwatra and Sarah A. Rous and Sarik Ghazarian and Sayan Ghosh and Sean Casey and Sebastian Bischoff and Sebastian Gehrmann and Sebastian Schuster and Sepideh Sadeghi and Shadi Hamdan and Sharon Zhou and Shashank Srivastava and Sherry Shi and Shikhar Singh and Shima Asaadi and Shixiang Shane Gu and Shubh Pachchigar and Shubham Toshniwal and Shyam Upadhyay and Shyamolima (Shammie) Debnath and Siamak Shakeri and Simon Thormeyer and Simone Melzi and Siva Reddy and Sneha Priscilla Makini and Soo{-}Hwan Lee and Spencer Torene and Sriharsha Hatwar and Stanislas Dehaene and Stefan Divic and Stefano Ermon and Stella Biderman and Stephanie Lin and Stephen Prasad and Steven T. Piantadosi and Stuart M. Shieber and Summer Misherghi and Svetlana Kiritchenko and Swaroop Mishra and Tal Linzen and Tal Schuster and Tao Li and Tao Yu and Tariq Ali and Tatsu Hashimoto and Te{-}Lin Wu and Th{\'{e}}o Desbordes and Theodore Rothschild and Thomas Phan and Tianle Wang and Tiberius Nkinyili and Timo Schick and Timofei Kornev and Titus Tunduny and Tobias Gerstenberg and Trenton Chang and Trishala Neeraj and Tushar Khot and Tyler Shultz and Uri Shaham and Vedant Misra and Vera Demberg and Victoria Nyamai and Vikas Raunak and Vinay V. Ramasesh and Vinay Uday Prabhu and Vishakh Padmakumar and Vivek Srikumar and William Fedus and William Saunders and William Zhang and Wout Vossen and Xiang Ren and Xiaoyu Tong and Xinran Zhao and Xinyi Wu and Xudong Shen and Yadollah Yaghoobzadeh and Yair Lakretz and Yangqiu Song and Yasaman Bahri and Yejin Choi and Yichi Yang and Yiding Hao and Yifu Chen and Yonatan Belinkov and Yu Hou and Yufang Hou and Yuntao Bai and Zachary Seid and Zhuoye Zhao and Zijian Wang and Zijie J. Wang and Zirui Wang and Ziyi Wu}, title = {Beyond the Imitation Game: Quantifying and extrapolating the capabilities of language models}, journal = {CoRR}, volume = {abs/2206.04615}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04615}, doi = {10.48550/ARXIV.2206.04615}, eprinttype = {arXiv}, eprint = {2206.04615}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04615.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-01030, author = {Wu Zheng and Li Jiang and Fanbin Lu and Yangyang Ye and Chi{-}Wing Fu}, title = {Boosting Single-Frame 3D Object Detection by Simulating Multi-Frame Point Clouds}, journal = {CoRR}, volume = {abs/2207.01030}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.01030}, doi = {10.48550/ARXIV.2207.01030}, eprinttype = {arXiv}, eprint = {2207.01030}, timestamp = {Mon, 22 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-01030.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-04945, author = {Jie Qin and Shuaihang Yuan and Jiaxin Chen and Boulbaba Ben Amor and Yi Fang and Nhat Hoang{-}Xuan and Chi{-}Bien Chu and Khoi{-}Nguyen Nguyen{-}Ngoc and Thien{-}Tri Cao and Nhat{-}Khang Ng{\^{o}} and Tuan{-}Luc Huynh and Hai{-}Dang Nguyen and Minh{-}Triet Tran and Haoyang Luo and Jianning Wang and Zheng Zhang and Zihao Xin and Yang Wang and Feng Wang and Ying Tang and Haiqin Chen and Yan Wang and Qunying Zhou and Ji Zhang and Hongyuan Wang}, title = {SHREC'22 Track: Sketch-Based 3D Shape Retrieval in the Wild}, journal = {CoRR}, volume = {abs/2207.04945}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.04945}, doi = {10.48550/ARXIV.2207.04945}, eprinttype = {arXiv}, eprint = {2207.04945}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-04945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-12305, author = {Zhixiang Chi and Rasoul Mohammadi Nasiri and Zheng Liu and Yuanhao Yu and Juwei Lu and Jin Tang and Konstantinos N. Plataniotis}, title = {Error-Aware Spatial Ensembles for Video Frame Interpolation}, journal = {CoRR}, volume = {abs/2207.12305}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.12305}, doi = {10.48550/ARXIV.2207.12305}, eprinttype = {arXiv}, eprint = {2207.12305}, timestamp = {Wed, 17 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-12305.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-05256, author = {Andrey Ignatov and Radu Timofte and Cheng{-}Ming Chiang and Hsien{-}Kai Kuo and Yu{-}Syuan Xu and Man{-}Yu Lee and Allen Lu and Chia{-}Ming Cheng and Chih{-}Cheng Chen and Jia{-}Ying Yong and Hong{-}Han Shuai and Wen{-}Huang Cheng and Zhuang Jia and Tianyu Xu and Yijian Zhang and Long Bao and Heng Sun and Diankai Zhang and Si Gao and Shaoli Liu and Biao Wu and Xiaofeng Zhang and Chengjian Zheng and Kaidi Lu and Ning Wang and Xiao Sun and Haodong Wu and Xuncheng Liu and Weizhan Zhang and Caixia Yan and Haipeng Du and Qinghua Zheng and Qi Wang and Wangdu Chen and Ran Duan and Ran Duan and Mengdi Sun and Dan Zhu and Guannan Chen and Hojin Cho and Steve Kim and Shijie Yue and Chenghua Li and Zhengyang Zhuge and Wei Chen and Wenxu Wang and Yufeng Zhou and Xiaochen Cai and Hengxing Cai and Kele Xu and Li Liu and Zehua Cheng and Wenyi Lian and Wenjing Lian}, title = {Power Efficient Video Super-Resolution on Mobile NPUs with Deep Learning, Mobile {AI} {\&} {AIM} 2022 challenge: Report}, journal = {CoRR}, volume = {abs/2211.05256}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.05256}, doi = {10.48550/ARXIV.2211.05256}, eprinttype = {arXiv}, eprint = {2211.05256}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-05256.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-09110, author = {Percy Liang and Rishi Bommasani and Tony Lee and Dimitris Tsipras and Dilara Soylu and Michihiro Yasunaga and Yian Zhang and Deepak Narayanan and Yuhuai Wu and Ananya Kumar and Benjamin Newman and Binhang Yuan and Bobby Yan and Ce Zhang and Christian Cosgrove and Christopher D. Manning and Christopher R{\'{e}} and Diana Acosta{-}Navas and Drew A. Hudson and Eric Zelikman and Esin Durmus and Faisal Ladhak and Frieda Rong and Hongyu Ren and Huaxiu Yao and Jue Wang and Keshav Santhanam and Laurel J. Orr and Lucia Zheng and Mert Y{\"{u}}ksekg{\"{o}}n{\"{u}}l and Mirac Suzgun and Nathan Kim and Neel Guha and Niladri S. Chatterji and Omar Khattab and Peter Henderson and Qian Huang and Ryan Chi and Sang Michael Xie and Shibani Santurkar and Surya Ganguli and Tatsunori Hashimoto and Thomas Icard and Tianyi Zhang and Vishrav Chaudhary and William Wang and Xuechen Li and Yifan Mai and Yuhui Zhang and Yuta Koreeda}, title = {Holistic Evaluation of Language Models}, journal = {CoRR}, volume = {abs/2211.09110}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.09110}, doi = {10.48550/ARXIV.2211.09110}, eprinttype = {arXiv}, eprint = {2211.09110}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-09110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-13508, author = {Benjamin Kiefer and Matej Kristan and Janez Pers and Lojze Zust and Fabio Poiesi and Fabio Augusto de Alcantara Andrade and Alexandre Bernardino and Matthew Dawkins and Jenni Raitoharju and Yitong Quan and Adem Atmaca and Timon H{\"{o}}fer and Qiming Zhang and Yufei Xu and Jing Zhang and Dacheng Tao and Lars Sommer and Raphael Spraul and Hangyue Zhao and Hongpu Zhang and Yanyun Zhao and Jan Lukas Augustin and Eui{-}ik Jeon and Impyeong Lee and Luca Zedda and Andrea Loddo and Cecilia Di Ruberto and Sagar Verma and Siddharth Gupta and Shishir Muralidhara and Niharika Hegde and Daitao Xing and Nikolaos Evangeliou and Anthony Tzes and Vojtech Bartl and Jakub Spanhel and Adam Herout and Neelanjan Bhowmik and Toby P. Breckon and Shivanand Kundargi and Tejas Anvekar and Chaitra Desai and Ramesh Ashok Tabib and Uma Mudengudi and Arpita Vats and Yang Song and Delong Liu and Yonglin Li and Shuman Li and Chenhao Tan and Long Lan and Vladimir Somers and Christophe De Vleeschouwer and Alexandre Alahi and Hsiang{-}Wei Huang and Cheng{-}Yen Yang and Jenq{-}Neng Hwang and Pyong{-}Kun Kim and Kwangju Kim and Kyoungoh Lee and Shuai Jiang and Haiwen Li and Zheng Ziqiang and Tuan{-}Anh Vu and Hai Nguyen{-}Truong and Sai{-}Kit Yeung and Zhuang Jia and Sophia Yang and Chih{-}Chung Hsu and Xiu{-}Yu Hou and Yu{-}An Jhang and Simon Yang and Mau{-}Tsuen Yang}, title = {1st Workshop on Maritime Computer Vision (MaCVi) 2023: Challenge Results}, journal = {CoRR}, volume = {abs/2211.13508}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.13508}, doi = {10.48550/ARXIV.2211.13508}, eprinttype = {arXiv}, eprint = {2211.13508}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-13508.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-17148, author = {Qi Zhu and Christian Geishauser and Hsien{-}Chin Lin and Carel van Niekerk and Baolin Peng and Zheng Zhang and Michael Heck and Nurul Lubis and Dazhen Wan and Xiaochen Zhu and Jianfeng Gao and Milica Gasic and Minlie Huang}, title = {ConvLab-3: {A} Flexible Dialogue System Toolkit Based on a Unified Data Format}, journal = {CoRR}, volume = {abs/2211.17148}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.17148}, doi = {10.48550/ARXIV.2211.17148}, eprinttype = {arXiv}, eprint = {2211.17148}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-17148.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenXWLNL21, author = {Jieyu Chen and Zhenghao Xi and Chi Wei and Junxin Lu and Yuhui Niu and Zhongfeng Li}, title = {Multiple Object Tracking Using Edge Multi-Channel Gradient Model With {ORB} Feature}, journal = {{IEEE} Access}, volume = {9}, pages = {2294--2309}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3046763}, doi = {10.1109/ACCESS.2020.3046763}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenXWLNL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/GuWCYSZXW21, author = {Donghong Gu and Jiaqian Wang and Shaohua Cai and Chi Yang and Zhengxin Song and Haoliang Zhao and Luwei Xiao and Hua Wang}, title = {Targeted Aspect-Based Multimodal Sentiment Analysis: An Attention Capsule Extraction and Multi-Head Fusion Network}, journal = {{IEEE} Access}, volume = {9}, pages = {157329--157336}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3126782}, doi = {10.1109/ACCESS.2021.3126782}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/GuWCYSZXW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MaoZG21, author = {Song Mao and Lu{-}Lu Zhang and Zhen{-}Guo Guan}, title = {An LSTM{\&}Topic-CNN Model for Classification of Online Chinese Medical Questions}, journal = {{IEEE} Access}, volume = {9}, pages = {52580--52589}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3070375}, doi = {10.1109/ACCESS.2021.3070375}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MaoZG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/0005ZY0L21, author = {Jing Jiang and Jiateng Zheng and Yun Yang and Li Zhang and Jie Luo}, title = {Predicting accepted pull requests in GitHub}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {7}, year = {2021}, url = {https://doi.org/10.1007/s11432-018-9823-4}, doi = {10.1007/S11432-018-9823-4}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/0005ZY0L21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/FengLLZZY21, author = {Daquan Feng and Lifeng Lai and Jingjing Luo and Yi Zhong and Canjian Zheng and Kai Ying}, title = {Ultra-reliable and low-latency communications: applications, opportunities and challenges}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {2}, year = {2021}, url = {https://doi.org/10.1007/s11432-020-2852-1}, doi = {10.1007/S11432-020-2852-1}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/FengLLZZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/GaoZQDLLHWJLGWZ21, author = {Jiangang Gao and Fang Zheng and Fengbin Qi and Yajun Ding and Hongliang Li and Hongsheng Lu and Wangquan He and Hongmei Wei and Lifeng Jin and Xin Liu and Daoyong Gong and Fei Wang and Yan Zheng and Honghui Sun and Zhou Zhou and Yong Liu and Hongtao You}, title = {Sunway supercomputer architecture towards exascale computing: analysis and practice}, journal = {Sci. China Inf. Sci.}, volume = {64}, number = {4}, year = {2021}, url = {https://doi.org/10.1007/s11432-020-3104-7}, doi = {10.1007/S11432-020-3104-7}, timestamp = {Mon, 06 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/GaoZQDLLHWJLGWZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/complexity/ZhangWHTZZZHW21, author = {Bin Zhang and Jian Wu and Qian Huang and Yujiao Tan and Lu Zhang and Qian Zheng and Yu Zhang and Miao He and Wei Wang}, title = {The Influence of Author Degree Centrality and L-Index on Scientific Performance of Physical Education and Training Papers in China Based on the Perspective of Social Network Analysis}, journal = {Complex.}, volume = {2021}, pages = {3066602:1--3066602:14}, year = {2021}, url = {https://doi.org/10.1155/2021/3066602}, doi = {10.1155/2021/3066602}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/complexity/ZhangWHTZZZHW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/LiuWHQZ21, author = {Dan Liu and Yajuan Wu and Yuxin He and Lu Qin and Bochuan Zheng}, title = {Multi-Object Detection of Chinese License Plate in Complex Scenes}, journal = {Comput. Syst. Sci. Eng.}, volume = {36}, number = {1}, pages = {145--156}, year = {2021}, url = {https://doi.org/10.32604/csse.2021.014646}, doi = {10.32604/CSSE.2021.014646}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csse/LiuWHQZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijbic/AnXFWDK21, author = {Jing An and Luyuan Xu and Zheng Fan and Kefan Wang and Qi Deng and Qi Kang}, title = {PSO-based optimal online operation strategy for multiple chillers energy conservation}, journal = {Int. J. Bio Inspired Comput.}, volume = {18}, number = {4}, pages = {229--238}, year = {2021}, url = {https://doi.org/10.1504/IJBIC.2021.119999}, doi = {10.1504/IJBIC.2021.119999}, timestamp = {Fri, 04 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijbic/AnXFWDK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/FengHLZ21, author = {Rui Feng and Cheng{-}Chen Huang and Kun Luo and Hui{-}Jun Zheng}, title = {Deciphering wintertime air pollution upon the West Lake of Hangzhou, China}, journal = {J. Intell. Fuzzy Syst.}, volume = {40}, number = {3}, pages = {5215--5223}, year = {2021}, url = {https://doi.org/10.3233/JIFS-201964}, doi = {10.3233/JIFS-201964}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/FengHLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/BaineBDZLKZRZZ21, author = {Michael Baine and Justin Burr and Qian Du and Chi Zhang and Xiaoying Liang and Luke Krajewski and Laura Zima and Gerard Rux and Chi Zhang and Dandan Zheng}, title = {The Potential Use of Radiomics with Pre-Radiation Therapy {MR} Imaging in Predicting Risk of Pseudoprogression in Glioblastoma Patients}, journal = {J. Imaging}, volume = {7}, number = {2}, pages = {17}, year = {2021}, url = {https://doi.org/10.3390/jimaging7020017}, doi = {10.3390/JIMAGING7020017}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jimaging/BaineBDZLKZRZZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/XiaoLLCZC21, author = {Yun Xiao and Wenlong Lei and Lei Lu and Xiaojun Chang and Xia Zheng and Xiaojiang Chen}, title = {{CS-GAN:} Cross-Structure Generative Adversarial Networks for Chinese calligraphy translation}, journal = {Knowl. Based Syst.}, volume = {229}, pages = {107334}, year = {2021}, url = {https://doi.org/10.1016/j.knosys.2021.107334}, doi = {10.1016/J.KNOSYS.2021.107334}, timestamp = {Fri, 01 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/XiaoLLCZC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/XueB0ZXHZLZCSMZ21, author = {Yongbiao Xue and Yiming Bao and Zhang Zhang and Wenming Zhao and Jing{-}Fa Xiao and Shunmin He and Guoqing Zhang and Yixue Li and Guoping Zhao and Runsheng Chen and Shuhui Song and Lina Ma and Dong Zou and Dongmei Tian and Cuiping Li and Junwei Zhu and Zheng Gong and Meili Chen and Anke Wang and Yingke Ma and Mengwei Li and Xufei Teng and Ying Cui and Guangya Duan and Mochen Zhang and Tong Jin and Chengmin Shi and Zhenglin Du and Yadong Zhang and Chuandong Liu and Rujiao Li and Jingyao Zeng and Lili Hao and Shuai Jiang and Hua Chen and Dali Han and Tao Zhang and Wang Kang and Fei Yang and Jing Qu and Weiqi Zhang and Guanghui Liu and Lin Liu and Yang Zhang and Guangyi Niu and Tongtong Zhu and Changrui Feng and Xiaonan Liu and Yuansheng Zhang and Zhao Li and Ruru Chen and Qianpeng Li and Zhongyi Hua and Chao Jiang and Ziyuan Chen and Fangshu He and Yuyang Zhao and Yan Jin and Luqi Huang and Yuan Yuan and Chenfen Zhou and Qingwei Xu and Sheng He and Wei Ye and Ruifang Cao and Pengyu Wang and Yunchao Ling and Xing Yan and Qingzhong Wang and Qiang Du and Wenting Zong and Hongen Kang and Zhuang Xiong and Wendi Huan and Sirui Zhang and Qiguang Xia and Xiaojuan Fan and Zefeng Wang and Xu Chen and Tingting Chen and Sisi Zhang and Bixia Tang and Lili Dong and Zhewen Zhang and Zhonghuang Wang and Hailong Kang and Yanqing Wang and Song Wu and Ming Chen and Chang Liu and Yujia Xiong and Xueying Shao and Yanyan Li and Honghong Zhou and Xiaomin Chen and Yu Zheng and Quan Kang and Di Hao and Lili Zhang and Huaxia Luo and Yajing Hao and Peng Zhang and Zhi Nie and Shuhuan Yu and Jian Sang and Zhaohua Li and Xiangquan Zhang and Qing Zhou and Shuang Zhai and Yaping Zhang and Guodong Wang and Qianghui Zhu and Xin Li and Menghua Li and Jun Yan and Chen Li and Zhennan Wang and Xiangfeng Wang and Yuanming Liu and Hong Luo and Xiaoyuan Wu and Hai{-}Chun Jing and Lianhe Zhao and Jiajia Wang and Tinrui Song and Yi Zhao and Furrukh Mehmood and Shahid Ali and Amjad Ali and Shoaib Saleem and Irfan Hussain and Amir Ali Abbasi and Zhixiang Zuo and Jian Ren and Xinxin Zhang and Yun Xiao and Xia Li and Yiran Tu and Yu Xue and Wanying Wu and Peifeng Ji and Fangqing Zhao and Xianwen Meng and Di Peng and Hao Luo and Feng Gao and Wanshan Ning and Shaofeng Lin and Teng Liu and An{-}Yuan Guo and Hao Yuan and Yong E. Zhang and Xiaodan Tan and Weizhi Zhang and Yubin Xie and Chenwei Wang and Chun{-}Jie Liu and De{-}Chang Yang and Feng Tian and Ge Gao and Dachao Tang and Lan Yao and Qinghua Cui and Ni A. An and Chuan{-}Yun Li and Xiaotong Luo}, title = {Database Resources of the National Genomics Data Center, China National Center for Bioinformation in 2021}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D18--D28}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1022}, doi = {10.1093/NAR/GKAA1022}, timestamp = {Fri, 16 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/XueB0ZXHZLZCSMZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/DaiJLYDWLLLMYY21, author = {Xiaoai Dai and Brian Alan Johnson and Penglan Luo and Kai Yang and Linxin Dong and Qiang Wang and Chao Liu and Naiwen Li and Heng Lu and Lei Ma and Zhengli Yang and Yuanzhi Yao}, title = {Estimation of Urban Ecosystem Services Value: {A} Case Study of Chengdu, Southwestern China}, journal = {Remote. Sens.}, volume = {13}, number = {2}, pages = {207}, year = {2021}, url = {https://doi.org/10.3390/rs13020207}, doi = {10.3390/RS13020207}, timestamp = {Tue, 10 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/DaiJLYDWLLLMYY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/MenentiLJYPMSEZ21, author = {Massimo Menenti and Xin Li and Li Jia and Kun Yang and Francesca Pellicciotti and Marco Mancini and Jiancheng Shi and Maria Jos{\'{e}} Escorihuela and Chaolei Zheng and Qiting Chen and Jing Lu and Jie Zhou and Guangcheng Hu and Shaoting Ren and Jing Zhang and Qinhuo Liu and Yubao Qiu and Chunlin Huang and Ji Zhou and Xujun Han and Xiaoduo Pan and Hongyi Li and Yerong Wu and Baohong Ding and Wei Yang and Pascal Buri and Michael J. McCarthy and Evan Miles and Thomas E. Shaw and Chunfeng Ma and Yanzhao Zhou and Chiara Corbari and Rui Li and Tianjie Zhao and Vivien Stefan and Qi Gao and Jingxiao Zhang and Qiuxia Xie and Ning Wang and Yibo Sun and Xinyu Mo and Junru Jia and Achille Pierre Jouberton and Marin Kneib and Stefan Fugger and Nicola Paciolla and Giovanni Paolini}, title = {Multi-Source Hydrological Data Products to Monitor High Asian River Basins and Regional Water Security}, journal = {Remote. Sens.}, volume = {13}, number = {24}, pages = {5122}, year = {2021}, url = {https://doi.org/10.3390/rs13245122}, doi = {10.3390/RS13245122}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/MenentiLJYPMSEZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/NiuZPLLJWT21, author = {Lu Niu and Zhengfeng Zhang and Zhong Peng and Yingzi Liang and Meng Liu and Yazhen Jiang and Jing Wei and Ronglin Tang}, title = {Identifying Surface Urban Heat Island Drivers and Their Spatial Heterogeneity in China's 281 Cities: An Empirical Study Based on Multiscale Geographically Weighted Regression}, journal = {Remote. Sens.}, volume = {13}, number = {21}, pages = {4428}, year = {2021}, url = {https://doi.org/10.3390/rs13214428}, doi = {10.3390/RS13214428}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/NiuZPLLJWT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/TsengLZH21, author = {Yu{-}Hao Tseng and Ching{-}Yuan Lu and Quanan Zheng and Chung{-}Ru Ho}, title = {Characteristic Analysis of Sea Surface Currents around Taiwan Island from {CODAR} Observations}, journal = {Remote. Sens.}, volume = {13}, number = {15}, pages = {3025}, year = {2021}, url = {https://doi.org/10.3390/rs13153025}, doi = {10.3390/RS13153025}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/TsengLZH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangSWTWLL21, author = {Haichao Wang and Zheng Shi and Xuejuan Wang and Yongbo Tan and Honglei Wang and Luying Li and Xiaotong Lin}, title = {Cloud-to-Ground Lightning Response to Aerosol over Air-Polluted Urban Areas in China}, journal = {Remote. Sens.}, volume = {13}, number = {13}, pages = {2600}, year = {2021}, url = {https://doi.org/10.3390/rs13132600}, doi = {10.3390/RS13132600}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangSWTWLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WangWWQZLLLMMW21, author = {Liping Wang and Xiang Wang and Dianyao Wang and Beisong Qi and Shufeng Zheng and Huanjun Liu and Chong Luo and Houxuan Li and Linghua Meng and Xiangtian Meng and Yihao Wang}, title = {Spatiotemporal Changes and Driving Factors of Cultivated Soil Organic Carbon in Northern China's Typical Agro-Pastoral Ecotone in the Last 30 Years}, journal = {Remote. Sens.}, volume = {13}, number = {18}, pages = {3607}, year = {2021}, url = {https://doi.org/10.3390/rs13183607}, doi = {10.3390/RS13183607}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/WangWWQZLLLMMW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ZhuYQLHWYYZY21, author = {Weidong Zhu and Li Ye and Zhenge Qiu and Kuifeng Luan and Naiying He and Zheng Wei and Fan Yang and Zilin Yue and Shubing Zhao and Fei Yang}, title = {Research of the Dual-Band Log-Linear Analysis Model Based on Physics for Bathymetry without In-Situ Depth Data in the South China Sea}, journal = {Remote. Sens.}, volume = {13}, number = {21}, pages = {4331}, year = {2021}, url = {https://doi.org/10.3390/rs13214331}, doi = {10.3390/RS13214331}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ZhuYQLHWYYZY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sp/LiZ21, author = {Chun yan Li and Lu Zheng}, title = {Analysis of Tai Chi Ideological and Political Course in University Based on Big Data and Graph Neural Networks}, journal = {Sci. Program.}, volume = {2021}, pages = {9914908:1--9914908:9}, year = {2021}, url = {https://doi.org/10.1155/2021/9914908}, doi = {10.1155/2021/9914908}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sp/LiZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ChangWYLWCZYC21, author = {Tianying Chang and Zhongmin Wang and Yue Yang and Zhengchun Luo and Chongjian Wu and Liyao Cheng and Zhifeng Zheng and Miao Yu and Hong{-}Liang Cui}, title = {A Case Study on Fiber Optic Interferometric Seafloor Seismic and Tsunami Monitoring System in South China Sea}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--12}, year = {2021}, url = {https://doi.org/10.1109/tim.2020.3017859}, doi = {10.1109/TIM.2020.3017859}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ChangWYLWCZYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/LuoZHXSK21, author = {Zhengyi Luo and Chen Zhu and Yan Huang and Rong Xie and Li Song and C.{-}C. Jay Kuo}, title = {{VMAF} Oriented Perceptual Coding Based on Piecewise Metric Coupling}, journal = {{IEEE} Trans. Image Process.}, volume = {30}, pages = {5109--5121}, year = {2021}, url = {https://doi.org/10.1109/TIP.2021.3078622}, doi = {10.1109/TIP.2021.3078622}, timestamp = {Tue, 03 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/LuoZHXSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/WenZL21, author = {Sijia Wen and Yinqiang Zheng and Feng Lu}, title = {A Sparse Representation Based Joint Demosaicing Method for Single-Chip Polarized Color Sensor}, journal = {{IEEE} Trans. Image Process.}, volume = {30}, pages = {4171--4182}, year = {2021}, url = {https://doi.org/10.1109/TIP.2021.3069190}, doi = {10.1109/TIP.2021.3069190}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/WenZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/LuZLWHLWXLKM21, author = {Yuhang Lu and Kang Zheng and Weijian Li and Yirui Wang and Adam P. Harrison and Chihung Lin and Song Wang and Jing Xiao and Le Lu and Chang{-}Fu Kuo and Shun Miao}, title = {Contour Transformer Network for One-Shot Segmentation of Anatomical Structures}, journal = {{IEEE} Trans. Medical Imaging}, volume = {40}, number = {10}, pages = {2672--2684}, year = {2021}, url = {https://doi.org/10.1109/TMI.2020.3043375}, doi = {10.1109/TMI.2020.3043375}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tmi/LuZLWHLWXLKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsc/WuZLYH21, author = {Hao Wu and Zhengxin Zhang and Jiacheng Luo and Kun Yue and Ching{-}Hsien Hsu}, title = {Multiple Attributes QoS Prediction via Deep Neural Model with Contexts}, journal = {{IEEE} Trans. Serv. Comput.}, volume = {14}, number = {4}, pages = {1084--1096}, year = {2021}, url = {https://doi.org/10.1109/TSC.2018.2859986}, doi = {10.1109/TSC.2018.2859986}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsc/WuZLYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/DengLCLZL21, author = {Hui{-}Qiong Deng and Jie Luo and Kuo{-}Chi Chang and Qin{-}Bin Li and Rong{-}Jin Zheng and Pei{-}Qiang Li}, title = {Research on Security Level Evaluation Method for Cascading Trips Based on {WSN}}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2021}, pages = {6649127:1--6649127:11}, year = {2021}, url = {https://doi.org/10.1155/2021/6649127}, doi = {10.1155/2021/6649127}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/DengLCLZL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wicomm/LuWSCT21, author = {Zheng Lu and Handong Wang and Hongyu Sun and Chin{-}Ling Chen and Zhenjiang Tan}, title = {A Novel Subcarrier-Level Spectrum Sensing Method by Utilizing Fine-Grained Channel State Information in Wireless Networks}, journal = {Wirel. Commun. Mob. Comput.}, volume = {2021}, pages = {6639894:1--6639894:12}, year = {2021}, url = {https://doi.org/10.1155/2021/6639894}, doi = {10.1155/2021/6639894}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wicomm/LuWSCT21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amlta/LiZCWL21, author = {Jian Li and Weimin Zheng and Kuo{-}Chi Chang and Shuai Wang and Yi Luo}, editor = {Aboul Ella Hassanien and Kuo{-}Chi Chang and Mincong Tang}, title = {Critical Features Selection of Training Model for Intrusion Detection System}, booktitle = {Advanced Machine Learning Technologies and Applications - Proceedings of {AMLTA} 2021, Cairo, Egypt, March 22-24, 2021}, series = {Advances in Intelligent Systems and Computing}, volume = {1339}, pages = {345--354}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-69717-4\_34}, doi = {10.1007/978-3-030-69717-4\_34}, timestamp = {Mon, 14 Jun 2021 15:01:40 +0200}, biburl = {https://dblp.org/rec/conf/amlta/LiZCWL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amlta/LuoCLZ21, author = {Yi Luo and Kuo{-}Chi Chang and Jian Li and Weimin Zheng}, editor = {Aboul Ella Hassanien and Kuo{-}Chi Chang and Mincong Tang}, title = {Security Analysis of a Multi-server-Based e-Healthcare Scheme}, booktitle = {Advanced Machine Learning Technologies and Applications - Proceedings of {AMLTA} 2021, Cairo, Egypt, March 22-24, 2021}, series = {Advances in Intelligent Systems and Computing}, volume = {1339}, pages = {884--888}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-69717-4\_82}, doi = {10.1007/978-3-030-69717-4\_82}, timestamp = {Mon, 14 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amlta/LuoCLZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/Zheng0L21, author = {Shiyuan Zheng and Hong Xie and John C. S. Lui}, editor = {Michele Coscia and Alfredo Cuzzocrea and Kai Shu and Ralf Klamma and Sharyn O'Halloran and Jon G. Rokne}, title = {Pricing social visibility service in online social networks: modeling and algorithms}, booktitle = {{ASONAM} '21: International Conference on Advances in Social Networks Analysis and Mining, Virtual Event, The Netherlands, November 8 - 11, 2021}, pages = {209--213}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3487351.3488347}, doi = {10.1145/3487351.3488347}, timestamp = {Mon, 24 Jan 2022 09:34:23 +0100}, biburl = {https://dblp.org/rec/conf/asunam/Zheng0L21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/WangHLG021, author = {Chi Wang and Yang Hua and Zheng Lu and Jian Gao and Neil Robertson}, title = {Temporal Meta-Adaptor for Video Object Detection}, booktitle = {32nd British Machine Vision Conference 2021, {BMVC} 2021, Online, November 22-25, 2021}, pages = {221}, publisher = {{BMVA} Press}, year = {2021}, url = {https://www.bmvc2021-virtualconference.com/assets/papers/1346.pdf}, timestamp = {Wed, 22 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmvc/WangHLG021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/DengWWWXZZZW21, author = {Dazhen Deng and Jiang Wu and Jiachen Wang and Yihong Wu and Xiao Xie and Zheng Zhou and Hui Zhang and Xiaolong Luke Zhang and Yingcai Wu}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {EventAnchor: Reducing Human Interactions in Event Annotation of Racket Sports Videos}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {73:1--73:13}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445431}, doi = {10.1145/3411764.3445431}, timestamp = {Mon, 24 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/DengWWWXZZZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/GongYLDZZW21, author = {Jiangtao Gong and Zheng Yao and Zhicong Lu and Qicheng Ding and Yu Zhang and Liuxin Zhang and Qianying Wang}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {All in One Group: Current Practices, Lessons and Challenges of Chinese Home-School Communication in {IM} Group Chat}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {346:1--346:12}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445436}, doi = {10.1145/3411764.3445436}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/GongYLDZZW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/MaimaitiLZCHZLS21, author = {Mieradilijiang Maimaiti and Yang Liu and Yuanhang Zheng and Gang Chen and Kaiyu Huang and Ji Zhang and Huanbo Luan and Maosong Sun}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {Segment, Mask, and Predict: Augmenting Chinese Word Segmentation with Self-Supervision}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {2068--2077}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.158}, doi = {10.18653/V1/2021.EMNLP-MAIN.158}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/MaimaitiLZCHZLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/LaiWODCC21, author = {Zhengfeng Lai and Chao Wang and Luca Cerny Oliveira and Brittany N. Dugger and Sen{-}Ching Samson Cheung and Chen{-}Nee Chuah}, title = {Joint Semi-supervised and Active Learning for Segmentation of Gigapixel Pathology Images with Cost-Effective Labeling}, booktitle = {{IEEE/CVF} International Conference on Computer Vision Workshops, {ICCVW} 2021, Montreal, BC, Canada, October 11-17, 2021}, pages = {591--600}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCVW54120.2021.00072}, doi = {10.1109/ICCVW54120.2021.00072}, timestamp = {Sun, 05 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/LaiWODCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/LuoYZZZCFLNO21, author = {Zhaojing Luo and Sai Ho Yeung and Meihui Zhang and Kaiping Zheng and Lei Zhu and Gang Chen and Feiyi Fan and Qian Lin and Kee Yuan Ngiam and Beng Chin Ooi}, title = {MLCask: Efficient Management of Component Evolution in Collaborative Data Analytics Pipelines}, booktitle = {37th {IEEE} International Conference on Data Engineering, {ICDE} 2021, Chania, Greece, April 19-22, 2021}, pages = {1655--1666}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDE51399.2021.00146}, doi = {10.1109/ICDE51399.2021.00146}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/LuoYZZZCFLNO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/Zheng0L21, author = {Shiyuan Zheng and Hong Xie and John C. S. Lui}, title = {Social Visibility Optimization in OSNs with Anonymity Guarantees: Modeling, Algorithms and Applications}, booktitle = {37th {IEEE} International Conference on Data Engineering, {ICDE} 2021, Chania, Greece, April 19-22, 2021}, pages = {2063--2068}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDE51399.2021.00201}, doi = {10.1109/ICDE51399.2021.00201}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/Zheng0L21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/ZhaoYJZTCZ21, author = {Jie Zhao and Guilin Yang and Dexin Jiang and Tianjiang Zheng and Yingzhong Tian and Si{-}Lu Chen and Chi Zhang}, editor = {Xin{-}Jun Liu and Zhenguo Nie and Jingjun Yu and Fugui Xie and Rui Song}, title = {Kinematic Analysis of a Novel 4-DOF 3T1R Parallel Manipulator}, booktitle = {Intelligent Robotics and Applications - 14th International Conference, {ICIRA} 2021, Yantai, China, October 22-25, 2021, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {13016}, pages = {316--326}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89092-6\_29}, doi = {10.1007/978-3-030-89092-6\_29}, timestamp = {Fri, 22 Oct 2021 15:23:36 +0200}, biburl = {https://dblp.org/rec/conf/icira/ZhaoYJZTCZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/NiuPTZ21, author = {Lu Niu and Zhong Peng and Ronglin Tang and Zhengfeng Zhang}, title = {Development of a Long-Term Dataset of China Surface Urban Heat Island for Policy Making: Spatio-Temporal Characteristics}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {6928--6931}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554127}, doi = {10.1109/IGARSS47720.2021.9554127}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/NiuPTZ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/WuXZCL21, author = {Jiabin Wu and Lili Xu and Hexiang Zheng and Xuesong Cao and Haiyuan Lu}, title = {Spatiotemporal Assessment of Evapotranspiration of Desert Steppe in Northern China: {A} Case of {OTOG} Front Banner}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {6347--6350}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9554410}, doi = {10.1109/IGARSS47720.2021.9554410}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/WuXZCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipec/GaoLDLHC21, author = {Junke Gao and Zongxia Lu and Jin Du and Kai Li and Zhengshi Huang and Qiongxia Chen}, title = {The Relationship of Leptin, Exercise and Dietary Habits of Overweight and Obese Children}, booktitle = {{IPEC} 2021: 2nd Asia-Pacific Conference on Image Processing, Electronics and Computers, Dalian, China, April, 2021}, pages = {587--589}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3452446.3452589}, doi = {10.1145/3452446.3452589}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipec/GaoLDLHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipmi/0002ZCZZX0LM21, author = {Yirui Wang and Kang Zheng and Chi{-}Tung Cheng and Xiao{-}Yun Zhou and Zhilin Zheng and Jing Xiao and Le Lu and Chien{-}Hung Liao and Shun Miao}, editor = {Aasa Feragen and Stefan Sommer and Julia A. Schnabel and Mads Nielsen}, title = {Knowledge Distillation with Adaptive Asymmetric Label Sharpening for Semi-supervised Fracture Detection in Chest X-Rays}, booktitle = {Information Processing in Medical Imaging - 27th International Conference, {IPMI} 2021, Virtual Event, June 28-June 30, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12729}, pages = {599--610}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78191-0\_46}, doi = {10.1007/978-3-030-78191-0\_46}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipmi/0002ZCZZX0LM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipmi/ZhouL00LY0Z21, author = {Hong{-}Yu Zhou and Hualuo Liu and Shilei Cao and Dong Wei and Chixiang Lu and Yizhou Yu and Kai Ma and Yefeng Zheng}, editor = {Aasa Feragen and Stefan Sommer and Julia A. Schnabel and Mads Nielsen}, title = {Generalized Organ Segmentation by Imitating One-Shot Reasoning Using Anatomical Correlation}, booktitle = {Information Processing in Medical Imaging - 27th International Conference, {IPMI} 2021, Virtual Event, June 28-June 30, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12729}, pages = {452--464}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-78191-0\_35}, doi = {10.1007/978-3-030-78191-0\_35}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipmi/ZhouL00LY0Z21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/LuCC21, author = {Zheng{-}Yang Lu and Chih{-}Chi Chang and Ya{-}Shu Chen}, title = {Minimizing Response Time for MapReduce Applications on In-Storage Processing Architecture}, booktitle = {International Symposium on Intelligent Signal Processing and Communication Systems, {ISPACS} 2021, Hualien City, Taiwan, November 16-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISPACS51563.2021.9651112}, doi = {10.1109/ISPACS51563.2021.9651112}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/LuCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/YaoJLJSWJY21, author = {Jingying Yao and Chumin Ji and Zhenglong Lin and Xinru Jia and Xiaona Shen and Luchang Wen and Yun Jiang and Rumei Yang}, editor = {Paula Otero and Philip Scott and Susan Z. Martin and Elaine Huesing}, title = {Chinese Medical Students' Acceptance and Use of e-Health Services}, booktitle = {{MEDINFO} 2021: One World, One Health - Global Partnership for Digital Innovation - Proceedings of the 18th World Congress on Medical and Health Informatics, Virtual Event, 2-4 October 2021}, series = {Studies in Health Technology and Informatics}, volume = {290}, pages = {1030--1031}, publisher = {{IOS} Press}, year = {2021}, url = {https://doi.org/10.3233/SHTI220252}, doi = {10.3233/SHTI220252}, timestamp = {Wed, 15 Jun 2022 15:51:15 +0200}, biburl = {https://dblp.org/rec/conf/medinfo/YaoJLJSWJY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ZhengWZWLLHXXKM21, author = {Kang Zheng and Yirui Wang and Xiao{-}Yun Zhou and Fakai Wang and Le Lu and Chihung Lin and Lingyun Huang and Guotong Xie and Jing Xiao and Chang{-}Fu Kuo and Shun Miao}, editor = {Marleen de Bruijne and Philippe C. Cattin and St{\'{e}}phane Cotin and Nicolas Padoy and Stefanie Speidel and Yefeng Zheng and Caroline Essert}, title = {Semi-supervised Learning for Bone Mineral Density Estimation in Hip X-Ray Images}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2021 - 24th International Conference, Strasbourg, France, September 27 - October 1, 2021, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {12905}, pages = {33--42}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87240-3\_4}, doi = {10.1007/978-3-030-87240-3\_4}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/ZhengWZWLLHXXKM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ZhouLLWZWLLHHXX21, author = {Xiao{-}Yun Zhou and Bolin Lai and Weijian Li and Yirui Wang and Kang Zheng and Fakai Wang and Chihung Lin and Le Lu and Lingyun Huang and Mei Han and Guotong Xie and Jing Xiao and Chang{-}Fu Kuo and Adam P. Harrison and Shun Miao}, editor = {Sandy Engelhardt and Ilkay {\"{O}}ks{\"{u}}z and Dajiang Zhu and Yixuan Yuan and Anirban Mukhopadhyay and Nicholas Heller and Sharon Xiaolei Huang and Hien Van Nguyen and Raphael Sznitman and Yuan Xue}, title = {Scalable Semi-supervised Landmark Localization for X-ray Images Using Few-Shot Deep Adaptive Graph}, booktitle = {Deep Generative Models, and Data Augmentation, Labelling, and Imperfections - First Workshop, {DGM4MICCAI} 2021, and First Workshop, {DALI} 2021, Held in Conjunction with {MICCAI} 2021, Strasbourg, France, October 1, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13003}, pages = {145--153}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-88210-5\_13}, doi = {10.1007/978-3-030-88210-5\_13}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/ZhouLLWZWLLHHXX21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZhengLLWZFZZLLL21, author = {Zhiwen Zheng and Liwang Lu and Chijun Li and Wei Wang and Sen Zhang and Linsheng Fan and Tingting Zhang and Tianjian Zuo and Lei Liu and Alan Pak Tao Lau and Chao Lu and Pengxin Chen and Changjian Guo and Liu Liu}, title = {High Speed, Low Voltage Polarization Controller Based on Heterogeneous Integration of Silicon and Lithium Niobate}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489427}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ZhengLLWZFZZLLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/WeiZMLM0CCM21, author = {Lai Wei and Zihao Zheng and Nereo Markulic and Jorge Lagos and Ewout Martens and Yan Zhu and Chi{-}Hang Chan and Jan Craninckx and Rui Paulo Martins}, title = {An Auxiliary-Channel-Sharing Background Distortion and Gain Calibration Achieving {\textgreater}8dB {SFDR} Improvement over 4\({}^{\mbox{th}}\) Nyquist Zone in 1GS/s {ADC}}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492336}, doi = {10.23919/VLSICIRCUITS52068.2021.9492336}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsic/WeiZMLM0CCM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-10284, author = {Chenglin Pan and Kuan Yan and Xiao Liu and Yanjie Chen and Yanyan Luo and Xiaoming Li and Zhenguo Nie and Xinjun Liu}, title = {Artificial Intelligence Enhanced Rapid and Efficient Diagnosis of Mycoplasma Pneumoniae Pneumonia in Children Patients}, journal = {CoRR}, volume = {abs/2102.10284}, year = {2021}, url = {https://arxiv.org/abs/2102.10284}, eprinttype = {arXiv}, eprint = {2102.10284}, timestamp = {Wed, 24 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-10284.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-07659, author = {Jiaqian Wang and Donghong Gu and Chi Yang and Yun Xue and Zhengxin Song and Haoliang Zhao and Luwei Xiao}, title = {Targeted aspect based multimodal sentiment analysis: an attention capsule extraction and multi-head fusion network}, journal = {CoRR}, volume = {abs/2103.07659}, year = {2021}, url = {https://arxiv.org/abs/2103.07659}, eprinttype = {arXiv}, eprint = {2103.07659}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-07659.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-13482, author = {Kang Zheng and Yirui Wang and Xiaoyun Zhou and Fakai Wang and Le Lu and Chihung Lin and Lingyun Huang and Guotong Xie and Jing Xiao and Chang{-}Fu Kuo and Shun Miao}, title = {Semi-Supervised Learning for Bone Mineral Density Estimation in Hip X-ray Images}, journal = {CoRR}, volume = {abs/2103.13482}, year = {2021}, url = {https://arxiv.org/abs/2103.13482}, eprinttype = {arXiv}, eprint = {2103.13482}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-13482.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16344, author = {Hong{-}Yu Zhou and Hualuo Liu and Shilei Cao and Dong Wei and Chixiang Lu and Yizhou Yu and Kai Ma and Yefeng Zheng}, title = {Generalized Organ Segmentation by Imitating One-shot Reasoning using Anatomical Correlation}, journal = {CoRR}, volume = {abs/2103.16344}, year = {2021}, url = {https://arxiv.org/abs/2103.16344}, eprinttype = {arXiv}, eprint = {2103.16344}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16344.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-14629, author = {Xiao{-}Yun Zhou and Bolin Lai and Weijian Li and Yirui Wang and Kang Zheng and Fakai Wang and Chihung Lin and Le Lu and Lingyun Huang and Mei Han and Guotong Xie and Jing Xiao and Chang{-}Fu Kuo and Adam P. Harrison and Shun Miao}, title = {Scalable Semi-supervised Landmark Localization for X-ray Images using Few-shot Deep Adaptive Graph}, journal = {CoRR}, volume = {abs/2104.14629}, year = {2021}, url = {https://arxiv.org/abs/2104.14629}, eprinttype = {arXiv}, eprint = {2104.14629}, timestamp = {Tue, 27 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-14629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-08105, author = {Zheng Zheng and Longtao Zheng and Morteza Alipour Langouri and Fei Chiang and Lukasz Golab and Jaroslaw Szlichta}, title = {Discovery and Contextual Data Cleaning with Ontology Functional Dependencies}, journal = {CoRR}, volume = {abs/2105.08105}, year = {2021}, url = {https://arxiv.org/abs/2105.08105}, eprinttype = {arXiv}, eprint = {2105.08105}, timestamp = {Mon, 31 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-08105.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-08826, author = {Andrey Ignatov and Andr{\'{e}}s Romero and Heewon Kim and Radu Timofte and Chiu Man Ho and Zibo Meng and Kyoung Mu Lee and Yuxiang Chen and Yutong Wang and Zeyu Long and Chenhao Wang and Yifei Chen and Boshen Xu and Shuhang Gu and Lixin Duan and Wen Li and Bofei Wang and Diankai Zhang and Chengjian Zheng and Shaoli Liu and Si Gao and Xiaofeng Zhang and Kaidi Lu and Tianyu Xu and Zheng Hui and Xinbo Gao and Xiumei Wang and Jiaming Guo and Xueyi Zhou and Hao Jia and Youliang Yan}, title = {Real-Time Video Super-Resolution on Smartphones with Deep Learning, Mobile {AI} 2021 Challenge: Report}, journal = {CoRR}, volume = {abs/2105.08826}, year = {2021}, url = {https://arxiv.org/abs/2105.08826}, eprinttype = {arXiv}, eprint = {2105.08826}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-08826.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-08087, author = {Ningyu Zhang and Zhen Bi and Xiaozhuan Liang and Lei Li and Xiang Chen and Shumin Deng and Luoqiu Li and Hongbin Ye and Xin Shang and Kangping Yin and Chuanqi Tan and Jian Xu and Mosha Chen and Fei Huang and Luo Si and Yuan Ni and Guotong Xie and Zhifang Sui and Baobao Chang and Hui Zong and Zheng Yuan and Linfeng Li and Jun Yan and Hongying Zan and Kunli Zhang and Huajun Chen and Buzhou Tang and Qingcai Chen}, title = {{CBLUE:} {A} Chinese Biomedical Language Understanding Evaluation Benchmark}, journal = {CoRR}, volume = {abs/2106.08087}, year = {2021}, url = {https://arxiv.org/abs/2106.08087}, eprinttype = {arXiv}, eprint = {2106.08087}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-08087.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-10473, author = {Shiyuan Zheng and Hong Xie and John C. S. Lui}, title = {Pricing Social Visibility Service in Online Social Networks: Modeling and Algorithms}, journal = {CoRR}, volume = {abs/2106.10473}, year = {2021}, url = {https://arxiv.org/abs/2106.10473}, eprinttype = {arXiv}, eprint = {2106.10473}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-10473.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-00821, author = {Vishnu Banna and Akhil Chinnakotla and Zhengxin Yan and Anirudh Vegesana and Naveen Vivek and Kruthi Krishnappa and Wenxin Jiang and Yung{-}Hsiang Lu and George K. Thiruvathukal and James C. Davis}, title = {An Experience Report on Machine Learning Reproducibility: Guidance for Practitioners and TensorFlow Model Garden Contributors}, journal = {CoRR}, volume = {abs/2107.00821}, year = {2021}, url = {https://arxiv.org/abs/2107.00821}, eprinttype = {arXiv}, eprint = {2107.00821}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-00821.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2107-02314, author = {Ujjwal Baid and Satyam Ghodasara and Michel Bilello and Suyash Mohan and Evan Calabrese and Errol Colak and Keyvan Farahani and Jayashree Kalpathy{-}Cramer and Felipe C. Kitamura and Sarthak Pati and Luciano M. Prevedello and Jeffrey D. Rudie and Chiharu Sako and Russell T. Shinohara and Timothy Bergquist and Rong Chai and James A. Eddy and Julia Elliott and Walter Reade and Thomas Schaffter and Thomas Yu and Jiaxin Zheng and BraTS Annotators and Christos Davatzikos and John Mongan and Christopher Hess and Soonmee Cha and Javier E. Villanueva{-}Meyer and John B. Freymann and Justin S. Kirby and Benedikt Wiestler and Priscila Crivellaro and Rivka R. Colen and Aikaterini Kotrotsou and Daniel S. Marcus and Mikhail Milchenko and Arash Nazeri and Hassan M. Fathallah{-}Shaykh and Roland Wiest and Andr{\'{a}}s Jakab and Marc{-}Andr{\'{e}} Weber and Abhishek Mahajan and Bjoern H. Menze and Adam E. Flanders and Spyridon Bakas}, title = {The {RSNA-ASNR-MICCAI} BraTS 2021 Benchmark on Brain Tumor Segmentation and Radiogenomic Classification}, journal = {CoRR}, volume = {abs/2107.02314}, year = {2021}, url = {https://arxiv.org/abs/2107.02314}, eprinttype = {arXiv}, eprint = {2107.02314}, timestamp = {Mon, 04 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2107-02314.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-07492, author = {Chi{-}Tung Cheng and Jinzheng Cai and Wei Teng and Youjing Zheng and Yuting Huang and Yu{-}Chao Wang and Chien{-}Wei Peng and Youbao Tang and Wei{-}Chen Lee and Ta{-}Sen Yeh and Jing Xiao and Le Lu and Chien{-}Hung Liao and Adam P. Harrison}, title = {A Flexible Three-Dimensional Hetero-phase Computed Tomography Hepatocellular Carcinoma {(HCC)} Detection Algorithm for Generalizable and Practical {HCC} Screening}, journal = {CoRR}, volume = {abs/2108.07492}, year = {2021}, url = {https://arxiv.org/abs/2108.07492}, eprinttype = {arXiv}, eprint = {2108.07492}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-07492.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-05280, author = {Xianghua Ye and Dazhou Guo and Chen{-}Kan Tseng and Jia Ge and Tsung{-}Min Hung and Ping{-}Ching Pai and Yanping Ren and Lu Zheng and Xinli Zhu and Ling Peng and Ying Chen and Xiaohua Chen and Chen{-}Yu Chou and Danni Chen and Jiaze Yu and Yuzhen Chen and Feiran Jiao and Yi Xin and Lingyun Huang and Guotong Xie and Jing Xiao and Le Lu and Senxiang Yan and Dakai Jin and Tsung{-}Ying Ho}, title = {Multi-institutional Validation of Two-Streamed Deep Learning Method for Automated Delineation of Esophageal Gross Tumor Volume using planning-CT and {FDG-PETCT}}, journal = {CoRR}, volume = {abs/2110.05280}, year = {2021}, url = {https://arxiv.org/abs/2110.05280}, eprinttype = {arXiv}, eprint = {2110.05280}, timestamp = {Fri, 07 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-05280.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-13610, author = {Yuan Yao and Qingxiu Dong and Jian Guan and Boxi Cao and Zhengyan Zhang and Chaojun Xiao and Xiaozhi Wang and Fanchao Qi and Junwei Bao and Jinran Nie and Zheni Zeng and Yuxian Gu and Kun Zhou and Xuancheng Huang and Wenhao Li and Shuhuai Ren and Jinliang Lu and Chengqiang Xu and Huadong Wang and Guoyang Zeng and Zile Zhou and Jiajun Zhang and Juanzi Li and Minlie Huang and Rui Yan and Xiaodong He and Xiaojun Wan and Xin Zhao and Xu Sun and Yang Liu and Zhiyuan Liu and Xianpei Han and Erhong Yang and Zhifang Sui and Maosong Sun}, title = {{CUGE:} {A} Chinese Language Understanding and Generation Evaluation Benchmark}, journal = {CoRR}, volume = {abs/2112.13610}, year = {2021}, url = {https://arxiv.org/abs/2112.13610}, eprinttype = {arXiv}, eprint = {2112.13610}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-13610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-15043, author = {Yingying Wang and Cunliang Kong and Liner Yang and Yijun Wang and Xiaorong Lu and Renfen Hu and Shan He and Zhenghao Liu and Yun Chen and Erhong Yang and Maosong Sun}, title = {{YACLC:} {A} Chinese Learner Corpus with Multidimensional Annotation}, journal = {CoRR}, volume = {abs/2112.15043}, year = {2021}, url = {https://arxiv.org/abs/2112.15043}, eprinttype = {arXiv}, eprint = {2112.15043}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-15043.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PotortiPCPGBLTR20, author = {Francesco Potorti and Sangjoon Park and Antonino Crivello and Filippo Palumbo and Michele Girolami and Paolo Barsocchi and Soyeon Lee and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez Ruiz and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Miguel Ortiz and Johan Perul and Val{\'{e}}rie Renaudin and Hyunwoong Kang and Soyoung Park and Jae Hong Lee and Chan Gook Park and Jisu Ha and Jaeseung Han and Changjun Park and Keunhye Kim and Yonghyun Lee and Seunghun Gye and Keumryeol Lee and Eun{-}Jee Kim and Jeongsik Choi and Yang{-}Seok Choi and Shilpa Talwar and Seong Yun Cho and Boaz Ben{-}Moshe and Alex Scherbakov and Leonid Antsfeld and Emilio Sansano{-}Sansano and Boris Chidlovskii and Nikolai Kronenwett and Silvia Prophet and Yael Landay and Revital Marbel and Lingxiang Zheng and Ao Peng and Zhichao Lin and Bang Wu and Chengqi Ma and Stefan Poslad and David R. Selviah and Wei Wu and Zixiang Ma and Wenchao Zhang and Dongyan Wei and Hong Yuan and Jun{-}Bang Jiang and Shao{-}Yung Huang and Jing{-}Wen Liu and Kuan{-}Wu Su and Jenq{-}Shiou Leu and Kazuki Nishiguchi and Walid Bousselham and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Vicente Cort{\'{e}}s Puschel and Tom{\'{a}}s Lungenstrass Poulsen and Imran Ashraf and Chanseok Lee and Muhammad Usman Ali and Yeongjun Im and Gunzung Kim and Jeongsook Eom and Soojung Hur and Yongwan Park and Miroslav Opiela and Adriano J. C. Moreira and Maria Jo{\~{a}}o Nicolau and Cristiano G. Pend{\~{a}}o and Ivo Silva and Filipe Meneses and Ant{\'{o}}nio Costa and Jens Trogh and David Plets and Ying{-}Ren Chien and Tzu{-}Yu Chang and Shih{-}Hau Fang and Yu Tsao}, title = {The {IPIN} 2019 Indoor Localisation Competition - Description and Results}, journal = {{IEEE} Access}, volume = {8}, pages = {206674--206718}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3037221}, doi = {10.1109/ACCESS.2020.3037221}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PotortiPCPGBLTR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/Fan-YuanCLYWGH20, author = {Guan{-}Jie Fan{-}Yuan and Wei Chen and Feng{-}Yu Lu and Zhen{-}Qiang Yin and Shuang Wang and Guang{-}Can Guo and Zheng{-}Fu Han}, title = {A universal simulating framework for quantum key distribution systems}, journal = {Sci. China Inf. Sci.}, volume = {63}, number = {8}, pages = {1--15}, year = {2020}, url = {https://doi.org/10.1007/s11432-020-2886-x}, doi = {10.1007/S11432-020-2886-X}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/Fan-YuanCLYWGH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LiuDLXCXWPLWGYY20, author = {Zhaoqin Liu and Kaichang Di and Jian Li and Jianfeng Xie and Xiaofeng Cui and Luhua Xi and Wenhui Wan and Man Peng and Bin Liu and Yexin Wang and Sheng Gou and Zongyu Yue and Tianyi Yu and Lichun Li and Jia Wang and Chuankai Liu and Xin Xin and Mengna Jia and Zheng Bo and Jia Liu and Runzhi Wang and Shengli Niu and Kuan Zhang and Yi You and Bing Liu and Jiangang Liu}, title = {Landing site topographic mapping and rover localization for Chang'e-4 mission}, journal = {Sci. China Inf. Sci.}, volume = {63}, number = {4}, year = {2020}, url = {https://doi.org/10.1007/s11432-019-2796-1}, doi = {10.1007/S11432-019-2796-1}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/LiuDLXCXWPLWGYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/WangCDCDL20, author = {Sa Wang and Zhengxin Cheng and Xiaoming Deng and Liang Chang and Fuqing Duan and Ke Lu}, title = {Leveraging 3D blendshape for facial expression recognition using {CNN}}, journal = {Sci. China Inf. Sci.}, volume = {63}, number = {2}, pages = {120114}, year = {2020}, url = {https://doi.org/10.1007/s11432-019-2747-y}, doi = {10.1007/S11432-019-2747-Y}, timestamp = {Tue, 07 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/WangCDCDL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/WuLGRZY20, author = {Chaochen Wu and Guan Luo and Chao Guo and Yin Ren and Anni Zheng and Cheng Yang}, title = {An attention-based multi-task model for named entity recognition and intent analysis of Chinese online medical questions}, journal = {J. Biomed. Informatics}, volume = {108}, pages = {103511}, year = {2020}, url = {https://doi.org/10.1016/j.jbi.2020.103511}, doi = {10.1016/J.JBI.2020.103511}, timestamp = {Fri, 13 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/WuLGRZY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcsc/ZhengZULLSWM20, author = {Wen{-}Ming Zheng and Wen{-}Liang Zeng and Chi{-}Wa U and Chi{-}Seng Lam and Yan Lu and Sai{-}Weng Sin and Man{-}Chung Wong and Rui Paulo Martins}, title = {Analysis, Design and Control of an Integrated Three-Level Buck Converter under {DCM} Operation}, journal = {J. Circuits Syst. Comput.}, volume = {29}, number = {1}, pages = {2050011:1--2050011:20}, year = {2020}, url = {https://doi.org/10.1142/S0218126620500115}, doi = {10.1142/S0218126620500115}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcsc/ZhengZULLSWM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/FuPGL20, author = {Zheng Fu and Chi{-}Man Pun and Hao Gao and Huimin Lu}, title = {Endmember Extraction of Hyperspectral Remote Sensing Images Based on an Improved Discrete Artificial Bee Colony Algorithm and Genetic Algorithm}, journal = {Mob. Networks Appl.}, volume = {25}, number = {3}, pages = {1033--1041}, year = {2020}, url = {https://doi.org/10.1007/s11036-018-1122-z}, doi = {10.1007/S11036-018-1122-Z}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/FuPGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LuWCDGGGHMSTYYZ20, author = {Shennan Lu and Jiyao Wang and Farideh Chitsaz and Myra K. Derbyshire and Renata C. Geer and Noreen R. Gonzales and Marc Gwadz and David I. Hurwitz and Gabriele H. Marchler and James S. Song and Narmada Thanki and Roxanne A. Yamashita and Mingzhang Yang and Dachuan Zhang and Chanjuan Zheng and Christopher J. Lanczycki and Aron Marchler{-}Bauer}, title = {{CDD/SPARCLE:} the conserved domain database in 2020}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D265--D268}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz991}, doi = {10.1093/NAR/GKZ991}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LuWCDGGGHMSTYYZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/natmi/WuLZCZYLLCLCWZZ20, author = {Fei Wu and Cewu Lu and Mingjie Zhu and Hao Chen and Jun Zhu and Kai Yu and Lei Li and Ming Li and Qianfeng Chen and Xi Li and Xudong Cao and Zhongyuan Wang and Zhengjun Zha and Yueting Zhuang and Yunhe Pan}, title = {Towards a new generation of artificial intelligence in China}, journal = {Nat. Mach. Intell.}, volume = {2}, number = {6}, pages = {312--316}, year = {2020}, url = {https://doi.org/10.1038/s42256-020-0183-4}, doi = {10.1038/S42256-020-0183-4}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/natmi/WuLZCZYLLCLCWZZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/TianFZ0ZL20, author = {Chunwei Tian and Lunke Fei and Wenxian Zheng and Yong Xu and Wangmeng Zuo and Chia{-}Wen Lin}, title = {Deep learning on image denoising: An overview}, journal = {Neural Networks}, volume = {131}, pages = {251--275}, year = {2020}, url = {https://doi.org/10.1016/j.neunet.2020.07.025}, doi = {10.1016/J.NEUNET.2020.07.025}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/TianFZ0ZL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/phycomm/LuoWCYX20, author = {Chunlan Luo and Yi Wu and Hsin{-}Chiu Chang and Zheng Yang and Song Xing}, title = {Algebraic decoding of the (41, 21, 9) quadratic residue code without determining the unknown syndromes}, journal = {Phys. Commun.}, volume = {42}, pages = {101135}, year = {2020}, url = {https://doi.org/10.1016/j.phycom.2020.101135}, doi = {10.1016/J.PHYCOM.2020.101135}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/phycomm/LuoWCYX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/0024LL0ZZHYMX0S20, author = {Peng Zhang and Naimeng Lu and Chuanrong Li and Lei Ding and Xiaobing Zheng and Xuejun Zhang and Xiuqing Hu and Xin Ye and Lingling Ma and Na Xu and Lin Chen and Johannes Schmetz}, title = {Development of the Chinese Space-Based Radiometric Benchmark Mission {LIBRA}}, journal = {Remote. Sens.}, volume = {12}, number = {14}, pages = {2179}, year = {2020}, url = {https://doi.org/10.3390/rs12142179}, doi = {10.3390/RS12142179}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/0024LL0ZZHYMX0S20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiCZLLLG20, author = {Qingting Li and Zhengchao Chen and Bing Zhang and Baipeng Li and Kaixuan Lu and Linlin Lu and Huadong Guo}, title = {Detection of Tailings Dams Using High-Resolution Satellite Imagery and a Single Shot Multibox Detector in the Jing-Jin-Ji Region, China}, journal = {Remote. Sens.}, volume = {12}, number = {16}, pages = {2626}, year = {2020}, url = {https://doi.org/10.3390/rs12162626}, doi = {10.3390/RS12162626}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiCZLLLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LuanYZWMHTX20, author = {Xiali Luan and Zhaowu Yu and Yuting Zhang and Sheng Wei and Xinyu Miao and Zheng Y. X. Huang and Shuqing N. Teng and Chi Xu}, title = {Remote Sensing and Social Sensing Data Reveal Scale-Dependent and System-Specific Strengths of Urban Heat Island Determinants}, journal = {Remote. Sens.}, volume = {12}, number = {3}, pages = {391}, year = {2020}, url = {https://doi.org/10.3390/rs12030391}, doi = {10.3390/RS12030391}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LuanYZWMHTX20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/GongYZWLFILL20, author = {Hengheng Gong and Yin Yu and Lini Zheng and Binglei Wang and Zhen Li and Tyrone Fernando and Herbert H. C. Iu and Xiaozhong Liao and Xiangdong Liu}, title = {Nonlinear H{\(\infty\)} Filtering Based on Tensor Product Model Transformation}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {67-II}, number = {6}, pages = {1074--1078}, year = {2020}, url = {https://doi.org/10.1109/TCSII.2019.2926560}, doi = {10.1109/TCSII.2019.2926560}, timestamp = {Thu, 08 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/GongYZWLFILL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/PengLCZH20, author = {Yan{-}Tsung Peng and Zhihui Lu and Fan{-}Chieh Cheng and Yalun Zheng and Shih{-}Chia Huang}, title = {Image Haze Removal Using Airlight White Correction, Local Light Filter, and Aerial Perspective Prior}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {30}, number = {5}, pages = {1385--1395}, year = {2020}, url = {https://doi.org/10.1109/TCSVT.2019.2902795}, doi = {10.1109/TCSVT.2019.2902795}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/PengLCZH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/YangYRLSWZZXPZQ20, author = {Wenhan Yang and Ye Yuan and Wenqi Ren and Jiaying Liu and Walter J. Scheirer and Zhangyang Wang and Taiheng Zhang and Qiaoyong Zhong and Di Xie and Shiliang Pu and Yuqiang Zheng and Yanyun Qu and Yuhong Xie and Liang Chen and Zhonghao Li and Chen Hong and Hao Jiang and Siyuan Yang and Yan Liu and Xiaochao Qu and Pengfei Wan and Shuai Zheng and Minhui Zhong and Taiyi Su and Lingzhi He and Yandong Guo and Yao Zhao and Zhenfeng Zhu and Jinxiu Liang and Jingwen Wang and Tianyi Chen and Yuhui Quan and Yong Xu and Bo Liu and Xin Liu and Qi Sun and Tingyu Lin and Xiaochuan Li and Feng Lu and Lin Gu and Shengdi Zhou and Cong Cao and Shifeng Zhang and Cheng Chi and Chubin Zhuang and Zhen Lei and Stan Z. Li and Shizheng Wang and Ruizhe Liu and Dong Yi and Zheming Zuo and Jianning Chi and Huan Wang and Kai Wang and Yixiu Liu and Xingyu Gao and Zhenyu Chen and Chang Guo and Yongzhou Li and Huicai Zhong and Jing Huang and Heng Guo and Jianfei Yang and Wenjuan Liao and Jiangang Yang and Liguo Zhou and Mingyue Feng and Likun Qin}, title = {Advancing Image Understanding in Poor Visibility Environments: {A} Collective Benchmark Study}, journal = {{IEEE} Trans. Image Process.}, volume = {29}, pages = {5737--5752}, year = {2020}, url = {https://doi.org/10.1109/TIP.2020.2981922}, doi = {10.1109/TIP.2020.2981922}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/YangYRLSWZZXPZQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/LiLSXDCW20, author = {Shuang Li and Chi Harold Liu and Limin Su and Binhui Xie and Zhengming Ding and C. L. Philip Chen and Dapeng Wu}, title = {Discriminative Transfer Feature and Label Consistency for Cross-Domain Image Classification}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {31}, number = {11}, pages = {4842--4856}, year = {2020}, url = {https://doi.org/10.1109/TNNLS.2019.2958152}, doi = {10.1109/TNNLS.2019.2958152}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/LiLSXDCW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/XiaoGLDLZWLLY20, author = {Shanlin Xiao and Yuhao Guo and Wenkang Liao and Huipeng Deng and Yi Luo and Huanliang Zheng and Jian Wang and Cheng Li and Gezi Li and Zhiyi Yu}, title = {NeuronLink: An Efficient Chip-to-Chip Interconnect for Large-Scale Neural Network Accelerators}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {9}, pages = {1966--1978}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2020.3008185}, doi = {10.1109/TVLSI.2020.3008185}, timestamp = {Mon, 07 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/XiaoGLDLZWLLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LiuHYCCZW20, author = {Zhenguang Liu and Sihao Hu and Yifang Yin and Jianhai Chen and Kevin Chiew and Luming Zhang and Zetian Wu}, title = {Interactive Rare-Category-of-Interest Mining from Large Datasets}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {4965--4972}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i04.5935}, doi = {10.1609/AAAI.V34I04.5935}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LiuHYCCZW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aisi/LuoDLZLC20, author = {Jie Luo and Hui{-}Qiong Deng and Qin{-}Bin Li and Rong{-}Jin Zheng and Pei{-}Qiang Li and Kuo{-}Chi Chang}, editor = {Aboul Ella Hassanien and Adam Slowik and V{\'{a}}clav Sn{\'{a}}sel and Hisham El{-}Deeb and Mohamed Fahmy Tolba}, title = {Power Grid Critical State Search Based on Improved Particle Swarm Optimization}, booktitle = {Proceedings of the International Conference on Advanced Intelligent Systems and Informatics, {AISI} 2020, Cairo, Egypt, 19-21 October 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1261}, pages = {558--567}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58669-0\_50}, doi = {10.1007/978-3-030-58669-0\_50}, timestamp = {Wed, 31 Mar 2021 09:15:02 +0200}, biburl = {https://dblp.org/rec/conf/aisi/LuoDLZLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccscw/XuLZLG20, author = {Zheng Xu and Chaofan Liu and Peng Zhang and Tun Lu and Ning Gu}, editor = {Yuqing Sun and Dongning Liu and Hao Liao and Hongfei Fan and Liping Gao}, title = {WikiChain: {A} Blockchain-Based Decentralized Wiki Framework}, booktitle = {Computer Supported Cooperative Work and Social Computing - 15th {CCF} Conference, ChineseCSCW 2020, Shenzhen, China, November 7-9, 2020, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1330}, pages = {46--57}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-16-2540-4\_4}, doi = {10.1007/978-981-16-2540-4\_4}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccscw/XuLZLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0001CLCLZORYPS20, author = {Yuntao Wang and Zichao (Tyson) Chen and Hanchuan Li and Zhengyi Cao and Huiyi Luo and Tengxiang Zhang and Ke Ou and John Raiti and Chun Yu and Shwetak N. Patel and Yuanchun Shi}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {MoveVR: Enabling Multiform Force Feedback in Virtual Reality using Household Cleaning Robot}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376286}, doi = {10.1145/3313831.3376286}, timestamp = {Wed, 12 Jun 2024 07:39:18 +0200}, biburl = {https://dblp.org/rec/conf/chi/0001CLCLZORYPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LuriaZHHZF20, author = {Michal Luria and Rebecca Zheng and Bennett Huffman and Shuangni Huang and John Zimmerman and Jodi Forlizzi}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Social Boundaries for Personal Agents in the Interpersonal Space of the Home}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--12}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376311}, doi = {10.1145/3313831.3376311}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LuriaZHHZF20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ZhuKZLQWF0B20, author = {Suwen Zhu and Yoonsang Kim and Jingjie Zheng and Jennifer Yi Luo and Ryan Qin and Liuping Wang and Xiangmin Fan and Feng Tian and Xiaojun Bi}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Using Bayes' Theorem for Command Input: Principle, Models, and Applications}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--15}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376771}, doi = {10.1145/3313831.3376771}, timestamp = {Thu, 20 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ZhuKZLQWF0B20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cniot/JiaZGSZQ20, author = {Liangquan Jia and Caifeng Zhang and Lu Gao and Zengwu Sun and Wen Zheng and Hengnian Qi}, title = {Kinematic Analysis of Drawing Movements in Chinese Primary Schoolchildren}, booktitle = {{CNIOT} 2020: 2020 International Conference on Computing, Networks and Internet of Things, Sanya, China, April 24-26, 2020}, pages = {60--64}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3398329.3398365}, doi = {10.1145/3398329.3398365}, timestamp = {Tue, 10 Aug 2021 11:14:32 +0200}, biburl = {https://dblp.org/rec/conf/cniot/JiaZGSZQ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/XuHZLCLXSYYTDLS20, author = {Liang Xu and Hai Hu and Xuanwei Zhang and Lu Li and Chenjie Cao and Yudong Li and Yechen Xu and Kai Sun and Dian Yu and Cong Yu and Yin Tian and Qianqian Dong and Weitang Liu and Bo Shi and Yiming Cui and Junyi Li and Jun Zeng and Rongzhao Wang and Weijian Xie and Yanting Li and Yina Patterson and Zuoyu Tian and Yiwen Zhang and He Zhou and Shaoweihua Liu and Zhe Zhao and Qipeng Zhao and Cong Yue and Xinrui Zhang and Zhengliang Yang and Kyle Richardson and Zhenzhong Lan}, editor = {Donia Scott and N{\'{u}}ria Bel and Chengqing Zong}, title = {{CLUE:} {A} Chinese Language Understanding Evaluation Benchmark}, booktitle = {Proceedings of the 28th International Conference on Computational Linguistics, {COLING} 2020, Barcelona, Spain (Online), December 8-13, 2020}, pages = {4762--4772}, publisher = {International Committee on Computational Linguistics}, year = {2020}, url = {https://doi.org/10.18653/v1/2020.coling-main.419}, doi = {10.18653/V1/2020.COLING-MAIN.419}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/XuHZLCLXSYYTDLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhengCLSJYDK20, author = {Qian Zheng and Jinnan Chen and Zhan Lu and Boxin Shi and Xudong Jiang and Kim{-}Hui Yap and Ling{-}Yu Duan and Alex C. Kot}, title = {What Does Plate Glass Reveal About Camera Calibration?}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2020, Seattle, WA, USA, June 13-19, 2020}, pages = {3019--3029}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPR\_2020/html/Zheng\_What\_Does\_Plate\_Glass\_Reveal\_About\_Camera\_Calibration\_CVPR\_2020\_paper.html}, doi = {10.1109/CVPR42600.2020.00309}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhengCLSJYDK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChenWZLCHXHLLM20, author = {Haomin Chen and Yirui Wang and Kang Zheng and Weijian Li and Chi{-}Tung Chang and Adam P. Harrison and Jing Xiao and Gregory D. Hager and Le Lu and Chien{-}Hung Liao and Shun Miao}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Anatomy-Aware Siamese Network: Exploiting Semantic Asymmetry for Accurate Pelvic Fracture Detection in X-Ray Images}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXIII}}, series = {Lecture Notes in Computer Science}, volume = {12368}, pages = {239--255}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58592-1\_15}, doi = {10.1007/978-3-030-58592-1\_15}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/ChenWZLCHXHLLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/ChiNLLTP20, author = {Zhixiang Chi and Rasoul Mohammadi Nasiri and Zheng Liu and Juwei Lu and Jin Tang and Konstantinos N. Plataniotis}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {All at Once: Temporally Adaptive Multi-frame Interpolation with Advanced Motion Modeling}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXVII}}, series = {Lecture Notes in Computer Science}, volume = {12372}, pages = {107--123}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58583-9\_7}, doi = {10.1007/978-3-030-58583-9\_7}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/ChiNLLTP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/LiLZLLLCXLKM20, author = {Weijian Li and Yuhang Lu and Kang Zheng and Haofu Liao and Chihung Lin and Jiebo Luo and Chi{-}Tung Cheng and Jing Xiao and Le Lu and Chang{-}Fu Kuo and Shun Miao}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Structured Landmark Detection via Topology-Adapting Deep Graph Learning}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {IX}}, series = {Lecture Notes in Computer Science}, volume = {12354}, pages = {266--283}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58545-7\_16}, doi = {10.1007/978-3-030-58545-7\_16}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/LiLZLLLCXLKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/NtavelisRBTHWGS20, author = {Evangelos Ntavelis and Andr{\'{e}}s Romero and Siavash Bigdeli and Radu Timofte and Zheng Hui and Xiumei Wang and Xinbo Gao and Chajin Shin and Taeoh Kim and Hanbin Son and Sangyoun Lee and Chao Li and Fu Li and Dongliang He and Shilei Wen and Errui Ding and Mengmeng Bai and Shuchen Li and Yu Zeng and Zhe Lin and Jimei Yang and Jianming Zhang and Eli Shechtman and Huchuan Lu and Weijian Zeng and Haopeng Ni and Yiyang Cai and Chenghua Li and Dejia Xu and Haoning Wu and Yu Han and S. M. Nadim Uddin and Hae Woong Jang and Soikat Hasan Ahmed and Jungmin Yoon and Yong Ju Jung and Chu{-}Tak Li and Zhi{-}Song Liu and Li{-}Wen Wang and Wan{-}Chi Siu and Daniel Pak{-}Kong Lun and Maitreya Suin and Kuldeep Purohit and A. N. Rajagopalan and Pratik Narang and Murari Mandal and Pranjal Singh Chauhan}, editor = {Adrien Bartoli and Andrea Fusiello}, title = {{AIM} 2020 Challenge on Image Extreme Inpainting}, booktitle = {Computer Vision - {ECCV} 2020 Workshops - Glasgow, UK, August 23-28, 2020, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12537}, pages = {716--741}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-67070-2\_43}, doi = {10.1007/978-3-030-67070-2\_43}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/NtavelisRBTHWGS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/QianSJLMC0K20, author = {Long Qian and Chengzhi Song and Yiwei Jiang and Qi Luo and Xin Ma and Philip Waiyan Chiu and Zheng Li and Peter Kazanzides}, title = {FlexiVision: Teleporting the Surgeon's Eyes via Robotic Flexible Endoscope and Head-Mounted Display}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2020, Las Vegas, NV, USA, October 24, 2020 - January 24, 2021}, pages = {3281--3287}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IROS45743.2020.9340716}, doi = {10.1109/IROS45743.2020.9340716}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/QianSJLMC0K20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ZhengWLM0CCM20, author = {Zihao Zheng and Lai Wei and Jorge Lagos and Ewout Martens and Yan Zhu and Chi{-}Hang Chan and Jan Craninckx and Rui Paulo Martins}, title = {16.3 {A} Single-Channel 5.5mW 3.3GS/s 6b Fully Dynamic Pipelined {ADC} with Post-Amplification Residue Generation}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {254--256}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062895}, doi = {10.1109/ISSCC19947.2020.9062895}, timestamp = {Tue, 18 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ZhengWLM0CCM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lascas/ZhaoZNYLYLW20, author = {Mingxin Zhao and Xuemin Zheng and Ke Ning and Chunhe Yao and Qian Luo and Shuangming Yu and Liyuan Liu and Nanjian Wu}, title = {A verification method for array-based vision chip using a fixed-point neural network simulation tool}, booktitle = {11th {IEEE} Latin American Symposium on Circuits {\&} Systems, {LASCAS} 2020, San Jose, Costa Rica, February 25-28, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/LASCAS45839.2020.9069000}, doi = {10.1109/LASCAS45839.2020.9069000}, timestamp = {Tue, 05 May 2020 12:31:37 +0200}, biburl = {https://dblp.org/rec/conf/lascas/ZhaoZNYLYLW20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/LuLZWHLWXLKM20, author = {Yuhang Lu and Weijian Li and Kang Zheng and Yirui Wang and Adam P. Harrison and Chihung Lin and Song Wang and Jing Xiao and Le Lu and Chang{-}Fu Kuo and Shun Miao}, editor = {Anne L. Martel and Purang Abolmaesumi and Danail Stoyanov and Diana Mateus and Maria A. Zuluaga and S. Kevin Zhou and Daniel Racoceanu and Leo Joskowicz}, title = {Learning to Segment Anatomical Structures Accurately from One Exemplar}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2020 - 23rd International Conference, Lima, Peru, October 4-8, 2020, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {12261}, pages = {678--688}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59710-8\_66}, doi = {10.1007/978-3-030-59710-8\_66}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miccai/LuLZWHLWXLKM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/networking/Fan0LYLLQ020, author = {Zhengxin Fan and Lei Wang and Bingxian Lu and Yongda Yu and Chi Lin and Zhongxuan Luo and Zhenquan Qin and Ming Zhu}, title = {A Link Scheduling Algorithm for Underwater Optical Wireless Networks}, booktitle = {2020 {IFIP} Networking Conference, Networking 2020, Paris, France, June 22-26, 2020}, pages = {827--832}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9142803}, timestamp = {Fri, 24 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/networking/Fan0LYLLQ020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/npc/HanLJLZJL20, author = {Yaopeng Han and Zhigang Lu and Bo Jiang and Yuling Liu and Chen Zhang and Zhengwei Jiang and Ning Li}, editor = {Xin He and En Shao and Guangming Tan}, title = {{MTLAT:} {A} Multi-Task Learning Framework Based on Adversarial Training for Chinese Cybersecurity {NER}}, booktitle = {Network and Parallel Computing - 17th {IFIP} {WG} 10.3 International Conference, {NPC} 2020, Zhengzhou, China, September 28-30, 2020, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {12639}, pages = {43--54}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-79478-1\_4}, doi = {10.1007/978-3-030-79478-1\_4}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/npc/HanLJLZJL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/SunXSLCZZLSCC20, author = {Zhenxing Sun and Rulei Xiao and Zhirui Su and Gen Lv and Zhao Chen and Jilin Zheng and Yunshan Zhang and Jun Lu and Yue{-}chun Shi and Yi{-}Jen Chiu and Xiangfei Chen}, title = {10-nm-Wide Tunable In-Series Laser Array with High Single-Mode Stability}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083204}, timestamp = {Wed, 07 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/SunXSLCZZLSCC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prcv/LuHZZMWC20, author = {Simeng Lu and Shen Huang and Yun Zhang and Xiujuan Zheng and Danmin Miao and Jiajun Wang and Zheru Chi}, editor = {Yuxin Peng and Qingshan Liu and Huchuan Lu and Zhenan Sun and Chenglin Liu and Xilin Chen and Hongbin Zha and Jian Yang}, title = {Detection of High-Risk Depression Groups Based on Eye-Tracking Data}, booktitle = {Pattern Recognition and Computer Vision - Third Chinese Conference, {PRCV} 2020, Nanjing, China, October 16-18, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12306}, pages = {492--503}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-60639-8\_41}, doi = {10.1007/978-3-030-60639-8\_41}, timestamp = {Tue, 20 Aug 2024 07:54:43 +0200}, biburl = {https://dblp.org/rec/conf/prcv/LuHZZMWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/TsengCRLL20, author = {Chwan{-}Lu Tseng and Che{-}Shen Cheng and Zheng{-}Yan Ruan and Ren{-}Guey Lee and Ching{-}Yin Lee}, title = {An Improved {EKF} Localization Method with {RSSI} Aid for Mobile Wireless Sensor Networks}, booktitle = {2020 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2020, Toronto, ON, Canada, October 11-14, 2020}, pages = {3364--3369}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SMC42975.2020.9283129}, doi = {10.1109/SMC42975.2020.9283129}, timestamp = {Fri, 08 Jan 2021 11:20:36 +0100}, biburl = {https://dblp.org/rec/conf/smc/TsengCRLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icprai/2020, editor = {Yue Lu and Nicole Vincent and Pong Chi Yuen and Wei{-}Shi Zheng and Farida Cheriet and Ching Y. Suen}, title = {Pattern Recognition and Artificial Intelligence - International Conference, {ICPRAI} 2020, Zhongshan, China, October 19-23, 2020, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12068}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-59830-3}, doi = {10.1007/978-3-030-59830-3}, isbn = {978-3-030-59829-7}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icprai/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-05986, author = {Liang Xu and Xuanwei Zhang and Lu Li and Hai Hu and Chenjie Cao and Weitang Liu and Junyi Li and Yudong Li and Kai Sun and Yechen Xu and Yiming Cui and Cong Yu and Qianqian Dong and Yin Tian and Dian Yu and Bo Shi and Jun Zeng and Rongzhao Wang and Weijian Xie and Yanting Li and Yina Patterson and Zuoyu Tian and Yiwen Zhang and He Zhou and Shaoweihua Liu and Qipeng Zhao and Cong Yue and Xinrui Zhang and Zhengliang Yang and Zhenzhong Lan}, title = {{CLUE:} {A} Chinese Language Understanding Evaluation Benchmark}, journal = {CoRR}, volume = {abs/2004.05986}, year = {2020}, url = {https://arxiv.org/abs/2004.05986}, eprinttype = {arXiv}, eprint = {2004.05986}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-05986.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-08190, author = {Weijian Li and Yuhang Lu and Kang Zheng and Haofu Liao and Chihung Lin and Jiebo Luo and Chi{-}Tung Cheng and Jing Xiao and Le Lu and Chang{-}Fu Kuo and Shun Miao}, title = {Structured Landmark Detection via Topology-Adapting Deep Graph Learning}, journal = {CoRR}, volume = {abs/2004.08190}, year = {2020}, url = {https://arxiv.org/abs/2004.08190}, eprinttype = {arXiv}, eprint = {2004.08190}, timestamp = {Thu, 03 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-08190.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-01464, author = {Haomin Chen and Yirui Wang and Kang Zheng and Weijian Li and Chi{-}Tung Cheng and Adam P. Harrison and Jing Xiao and Gregory D. Hager and Le Lu and Chien{-}Hung Liao and Shun Miao}, title = {Anatomy-Aware Siamese Network: Exploiting Semantic Asymmetry for Accurate Pelvic Fracture Detection in X-ray Images}, journal = {CoRR}, volume = {abs/2007.01464}, year = {2020}, url = {https://arxiv.org/abs/2007.01464}, eprinttype = {arXiv}, eprint = {2007.01464}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-01464.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-03052, author = {Yuhang Lu and Weijian Li and Kang Zheng and Yirui Wang and Adam P. Harrison and Chihung Lin and Song Wang and Jing Xiao and Le Lu and Chang{-}Fu Kuo and Shun Miao}, title = {Learning to Segment Anatomical Structures Accurately from One Exemplar}, journal = {CoRR}, volume = {abs/2007.03052}, year = {2020}, url = {https://arxiv.org/abs/2007.03052}, eprinttype = {arXiv}, eprint = {2007.03052}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-03052.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-11762, author = {Zhixiang Chi and Rasoul Mohammadi Nasiri and Zheng Liu and Juwei Lu and Jin Tang and Konstantinos N. Plataniotis}, title = {All at Once: Temporally Adaptive Multi-Frame Interpolation with Advanced Motion Modeling}, journal = {CoRR}, volume = {abs/2007.11762}, year = {2020}, url = {https://arxiv.org/abs/2007.11762}, eprinttype = {arXiv}, eprint = {2007.11762}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-11762.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10246, author = {Zhaojing Luo and Sai Ho Yeung and Meihui Zhang and Kaiping Zheng and Gang Chen and Feiyi Fan and Qian Lin and Kee Yuan Ngiam and Beng Chin Ooi}, title = {MLCask: Efficient Management of Component Evolution in Collaborative Data Analytics Pipelines}, journal = {CoRR}, volume = {abs/2010.10246}, year = {2020}, url = {https://arxiv.org/abs/2010.10246}, eprinttype = {arXiv}, eprint = {2010.10246}, timestamp = {Wed, 02 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10246.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-01480, author = {Yuhang Lu and Kang Zheng and Weijian Li and Yirui Wang and Adam P. Harrison and Chihung Lin and Song Wang and Jing Xiao and Le Lu and Chang{-}Fu Kuo and Shun Miao}, title = {Contour Transformer Network for One-shot Segmentation of Anatomical Structures}, journal = {CoRR}, volume = {abs/2012.01480}, year = {2020}, url = {https://arxiv.org/abs/2012.01480}, eprinttype = {arXiv}, eprint = {2012.01480}, timestamp = {Tue, 05 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-01480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-15359, author = {Yirui Wang and Kang Zheng and Chi{-}Tung Chang and Xiao{-}Yun Zhou and Zhilin Zheng and Lingyun Huang and Jing Xiao and Le Lu and Chien{-}Hung Liao and Shun Miao}, title = {Knowledge Distillation with Adaptive Asymmetric Label Sharpening for Semi-supervised Fracture Detection in Chest X-rays}, journal = {CoRR}, volume = {abs/2012.15359}, year = {2020}, url = {https://arxiv.org/abs/2012.15359}, eprinttype = {arXiv}, eprint = {2012.15359}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-15359.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RenaudinOPTJPMS19, author = {Val{\'{e}}rie Renaudin and Miguel Ortiz and Johan Perul and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Yael Landau and Revital Marbel and Boaz Ben{-}Moshe and Xingyu Zheng and Feng Ye and Jian Kuang and Yu Li and Xiaoji Niu and Vlad Landa and Shlomi Hacohen and Nir Shvalb and Chuanhua Lu and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Zhenxing Ding and Feng Xu and Nikolai Kronenwett and Blagovest Vladimirov and Soyeon Lee and Eunyoung Cho and Sungwoo Jun and Chang{-}Eun Lee and Sangjoon Park and Yonghyun Lee and Jehyeok Rew and Changjun Park and Hyeongyo Jeong and Jaeseung Han and Keumryeol Lee and Wenchao Zhang and Xianghong Li and Dongyan Wei and Ying Zhang and So Young Park and Chan Gook Park and Stefan Knauth and Georgios Pipelidis and Nikolaos Tsiamitros and Tom{\'{a}}s Lungenstrass and Juan Pablo Morales and Jens Trogh and David Plets and Miroslav Opiela and Shih{-}Hau Fang and Yu Tsao and Ying{-}Ren Chien and Shi{-}Shen Yang and Shih{-}Jyun Ye and Muhammad Usman Ali and Soojung Hur and Yongwan Park}, title = {Evaluating Indoor Positioning Systems in a Shopping Mall: The Lessons Learned From the {IPIN} 2018 Competition}, journal = {{IEEE} Access}, volume = {7}, pages = {148594--148628}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2944389}, doi = {10.1109/ACCESS.2019.2944389}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RenaudinOPTJPMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SunLCCT19, author = {Hongyu Sun and Zheng Lu and Chin{-}Ling Chen and Jie Cao and Zhenjiang Tan}, title = {Accurate Human Gesture Sensing With Coarse-Grained {RF} Signatures}, journal = {{IEEE} Access}, volume = {7}, pages = {81227--81245}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2923574}, doi = {10.1109/ACCESS.2019.2923574}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SunLCCT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhengWCWGCL19, author = {Chong{-}Wei Zheng and Guo{-}Xiang Wu and Xuan Chen and Qing Wang and Zhan{-}Sheng Gao and Yun{-}Ge Chen and Xia Luo}, title = {CMIP5-Based Wave Energy Projection: Case Studies of the South China Sea and the East China Sea}, journal = {{IEEE} Access}, volume = {7}, pages = {82753--82763}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2924197}, doi = {10.1109/ACCESS.2019.2924197}, timestamp = {Tue, 29 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhengWCWGCL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhengWZWWL19, author = {Hongxing Zheng and Yue Wu and Kanglong Zhang and Lu Wang and Mengjun Wang and Erping Li}, title = {Wide-Band Modeling On-Chip Spiral Inductors Using Frequency-Dependent Conformal {ADI-FDTD} Method}, journal = {{IEEE} Access}, volume = {7}, pages = {184940--184949}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2960284}, doi = {10.1109/ACCESS.2019.2960284}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhengWZWWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhouCCLLCHW19, author = {Jianshu Zhou and Xiaojiao Chen and Ukyoung Chang and Jui{-}Ting Lu and Clarisse Ching Yau Leung and Yonghua Chen and Yong Hu and Zheng Wang}, title = {A Soft-Robotic Approach to Anthropomorphic Robotic Hand Dexterity}, journal = {{IEEE} Access}, volume = {7}, pages = {101483--101495}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2929690}, doi = {10.1109/ACCESS.2019.2929690}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ZhouCCLLCHW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/LiuLZCZDCLZR19, author = {Lunfei Liu and Wenting Liu and Yuxin Zheng and Jisu Chen and Jiong Zhou and Huatuo Dai and Suiqing Cai and Jianjun Liu and Min Zheng and Yunqing Ren}, title = {Anti-TNF- {\(\alpha\)}treatment-related pathways and biomarkers revealed by transcriptome analysis in Chinese psoriasis patients}, journal = {{BMC} Syst. Biol.}, volume = {13-S}, number = {2}, pages = {29:1--29:9}, year = {2019}, url = {https://doi.org/10.1186/s12918-019-0698-7}, doi = {10.1186/S12918-019-0698-7}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcsb/LiuLZCZDCLZR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/XuWLGLG19, author = {Sen Xu and Weija Wang and Xiangjun Lu and Zheng Guo and Junrong Liu and Dawu Gu}, title = {Side channel attack of multiplication in GF(\emph{q})-application to secure {RSA-CRT}}, journal = {Sci. China Inf. Sci.}, volume = {62}, number = {3}, pages = {39105:1--39105:3}, year = {2019}, url = {https://doi.org/10.1007/s11432-018-9488-2}, doi = {10.1007/S11432-018-9488-2}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/XuWLGLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ZhengCWCWL19, author = {Tongya Zheng and Gang Chen and Xinyu Wang and Chun Chen and Xingen Wang and Sihui Luo}, title = {Real-time intelligent big data processing: technology, platform, and applications}, journal = {Sci. China Inf. Sci.}, volume = {62}, number = {8}, pages = {82101:1--82101:12}, year = {2019}, url = {https://doi.org/10.1007/s11432-018-9834-8}, doi = {10.1007/S11432-018-9834-8}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ZhengCWCWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeLHHTLLSHYYZH19, author = {Peisan Lee and Ju{-}Chi Liu and Ming{-}Hsiung Hsieh and Wen{-}Rui Hao and Yuan{-}Teng Tseng and Shuen{-}Hsin Liu and Yung{-}Kuo Lin and Li{-}Chin Sung and Jen{-}Hung Huang and Hung{-}Yu Yang and Jong{-}Shiuan Ye and He{-}Shun Zheng and Min{-}Huei Hsu and Shabbir Syed{-}Abdul and Richard Lu and Phung Anh Nguyen and Usman Iqbal and Chih{-}Wei Huang and Yu{-}Chuan (Jack) Li}, title = {Corrigendum to "Cloud-based {BP} system integrated with {CPOE} improves self-management of the hypertensive patients: {A} randomized controlled trial" Comput Methods Programs Biomed 2016;132: 105-113}, journal = {Comput. Methods Programs Biomed.}, volume = {176}, pages = {237--238}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.04.031}, doi = {10.1016/J.CMPB.2019.04.031}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeLHHTLLSHYYZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/LaiZYZWL19, author = {Yongxuan Lai and Lu Zhang and Fan Yang and Lv Zheng and Tian Wang and Kuan{-}Ching Li}, title = {{CASQ:} Adaptive and cloud-assisted query processing in vehicular sensor networks}, journal = {Future Gener. Comput. Syst.}, volume = {94}, pages = {237--249}, year = {2019}, url = {https://doi.org/10.1016/j.future.2018.11.034}, doi = {10.1016/J.FUTURE.2018.11.034}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fgcs/LaiZYZWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/DuMQCZLZSSLLQYS19, author = {Zhenglin Du and Liang Ma and Hongzhu Qu and Wei Chen and Bing Zhang and Xi Lu and Weibo Zhai and Xin Sheng and Yongqiao Sun and Wenjie Li and Meng Lei and Qiuhui Qi and Na Yuan and Shuo Shi and Jingyao Zeng and Jinyue Wang and Yadong Yang and Qi Liu and Changqing Zeng}, title = {Whole Genome Analyses of Chinese Population and \emph{De Novo} Assembly of {A} Northern Han Genome}, journal = {Genom. Proteom. Bioinform.}, volume = {17}, number = {3}, pages = {229--247}, year = {2019}, url = {https://doi.org/10.1016/j.gpb.2019.07.002}, doi = {10.1016/J.GPB.2019.07.002}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/DuMQCZLZSSLLQYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcse/GaoK0LGX19, author = {Shengxiang Gao and Dewei Kong and Zhengtao Yu and Yang Luo and Jianyi Guo and Yantuan Xian}, title = {Chinese question speech recognition integrated with domain characteristics}, journal = {Int. J. Comput. Sci. Eng.}, volume = {19}, number = {3}, pages = {325--333}, year = {2019}, url = {https://doi.org/10.1504/IJCSE.2019.101342}, doi = {10.1504/IJCSE.2019.101342}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcse/GaoK0LGX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/JiaWNLS19, author = {Xingxing Jia and Daoshun Wang and Daxin Nie and Xiangyang Luo and Jonathan Zheng Sun}, title = {A new threshold changeable secret sharing scheme based on the Chinese Remainder Theorem}, journal = {Inf. Sci.}, volume = {473}, pages = {13--30}, year = {2019}, url = {https://doi.org/10.1016/j.ins.2018.09.024}, doi = {10.1016/J.INS.2018.09.024}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/JiaWNLS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/ZhangLGWLGL19, author = {Chi Zhang and Junrong Liu and Dawu Gu and Weijia Wang and Xiangjun Lu and Zheng Guo and Hai{-}Ning Lu}, title = {Side-Channel Analysis for the Authentication Protocols of {CDMA} Cellular Networks}, journal = {J. Comput. Sci. Technol.}, volume = {34}, number = {5}, pages = {1079--1095}, year = {2019}, url = {https://doi.org/10.1007/s11390-019-1961-5}, doi = {10.1007/S11390-019-1961-5}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcst/ZhangLGWLGL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlc/XiangLJZYL19, author = {Rong Xiang and Qin Lu and Ying Jiao and Yufei Zheng and Wenhao Ying and Yunfei Long}, title = {Leveraging writing systems changes for deep learning based Chinese affective analysis}, journal = {Int. J. Mach. Learn. Cybern.}, volume = {10}, number = {11}, pages = {3313--3325}, year = {2019}, url = {https://doi.org/10.1007/s13042-019-01019-z}, doi = {10.1007/S13042-019-01019-Z}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlc/XiangLJZYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ChenLGLGYYZ19, author = {Zhengchao Chen and Kaixuan Lu and Lianru Gao and Baipeng Li and Jianwei Gao and Xuan Yang and Mufeng Yao and Bing Zhang}, title = {Automatic Detection of Track and Fields in China from High-Resolution Satellite Images Using Multi-Scale-Fused Single Shot MultiBox Detector}, journal = {Remote. Sens.}, volume = {11}, number = {11}, pages = {1377}, year = {2019}, url = {https://doi.org/10.3390/rs11111377}, doi = {10.3390/RS11111377}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/ChenLGLGYYZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/QuZCLMLYLJLGZ19, author = {Yuquan Qu and Zhongli Zhu and Linna Chai and Shaomin Liu and Carsten Montzka and Jin Liu and Xiaofan Yang and Zheng Lu and Rui Jin and Xiang Li and Zhixia Guo and Jie Zheng}, title = {Rebuilding a Microwave Soil Moisture Product Using Random Forest Adopting {AMSR-E/AMSR2} Brightness Temperature and {SMAP} over the Qinghai-Tibet Plateau, China}, journal = {Remote. Sens.}, volume = {11}, number = {6}, pages = {683}, year = {2019}, url = {https://doi.org/10.3390/rs11060683}, doi = {10.3390/RS11060683}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/QuZCLMLYLJLGZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ZhuLYC19, author = {Zheng{-}An Zhu and Yun{-}Chung Lu and Chih{-}Hsiang You and Chen{-}Kuo Chiang}, title = {Deep Learning for Sensor-Based Rehabilitation Exercise Recognition and Evaluation}, journal = {Sensors}, volume = {19}, number = {4}, pages = {887}, year = {2019}, url = {https://doi.org/10.3390/s19040887}, doi = {10.3390/S19040887}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ZhuLYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/YangYCWZFZW19, author = {Kaisheng Yang and Guilin Yang and Si{-}Lu Chen and Yi Wang and Chi Zhang and Zaojun Fang and Tianjiang Zheng and Chongchong Wang}, title = {Study on Stiffness-Oriented Cable Tension Distribution for a Symmetrical Cable-Driven Mechanism}, journal = {Symmetry}, volume = {11}, number = {9}, pages = {1158}, year = {2019}, url = {https://doi.org/10.3390/sym11091158}, doi = {10.3390/SYM11091158}, timestamp = {Mon, 08 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/YangYCWZFZW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiHZLCFIWL19, author = {Sen Li and You Hu and Lini Zheng and Zhen Li and Xi Chen and Tyrone Fernando and Herbert H. C. Iu and Qinglin Wang and Xiangdong Liu}, title = {Stochastic Event-Triggered Cubature Kalman Filter for Power System Dynamic State Estimation}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {66-II}, number = {9}, pages = {1552--1556}, year = {2019}, url = {https://doi.org/10.1109/TCSII.2018.2886690}, doi = {10.1109/TCSII.2018.2886690}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LiHZLCFIWL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ZhengYIFSEG19, author = {Ciyan Zheng and Dongsheng Yu and Herbert Ho{-}Ching Iu and Tyrone Fernando and Tingting Sun and Jason Kamran Eshraghian and Hengdao Guo}, title = {A Novel Universal Interface for Constructing Memory Elements for Circuit Applications}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {12}, pages = {4793--4806}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2938094}, doi = {10.1109/TCSI.2019.2938094}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ZhengYIFSEG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tits/LaiLLL19, author = {Yongxuan Lai and Zheng Lv and Kuan{-}Ching Li and Minghong Liao}, title = {Urban Traffic Coulomb's Law: {A} New Approach for Taxi Route Recommendation}, journal = {{IEEE} Trans. Intell. Transp. Syst.}, volume = {20}, number = {8}, pages = {3024--3037}, year = {2019}, url = {https://doi.org/10.1109/TITS.2018.2870990}, doi = {10.1109/TITS.2018.2870990}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tits/LaiLLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccscw/LiuZLLG19, author = {Peng Liu and Zhenghao Zhang and Tun Lu and Dongsheng Li and Ning Gu}, editor = {Yuqing Sun and Tun Lu and Zhengtao Yu and Hongfei Fan and Liping Gao}, title = {A Recommendation Method of Barrier-Free Facilities Construction Based on Geographic Information}, booktitle = {Computer Supported Cooperative Work and Social Computing - 14th {CCF} Conference, ChineseCSCW 2019, Kunming, China, August 16-18, 2019, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1042}, pages = {377--389}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-1377-0\_29}, doi = {10.1007/978-981-15-1377-0\_29}, timestamp = {Tue, 05 Mar 2024 16:17:01 +0100}, biburl = {https://dblp.org/rec/conf/ccscw/LiuZLLG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/FangHDZLM19, author = {Siyuan Fang and Lixia Hu and Bin Du and Haoteng Zheng and Minhua Lu and Rui Mao}, editor = {Vladimir Getov and Jean{-}Luc Gaudiot and Nariyoshi Yamai and Stelvio Cimato and J. Morris Chang and Yuuichi Teranishi and Ji{-}Jiang Yang and Hong Va Leong and Hossain Shahriar and Michiharu Takemoto and Dave Towey and Hiroki Takakura and Atilla El{\c{c}}i and Susumu Takeuchi and Satish Puri}, title = {Viscoelasticity Measurements of knee Muscles with Simulated Knee Osteoarthritis Treated by Novel Chinese Medicine: {A} Preliminary Study}, booktitle = {43rd {IEEE} Annual Computer Software and Applications Conference, {COMPSAC} 2019, Milwaukee, WI, USA, July 15-19, 2019, Volume 2}, pages = {380--385}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/COMPSAC.2019.10236}, doi = {10.1109/COMPSAC.2019.10236}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/compsac/FangHDZLM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/ChenZLKL19, author = {Oscal Tzyh{-}Chiang Chen and Yu{-}Cheng Zhang and Zheng Kuan Lin and Pei{-}I Kuo and Yi Lun Lee}, title = {Camera-in-Hand Robotic Arm Using a Deep Neural Network to Realize Unmanned Store Service}, booktitle = {2019 {IEEE} Intl Conf on Dependable, Autonomic and Secure Computing, Intl Conf on Pervasive Intelligence and Computing, Intl Conf on Cloud and Big Data Computing, Intl Conf on Cyber Science and Technology Congress, DASC/PiCom/CBDCom/CyberSciTech 2019, Fukuoka, Japan, August 5-8, 2019}, pages = {833--839}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DASC/PiCom/CBDCom/CyberSciTech.2019.00152}, doi = {10.1109/DASC/PICOM/CBDCOM/CYBERSCITECH.2019.00152}, timestamp = {Sun, 10 Nov 2019 16:47:28 +0100}, biburl = {https://dblp.org/rec/conf/dasc/ChenZLKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanBZRGBDDN19, author = {Matej Kristan and Amanda Berg and Linyu Zheng and Litu Rout and Luc Van Gool and Luca Bertinetto and Martin Danelljan and Matteo Dunnhofer and Meng Ni and Min Young Kim and Ming Tang and Ming{-}Hsuan Yang and Abdelrahman Eldesokey and Naveen Paluru and Niki Martinel and Pengfei Xu and Pengfei Zhang and Pengkun Zheng and Pengyu Zhang and Philip H. S. Torr and Qi Zhang and Qiang Wang and Qing Guo and Radu Timofte and Jani K{\"{a}}pyl{\"{a}} and Rama Krishna Sai Subrahmanyam Gorthi and Richard M. Everson and Ruize Han and Ruohan Zhang and Shan You and Shao{-}Chuan Zhao and Shengwei Zhao and Shihu Li and Shikun Li and Shiming Ge and Gustavo Fern{\'{a}}ndez and Shuai Bai and Shuosen Guan and Tengfei Xing and Tianyang Xu and Tianyu Yang and Ting Zhang and Tom{\'{a}}s Voj{\'{\i}}r and Wei Feng and Weiming Hu and Weizhao Wang and Abel Gonzalez{-}Garcia and Wenjie Tang and Wenjun Zeng and Wenyu Liu and Xi Chen and Xi Qiu and Xiang Bai and Xiao{-}Jun Wu and Xiaoyun Yang and Xier Chen and Xin Li and Alireza Memarmoghadam and Xing Sun and Xingyu Chen and Xinmei Tian and Xu Tang and Xuefeng Zhu and Yan Huang and Yanan Chen and Yanchao Lian and Yang Gu and Yang Liu and Andong Lu and Yanjie Chen and Yi Zhang and Yinda Xu and Yingming Wang and Yingping Li and Yu Zhou and Yuan Dong and Yufei Xu and Yunhua Zhang and Yunkun Li and Anfeng He and Zeyu Wang and Zhao Luo and Zhaoliang Zhang and Zhen{-}Hua Feng and Zhenyu He and Zhichao Song and Zhihao Chen and Zhipeng Zhang and Zhirong Wu and Zhiwei Xiong and Zhongjian Huang and Anton Varfolomieiev and Zhu Teng and Zihan Ni and Antoni B. Chan and Jir{\'{\i}} Matas and Ardhendu Shekhar Tripathi and Arnold W. M. Smeulders and Bala Suraj Pedasingu and Bao Xin Chen and Baopeng Zhang and Baoyuan Wu and Bi Li and Bin He and Bin Yan and Bing Bai and Ales Leonardis and Bing Li and Bo Li and Byeong Hak Kim and Chao Ma and Chen Fang and Chen Qian and Cheng Chen and Chenglong Li and Chengquan Zhang and Chi{-}Yi Tsai and Michael Felsberg and Chong Luo and Christian Micheloni and Chunhui Zhang and Dacheng Tao and Deepak Gupta and Dejia Song and Dong Wang and Efstratios Gavves and Eunu Yi and Fahad Shahbaz Khan and Roman P. Pflugfelder and Fangyi Zhang and Fei Wang and Fei Zhao and George De Ath and Goutam Bhat and Guangqi Chen and Guangting Wang and Guoxuan Li and Hakan Cevikalp and Hao Du and Joni{-}Kristian K{\"{a}}m{\"{a}}r{\"{a}}inen and Haojie Zhao and Hasan Saribas and Ho Min Jung and Hongliang Bai and Hongyuan Yu and Houwen Peng and Huchuan Lu and Hui Li and Jiakun Li and Luka Cehovin Zajc and Jianhua Li and Jianlong Fu and Jie Chen and Jie Gao and Jie Zhao and Jin Tang and Jing Li and Jingjing Wu and Jingtuo Liu and Jinqiao Wang and Ondrej Drbohlav and Jinqing Qi and Jinyue Zhang and John K. Tsotsos and Jong Hyuk Lee and Joost van de Weijer and Josef Kittler and Jun Ha Lee and Junfei Zhuang and Kangkai Zhang and Kangkang Wang and Alan Lukezic and Kenan Dai and Lei Chen and Lei Liu and Leida Guo and Li Zhang and Liang Wang and Liangliang Wang and Lichao Zhang and Lijun Wang and Lijun Zhou}, title = {The Seventh Visual Object Tracking {VOT2019} Challenge Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {2206--2241}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00276}, doi = {10.1109/ICCVW.2019.00276}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanBZRGBDDN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ZhuLC19, author = {Zheng{-}An Zhu and Yun{-}Zhong Lu and Chen{-}Kuo Chiang}, title = {Generating Adversarial Examples By Makeup Attacks on Face Recognition}, booktitle = {2019 {IEEE} International Conference on Image Processing, {ICIP} 2019, Taipei, Taiwan, September 22-25, 2019}, pages = {2516--2520}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICIP.2019.8803269}, doi = {10.1109/ICIP.2019.8803269}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/ZhuLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieem/LuZX19, author = {Sijia Lu and Suli Zheng and Qian Xu}, title = {External or Internal Cooperation? Patenting Activities and Cooperative Structures in the Chinese {ICT} Sector}, booktitle = {2019 {IEEE} International Conference on Industrial Engineering and Engineering Management, {IEEM} 2019, Macao, Macao, December 15-18, 2019}, pages = {722--726}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IEEM44572.2019.8978661}, doi = {10.1109/IEEM44572.2019.8978661}, timestamp = {Tue, 04 Feb 2020 13:23:52 +0100}, biburl = {https://dblp.org/rec/conf/ieem/LuZX19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LiuCLQWY19, author = {Jin Liu and Linna Chai and Zheng Lu and Yuquan Qu and Jian Wang and Shiqi Yang}, title = {Validation of Five Passive Microwave Remotely Sensed Soil Moisture Products over the Qinghai-Tibet Plateau, China}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {6182--6185}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8899873}, doi = {10.1109/IGARSS.2019.8899873}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/LiuCLQWY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LuJ0ZH19, author = {Jing Lu and Li Jia and Jie Zhou and Chaolei Zheng and Guangcheng Hu}, title = {Adaptablity of Six Global Drought Indices Over China}, booktitle = {2019 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2019, Yokohama, Japan, July 28 - August 2, 2019}, pages = {9922--9925}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IGARSS.2019.8899184}, doi = {10.1109/IGARSS.2019.8899184}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LuJ0ZH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LuZWYCH19, author = {Ningjie Lu and Jun Zheng and Wen Wu and Yan Yang and Kaiwei Chen and Wenxin Hu}, title = {Chinese Clinical Named Entity Recognition with Word-Level Information Incorporating Dictionaries}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest, Hungary, July 14-19, 2019}, pages = {1--8}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IJCNN.2019.8852113}, doi = {10.1109/IJCNN.2019.8852113}, timestamp = {Wed, 22 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ijcnn/LuZWYCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YehCSHLTTLCTTLL19, author = {Sung{-}Lin Yeh and Gao{-}Yi Chao and Bo{-}Hao Su and Yu{-}Lin Huang and Meng{-}Han Lin and Yin{-}Chun Tsai and Yu{-}Wen Tai and Zheng{-}Chi Lu and Chieh{-}Yu Chen and Tsung{-}Ming Tai and Chiu{-}Wang Tseng and Cheng{-}Kuang Lee and Chi{-}Chun Lee}, editor = {Gernot Kubin and Zdravko Kacic}, title = {Using Attention Networks and Adversarial Augmentation for Styrian Dialect Continuous Sleepiness and Baby Sound Recognition}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {2398--2402}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2110}, doi = {10.21437/INTERSPEECH.2019-2110}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YehCSHLTTLCTTLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/XieYLLLOCLL19, author = {Cheng{-}Yu Xie and Shang{-}Hsien Yang and Shen{-}Fu Lu and Fa{-}Yi Lin and Yen{-}An Lin and You{-}Zheng Ou{-}Yang and Ke{-}Horng Chen and Kuo{-}Chi Liu and Ying{-}Hsi Lin}, title = {A 100W and 91{\%} GaN-Based Class-E Wireless-Power-Transfer Transmitter with Differential-Impedance-Matching Control for Charging Multiple Devices}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {242--244}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662535}, doi = {10.1109/ISSCC.2019.8662535}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/XieYLLLOCLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itnac/ZhengCW19, author = {Yawen Zheng and Kwan{-}Wu Chin and Luyao Wang}, title = {Download Traffic Scheduling for CubeSats Swarms with Inter-Satellite Links}, booktitle = {29th International Telecommunication Networks and Applications Conference, {ITNAC} 2019, Auckland, New Zealand, November 27-29, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ITNAC46935.2019.9077987}, doi = {10.1109/ITNAC46935.2019.9077987}, timestamp = {Mon, 04 May 2020 16:58:48 +0200}, biburl = {https://dblp.org/rec/conf/itnac/ZhengCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/ChiangRDZL19, author = {Jeffrey N. Chiang and Nicco Reggente and John Dell'Italia and Zhong Sheng Zheng and Evan S. Lutkenhoff}, editor = {Kilian M. Pohl and Wesley K. Thompson and Ehsan Adeli and Marius George Linguraru}, title = {Predicting Fluid Intelligence Using Anatomical Measures Within Functionally Defined Brain Networks}, booktitle = {Adolescent Brain Cognitive Development Neurocognitive Prediction - First Challenge, {ABCD-NP} 2019, Held in Conjunction with {MICCAI} 2019, Shenzhen, China, October 13, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11791}, pages = {143--149}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-31901-4\_17}, doi = {10.1007/978-3-030-31901-4\_17}, timestamp = {Wed, 16 Mar 2022 23:56:10 +0100}, biburl = {https://dblp.org/rec/conf/miccai/ChiangRDZL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/usenix/LiL0ZXS19, author = {Zhao Lucis Li and Chieh{-}Jan Mike Liang and Wei Bai and Qiming Zheng and Yongqiang Xiong and Guangzhong Sun}, editor = {Dahlia Malkhi and Dan Tsafrir}, title = {Accelerating Rule-matching Systems with Learned Rankers}, booktitle = {Proceedings of the 2019 {USENIX} Annual Technical Conference, {USENIX} {ATC} 2019, Renton, WA, USA, July 10-12, 2019}, pages = {1041--1048}, publisher = {{USENIX} Association}, year = {2019}, url = {https://www.usenix.org/conference/atc19/presentation/li-zhao}, timestamp = {Tue, 16 Jul 2024 09:12:32 +0200}, biburl = {https://dblp.org/rec/conf/usenix/LiL0ZXS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/ccscw/2019, editor = {Yuqing Sun and Tun Lu and Zhengtao Yu and Hongfei Fan and Liping Gao}, title = {Computer Supported Cooperative Work and Social Computing - 14th {CCF} Conference, ChineseCSCW 2019, Kunming, China, August 16-18, 2019, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1042}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-1377-0}, doi = {10.1007/978-981-15-1377-0}, isbn = {978-981-15-1376-3}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccscw/2019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-00625, author = {Zhengxuan Wu and Jason Luo and Xiyu Zhang}, title = {Uncovering Political Promotion in China: {A} Network Analysis of Patronage Relationship in Autocracy}, journal = {CoRR}, volume = {abs/1902.00625}, year = {2019}, url = {http://arxiv.org/abs/1902.00625}, eprinttype = {arXiv}, eprint = {1902.00625}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-00625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-13171, author = {Chunwei Tian and Lunke Fei and Wenxian Zheng and Yong Xu and Wangmeng Zuo and Chia{-}Wen Lin}, title = {Deep Learning on Image Denoising: An overview}, journal = {CoRR}, volume = {abs/1912.13171}, year = {2019}, url = {http://arxiv.org/abs/1912.13171}, eprinttype = {arXiv}, eprint = {1912.13171}, timestamp = {Tue, 07 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-13171.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LiWPHL18, author = {Shih{-}An Li and Wei{-}Yen Wang and Wei{-}Zheng Pan and Chen{-}Chien James Hsu and Cheng{-}Kai Lu}, title = {FPGA-Based Hardware Design for Scale-Invariant Feature Transform}, journal = {{IEEE} Access}, volume = {6}, pages = {43850--43864}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2863019}, doi = {10.1109/ACCESS.2018.2863019}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LiWPHL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuYXXIF18, author = {Dongsheng Yu and Jie Yang and Ruidong Xu and Zhenglong Xia and Herbert Ho{-}Ching Iu and Tyrone Fernando}, title = {A Family of Module-Integrated High Step-Up Converters With Dual Coupled Inductors}, journal = {{IEEE} Access}, volume = {6}, pages = {16256--16266}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2815148}, doi = {10.1109/ACCESS.2018.2815148}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YuYXXIF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ZhengZZP18, author = {Chichao Zheng and Quanchao Zha and Lunan Zhang and Hu Peng}, title = {Signal Eigenvalue Factor for Synthetic Transmit Aperture Ultrasound Imaging}, journal = {{IEEE} Access}, volume = {6}, pages = {495--503}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2017.2768387}, doi = {10.1109/ACCESS.2017.2768387}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ZhengZZP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/XuZZLGLC18, author = {Peipei Xu and Tao Zhou and Xiang Zhao and Hui Luo and Shan Gao and Zheng Li and Leyao Cao}, title = {Diverse responses of different structured forest to drought in Southwest China through remotely sensed data}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {69}, pages = {217--225}, year = {2018}, url = {https://doi.org/10.1016/j.jag.2018.03.009}, doi = {10.1016/J.JAG.2018.03.009}, timestamp = {Wed, 09 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aeog/XuZZLGLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/ZhengWPGLZL18, author = {Haining Zheng and Longhe Wang and Fan Peng and Jinfeng Gu and Shuhan Lu and Dahong Zhang and Lin Li}, title = {Design and implementation of an index calculation system for forestry ecological assessment in China}, journal = {Comput. Electron. Agric.}, volume = {145}, pages = {253--274}, year = {2018}, url = {https://doi.org/10.1016/j.compag.2017.12.040}, doi = {10.1016/J.COMPAG.2017.12.040}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/ZhengWPGLZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LuoWCLZC18, author = {Xiangyu Luo and Lijun Wu and Qingliang Chen and Haibo Li and Lixiao Zheng and Zuxi Chen}, title = {Symbolic model checking for discrete real-time systems}, journal = {Sci. China Inf. Sci.}, volume = {61}, number = {5}, pages = {052106:1--052106:23}, year = {2018}, url = {https://doi.org/10.1007/s11432-017-9152-x}, doi = {10.1007/S11432-017-9152-X}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/LuoWCLZC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/SongLZM18, author = {Gongfei Song and Zhenyu Lu and Bo{-}Chao Zheng and Xuerong Mao}, title = {Almost sure stabilization of hybrid systems by feedback control based on discrete-time observations of mode and state}, journal = {Sci. China Inf. Sci.}, volume = {61}, number = {7}, pages = {70213:1--70213:16}, year = {2018}, url = {https://doi.org/10.1007/s11432-017-9297-1}, doi = {10.1007/S11432-017-9297-1}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/SongLZM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/XuLZLWWGGLG18, author = {Sen Xu and Xiangjun Lu and Kaiyu Zhang and Yang Li and Lei Wang and Weijia Wang and Haihua Gu and Zheng Guo and Junrong Liu and Dawu Gu}, title = {Similar operation template attack on {RSA-CRT} as a case study}, journal = {Sci. China Inf. Sci.}, volume = {61}, number = {3}, pages = {032111:1--032111:17}, year = {2018}, url = {https://doi.org/10.1007/s11432-017-9210-3}, doi = {10.1007/S11432-017-9210-3}, timestamp = {Mon, 19 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/XuLZLWWGGLG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HaftDBBCOLCDGGL18, author = {Daniel H. Haft and Michael DiCuccio and Azat Badretdin and Vyacheslav Brover and Vyacheslav Chetvernin and Kathleen O'Neill and Wenjun Li and Farideh Chitsaz and Myra K. Derbyshire and Noreen R. Gonzales and Marc Gwadz and Fu Lu and Gabriele H. Marchler and James S. Song and Narmada Thanki and Roxanne A. Yamashita and Chanjuan Zheng and Fran{\c{c}}oise Thibaud{-}Nissen and Lewis Y. Geer and Aron Marchler{-}Bauer and Kim D. Pruitt}, title = {RefSeq: an update on prokaryotic genome annotation and curation}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Database-Issue}, pages = {D851--D860}, year = {2018}, url = {https://doi.org/10.1093/nar/gkx1068}, doi = {10.1093/NAR/GKX1068}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/HaftDBBCOLCDGGL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YinZNLLL18, author = {Guoan Yin and Hao Zheng and Fujun Niu and Jing Luo and Zhanju Lin and Minghao Liu}, title = {Numerical Mapping and Modeling Permafrost Thermal Dynamics across the Qinghai-Tibet Engineering Corridor, China Integrated with Remote Sensing}, journal = {Remote. Sens.}, volume = {10}, number = {12}, pages = {2069}, year = {2018}, url = {https://doi.org/10.3390/rs10122069}, doi = {10.3390/RS10122069}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YinZNLLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LuoGZY18, author = {Yarong Luo and Chi Guo and Jiansheng Zheng and Shengyong You}, title = {A Non-Linear Filtering Algorithm Based on Alpha-Divergence Minimization}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3217}, year = {2018}, url = {https://doi.org/10.3390/s18103217}, doi = {10.3390/S18103217}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LuoGZY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/LuJMYZ018, author = {Jing Lu and Li Jia and Massimo Menenti and Yuping Yan and Chaolei Zheng and Jie Zhou}, title = {Performance of the Standardized Precipitation Index Based on the {TMPA} and {CMORPH} Precipitation Products for Drought Monitoring in China}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {11}, number = {5}, pages = {1387--1396}, year = {2018}, url = {https://doi.org/10.1109/JSTARS.2018.2810163}, doi = {10.1109/JSTARS.2018.2810163}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/LuJMYZ018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LiuLLTL18, author = {Hailong Liu and Wenchao Liu and Zhaojun Lu and Qiaoling Tong and Zhenglin Liu}, title = {Methods for Estimating the Convergence of Inter-Chip Min-Entropy of {SRAM} PUFs}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {65-I}, number = {2}, pages = {593--605}, year = {2018}, url = {https://doi.org/10.1109/TCSI.2017.2733582}, doi = {10.1109/TCSI.2017.2733582}, timestamp = {Fri, 15 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcas/LiuLLTL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/WangZZLCLTTG18, author = {Pinghui Wang and Junzhou Zhao and Xiangliang Zhang and Zhenguo Li and Jiefeng Cheng and John C. S. Lui and Don Towsley and Jing Tao and Xiaohong Guan}, title = {{MOSS-5:} {A} Fast Method of Approximating Counts of 5-Node Graphlets in Large Graphs}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {30}, number = {1}, pages = {73--86}, year = {2018}, url = {https://doi.org/10.1109/TKDE.2017.2756836}, doi = {10.1109/TKDE.2017.2756836}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/WangZZLCLTTG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccscw/ChenCLLZZL18, author = {Jing Chen and Zhigang Chen and Dianjie Lu and Chen Lyu and Guijuan Zhang and Xiangwei Zheng and Hong Liu}, editor = {Yuqing Sun and Tun Lu and Xiaolan Xie and Liping Gao and Hongfei Fan}, title = {Cost-Effective Coupled Video Distribution Network}, booktitle = {Computer Supported Cooperative Work and Social Computing - 13th {CCF} Conference, ChineseCSCW 2018, Guilin, China, August 18-19, 2018, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {917}, pages = {115--128}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-3044-5\_9}, doi = {10.1007/978-981-13-3044-5\_9}, timestamp = {Mon, 01 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccscw/ChenCLLZZL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LugaresiLZ18, author = {Laura Lugaresi and Kaiyuan Lin and Dingding Zheng}, editor = {Regan L. Mandryk and Mark Hancock and Mark Perry and Anna L. Cox}, title = {Wearable Aura: Interactive Personal Projection to Bring People Closer}, booktitle = {Extended Abstracts of the 2018 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2018, Montreal, QC, Canada, April 21-26, 2018}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3170427.3180651}, doi = {10.1145/3170427.3180651}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LugaresiLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/HuWWZYZLLM18, author = {Peng Hu and Yongli Wang and Hening Wang and Ruxin Zhao and Chi Yuan and Yi Zheng and Qianchun Lu and Yanchao Li and Isma Masood}, editor = {Chengfei Liu and Lei Zou and Jianxin Li}, title = {{ALO-DM:} {A} Smart Approach Based on Ant Lion Optimizer with Differential Mutation Operator in Big Data Analytics}, booktitle = {Database Systems for Advanced Applications - {DASFAA} 2018 International Workshops: BDMS, BDQM, GDMA, and SeCoP, Gold Coast, QLD, Australia, May 21-24, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10829}, pages = {64--73}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-91455-8\_6}, doi = {10.1007/978-3-319-91455-8\_6}, timestamp = {Thu, 05 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/HuWWZYZLLM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YangPWWXCD018, author = {Peng Yang and Zhengbin Pang and Zhifei Wang and Zhehui Wang and Min Xie and Xuanqi Chen and Luan H. K. Duong and Jiang Xu}, editor = {Jan Madsen and Ayse K. Coskun}, title = {{RSON:} An inter/intra-chip silicon photonic network for rack-scale computing systems}, booktitle = {2018 Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2018, Dresden, Germany, March 19-23, 2018}, pages = {1369--1374}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/DATE.2018.8342226}, doi = {10.23919/DATE.2018.8342226}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YangPWWXCD018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/ZhengAQCCGS18, author = {Zheng Zheng and Morteza Alipour and Zhi Qu and Ian Currie and Fei Chiang and Lukasz Golab and Jaroslaw Szlichta}, editor = {Michael H. B{\"{o}}hlen and Reinhard Pichler and Norman May and Erhard Rahm and Shan{-}Hung Wu and Katja Hose}, title = {FastOFD: Contextual Data Cleaning with Ontology Functional Dependencies}, booktitle = {Proceedings of the 21st International Conference on Extending Database Technology, {EDBT} 2018, Vienna, Austria, March 26-29, 2018}, pages = {694--697}, publisher = {OpenProceedings.org}, year = {2018}, url = {https://doi.org/10.5441/002/edbt.2018.87}, doi = {10.5441/002/EDBT.2018.87}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edbt/ZhengAQCCGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/ChongLPYMTCC18, author = {Zheng{-}Hao Chong and Ramamoorthy Luxman and Wee Ching Pang and Zhao Yi and Ren Meixuan and Hendra Suratno Tju and Albert J. Causo and I{-}Ming Chen}, title = {An Innovative Robotics Stowing Strategy For Inventory Replenishment In Automated Storage And Retrieval System}, booktitle = {15th International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2018, Singapore, November 18-21, 2018}, pages = {305--310}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICARCV.2018.8581338}, doi = {10.1109/ICARCV.2018.8581338}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/ChongLPYMTCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/LangouriZCGS18, author = {Morteza Alipour Langouri and Zheng Zheng and Fei Chiang and Lukasz Golab and Jaroslaw Szlichta}, title = {Contextual Data Cleaning}, booktitle = {34th {IEEE} International Conference on Data Engineering Workshops, {ICDE} Workshops 2018, Paris, France, April 16-20, 2018}, pages = {21--24}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICDEW.2018.00010}, doi = {10.1109/ICDEW.2018.00010}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/LangouriZCGS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/WangZZLCLTG18, author = {Pinghui Wang and Junzhou Zhao and Xiangliang Zhang and Zhenguo Li and Jiefeng Cheng and John C. S. Lui and Don Towsley and Xiaohong Guan}, title = {{MOSS-5:} {A} Fast Method of Approximating Counts of 5-Node Graphlets in Large Graphs (Extended Abstract)}, booktitle = {34th {IEEE} International Conference on Data Engineering, {ICDE} 2018, Paris, France, April 16-19, 2018}, pages = {1773--1774}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICDE.2018.00244}, doi = {10.1109/ICDE.2018.00244}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/WangZZLCLTG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icetm/JiangW18, author = {Luyao Jiang and Zhengduo Wang}, title = {Predicting Box Office and Audience Rating of Chinese Films using Machine Learning}, booktitle = {Proceedings of the 2018 International Conference on Education Technology Management, {ICETM} 2018, Barcelona, Spain, December 19-21, 2018}, pages = {58--62}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3300942.3300951}, doi = {10.1145/3300942.3300951}, timestamp = {Sun, 09 Aug 2020 17:34:25 +0200}, biburl = {https://dblp.org/rec/conf/icetm/JiangW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/CausoCLKYPMTJTC18, author = {Albert J. Causo and Zheng{-}Hao Chong and Ramamoorthy Luxman and Yuan Yik Kok and Zhao Yi and Wee Ching Pang and Ren Meixuan and Yee Seng Teoh and Wu Jing and Hendra Suratno Tju and I{-}Ming Chen}, title = {A Robust Robot Design for Item Picking}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {7421--7426}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8461057}, doi = {10.1109/ICRA.2018.8461057}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/CausoCLKYPMTJTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/WuCMLT18, author = {Zheng Wu and Ruiheng Chang and Jiaxu Ma and Cewu Lu and Chi{-}Keung Tang}, editor = {J{\'{e}}r{\^{o}}me Lang}, title = {Annotation-Free and One-Shot Learning for Instance Segmentation of Homogeneous Object Clusters}, booktitle = {Proceedings of the Twenty-Seventh International Joint Conference on Artificial Intelligence, {IJCAI} 2018, July 13-19, 2018, Stockholm, Sweden}, pages = {1036--1042}, publisher = {ijcai.org}, year = {2018}, url = {https://doi.org/10.24963/ijcai.2018/144}, doi = {10.24963/IJCAI.2018/144}, timestamp = {Tue, 20 Aug 2019 16:19:08 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/WuCMLT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/GuoIFZ0T18, author = {Hengdao Guo and Herbert Ho{-}Ching Iu and Tyrone Fernando and Ciyan Zheng and Xi Zhang and Chi K. Tse}, title = {Cascading Failure Model Considering Multi-Step Attack Strategy}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2018, 27-30 May 2018, Florence, Italy}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISCAS.2018.8351318}, doi = {10.1109/ISCAS.2018.8351318}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/GuoIFZ0T18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/LuYLCZ18, author = {Qi Lu and YaoSheng Yang and Zhenghua Li and Wenliang Chen and Min Zhang}, editor = {Nicoletta Calzolari and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and K{\^{o}}iti Hasida and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis and Takenobu Tokunaga}, title = {{M-CNER:} {A} Corpus for Chinese Named Entity Recognition in Multi-Domains}, booktitle = {Proceedings of the Eleventh International Conference on Language Resources and Evaluation, {LREC} 2018, Miyazaki, Japan, May 7-12, 2018}, publisher = {European Language Resources Association {(ELRA)}}, year = {2018}, url = {http://www.lrec-conf.org/proceedings/lrec2018/summaries/682.html}, timestamp = {Wed, 04 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/LuYLCZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/HuangZLWRLSW18, author = {Meng Huang and Shuang Zheng and Yun Long and Lulu Wang and Zhengsen Ruan and Shuhui Li and Li Shen and Jian Wang}, title = {Experimental Demonstration of 2-{\(\mu\)}m On-chip Two-mode Division Multiplexing Using Tapered Directional Coupler-based Mode(de)multiplexer}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8385934}, timestamp = {Mon, 11 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/HuangZLWRLSW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZhengLGLWZWZZHR18, author = {Shuang Zheng and Yun Long and Dingshan Gao and Yan Luo and Lulu Wang and Jinrun Zhang and Andong Wang and Long Zhu and Nan Zhou and Meng Huang and Zhengsen Ruan and Li Shen and Jian Wang}, title = {Demonstration of On-chip 640-Gbit/s Throughput, Granularity-flexible Programmable Optical Filtering and Reconfigurable Optical Add/drop Multiplexing on Silicon Platform}, booktitle = {Optical Fiber Communications Conference and Exposition, {OFC} 2018, San Diego, CA, USA, March 11-15, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://ieeexplore.ieee.org/document/8386328}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ZhengLGLWZWZZHR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sp/ChenLJFYXLYWHLZ18, author = {Qian Chen and Qing Liao and Zoe Lin Jiang and Junbin Fang and Siu{-}Ming Yiu and Guikai Xi and Rong Li and Zhengzhong Yi and Xuan Wang and Lucas C. K. Hui and Dong Liu and En Zhang}, title = {File Fragment Classification Using Grayscale Image Conversion and Deep Learning in Digital Forensics}, booktitle = {2018 {IEEE} Security and Privacy Workshops, {SP} Workshops 2018, San Francisco, CA, USA, May 24, 2018}, pages = {140--147}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/SPW.2018.00029}, doi = {10.1109/SPW.2018.00029}, timestamp = {Wed, 06 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sp/ChenLJFYXLYWHLZ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/Luo0CYSX18, author = {Chunlan Luo and Yi Wu and Hsin{-}Chiu Chang and Zheng Yang and Lianfeng Shen and Song Xing}, title = {Fast Decoding of the (41, 21, 9) Quadratic Residue Code Without Computing Unknown Syndromes}, booktitle = {10th International Conference on Wireless Communications and Signal Processing, {WCSP} 2018, Hangzhou, China, October 18-20, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/WCSP.2018.8555721}, doi = {10.1109/WCSP.2018.8555721}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/Luo0CYSX18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bics/2018, editor = {Jinchang Ren and Amir Hussain and Jiangbin Zheng and Cheng{-}Lin Liu and Bin Luo and Huimin Zhao and Xinbo Zhao}, title = {Advances in Brain Inspired Cognitive Systems - 9th International Conference, {BICS} 2018, Xi'an, China, July 7-8, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10989}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-00563-4}, doi = {10.1007/978-3-030-00563-4}, isbn = {978-3-030-00562-7}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bics/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icycsee/2018-1, editor = {Qinglei Zhou and Yong Gan and Weipeng Jing and Xianhua Song and Yan Wang and Zeguang Lu}, title = {Data Science - 4th International Conference of Pioneering Computer Scientists, Engineers and Educators, {ICPCSEE} 2018, Zhengzhou, China, September 21-23, 2018, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {901}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-2203-7}, doi = {10.1007/978-981-13-2203-7}, isbn = {978-981-13-2202-0}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icycsee/2018-1.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/icycsee/2018-2, editor = {Qinglei Zhou and Qiguang Miao and Hongzhi Wang and Wei Xie and Yan Wang and Zeguang Lu}, title = {Data Science - 4th International Conference of Pioneering Computer Scientists, Engineers and Educators, {ICPCSEE} 2018, Zhengzhou, China, September 21-23, 2018, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {902}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-2206-8}, doi = {10.1007/978-981-13-2206-8}, isbn = {978-981-13-2205-1}, timestamp = {Wed, 06 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icycsee/2018-2.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/sera/2018, editor = {Shaowen Yao and Zhi Jin and Xiaohui Cui and Bing Luo and Junfeng Wang and Zhengtao Yu}, title = {16th {IEEE} International Conference on Software Engineering Research, Management and Applications, {SERA} 2018, Kunming, China, June 13-15, 2018}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://ieeexplore.ieee.org/xpl/conhome/8457471/proceeding}, isbn = {978-1-5386-5886-4}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sera/2018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-00383, author = {Zheng Wu and Ruiheng Chang and Jiaxu Ma and Cewu Lu and Chi{-}Keung Tang}, title = {Annotation-Free and One-Shot Learning for Instance Segmentation of Homogeneous Object Clusters}, journal = {CoRR}, volume = {abs/1802.00383}, year = {2018}, url = {http://arxiv.org/abs/1802.00383}, eprinttype = {arXiv}, eprint = {1802.00383}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-00383.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YuZIFC17, author = {Dongsheng Yu and Ciyan Zheng and Herbert Ho{-}Ching Iu and Tyrone Fernando and Leon O. Chua}, title = {A New Circuit for Emulating Memristors Using Inductive Coupling}, journal = {{IEEE} Access}, volume = {5}, pages = {1284--1295}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2649573}, doi = {10.1109/ACCESS.2017.2649573}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YuZIFC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LuYSGZP17, author = {Yang Lu and Jun{-}Hai Yong and Kan{-}Le Shi and He{-}Jin Gu and Ping Zheng and Jean{-}Claude Paul}, title = {B-spline surface fitting to mesh vertices}, journal = {Sci. China Inf. Sci.}, volume = {60}, number = {7}, pages = {78101}, year = {2017}, url = {https://doi.org/10.1007/s11432-016-0311-8}, doi = {10.1007/S11432-016-0311-8}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/LuYSGZP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LuoMWL17, author = {Lan Luo and Zhi Ma and Zhengchao Wei and Riguang Leng}, title = {Non-binary entanglement-assisted quantum stabilizer codes}, journal = {Sci. China Inf. Sci.}, volume = {60}, number = {4}, pages = {42501:1--42501:14}, year = {2017}, url = {https://doi.org/10.1007/s11432-015-0932-y}, doi = {10.1007/S11432-015-0932-Y}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/LuoMWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cp/DengQZLZWL17, author = {Xiaohong Deng and Yuan Qu and Huihui Zheng and Yang Lu and Xin Zhong and Anne Ward and Zijun Li}, title = {Metaphorical mapping between raw-cooked food and strangeness-familiarity in Chinese culture}, journal = {Cogn. Process.}, volume = {18}, number = {1}, pages = {39--45}, year = {2017}, url = {https://doi.org/10.1007/s10339-016-0778-1}, doi = {10.1007/S10339-016-0778-1}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cp/DengQZLZWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/ShaoDLCZZ17, author = {Chunyan Shao and Qinghai Ding and Haibo Luo and Zheng Chang and Chi Zhang and Tianjiang Zheng}, title = {Step-by-step pipeline processing approach for line segment detection}, journal = {{IET} Image Process.}, volume = {11}, number = {6}, pages = {416--424}, year = {2017}, url = {https://doi.org/10.1049/iet-ipr.2016.0493}, doi = {10.1049/IET-IPR.2016.0493}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/ShaoDLCZZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/MirtchoukLSCZK17, author = {Mark Mirtchouk and Drew Lustig and Alexandra Smith and Ivan Ching and Min Zheng and Samantha Kleinberg}, title = {Recognizing Eating from Body-Worn Sensors: Combining Free-living and Laboratory Data}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {1}, number = {3}, pages = {85:1--85:20}, year = {2017}, url = {https://doi.org/10.1145/3131894}, doi = {10.1145/3131894}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/MirtchoukLSCZK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/XingLLWXZSJLCJLZ17, author = {Jing Xing and Wenchao Lu and Rongfeng Liu and Yulan Wang and Yiqian Xie and Hao Zhang and Zhe Shi and Hao Jiang and Yu{-}Chih Liu and Kaixian Chen and Hualiang Jiang and Cheng Luo and Mingyue Zheng}, title = {Machine-Learning-Assisted Approach for Discovering Novel Inhibitors Targeting Bromodomain-Containing Protein 4}, journal = {J. Chem. Inf. Model.}, volume = {57}, number = {7}, pages = {1677--1690}, year = {2017}, url = {https://doi.org/10.1021/acs.jcim.7b00098}, doi = {10.1021/ACS.JCIM.7B00098}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcisd/XingLLWXZSJLCJLZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChenCGSZZZDLGWL17, author = {Rongmei Chen and Wei Chen and Xiaoqiang Guo and Chen Shen and Fengqi Zhang and Lisang Zheng and Wen Zhao and Lili Ding and Yinhong Luo and Hongxia Guo and Yuanming Wang and Yinong Liu}, title = {Improved on-chip self-triggered single-event transient measurement circuit design and applications}, journal = {Microelectron. Reliab.}, volume = {71}, pages = {99--105}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.03.004}, doi = {10.1016/J.MICROREL.2017.03.004}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mr/ChenCGSZZZDLGWL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/Marchler-BauerY17, author = {Aron Marchler{-}Bauer and Bo Yu and Lianyi Han and Jane He and Christopher J. Lanczycki and Shennan Lu and Farideh Chitsaz and Myra K. Derbyshire and Renata C. Geer and Noreen R. Gonzales and Marc Gwadz and David I. Hurwitz and Fu Lu and Gabriele H. Marchler and James S. Song and Narmada Thanki and Zhouxi Wang and Roxanne A. Yamashita and Dachuan Zhang and Chanjuan Zheng and Lewis Y. Geer and Stephen H. Bryant}, title = {{CDD/SPARCLE:} functional classification of proteins via subfamily domain architectures}, journal = {Nucleic Acids Res.}, volume = {45}, number = {Database-Issue}, pages = {D200--D203}, year = {2017}, url = {https://doi.org/10.1093/nar/gkw1129}, doi = {10.1093/NAR/GKW1129}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/Marchler-BauerY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LuCLCZJJX17, author = {Zheng Lu and Linna Chai and Shaomin Liu and Huizhen Cui and Yanghua Zhang and Lingmei Jiang and Rui Jin and Ziwei Xu}, title = {Estimating Time Series Soil Moisture by Applying Recurrent Nonlinear Autoregressive Neural Networks to Passive Microwave Data over the Heihe River Basin, China}, journal = {Remote. Sens.}, volume = {9}, number = {6}, pages = {574}, year = {2017}, url = {https://doi.org/10.3390/rs9060574}, doi = {10.3390/RS9060574}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LuCLCZJJX17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/PanLZCSKCLDC17, author = {Tao Pan and Dengsheng Lu and Chi Zhang and Xi Chen and Hua Shao and Wenhui Kuang and Wenfeng Chi and Zhengjia Liu and Guoming Du and Liangzhong Cao}, title = {Urban Land-Cover Dynamics in Arid China Based on High-Resolution Urban Land Mapping Products}, journal = {Remote. Sens.}, volume = {9}, number = {7}, pages = {730}, year = {2017}, url = {https://doi.org/10.3390/rs9070730}, doi = {10.3390/RS9070730}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/PanLZCSKCLDC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/YaoWGZZH17, author = {Rui Yao and Lunche Wang and Xuan Gui and Yukun Zheng and Haoming Zhang and Xin Huang}, title = {Urbanization Effects on Vegetation and Surface Urban Heat Islands in China's Yangtze River Basin}, journal = {Remote. Sens.}, volume = {9}, number = {6}, pages = {540}, year = {2017}, url = {https://doi.org/10.3390/rs9060540}, doi = {10.3390/RS9060540}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/YaoWGZZH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/LiBWZLZL17, author = {Fei Li and Yongfei Bai and Hongwei Wan and Jiajia Zheng and Juhua Luo and Dan Zhao and Po Liu}, title = {Quantifying Grazing Intensity in China Using High Temporal Resolution {MODIS} Data}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {10}, number = {2}, pages = {515--523}, year = {2017}, url = {https://doi.org/10.1109/JSTARS.2016.2595105}, doi = {10.1109/JSTARS.2016.2595105}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/LiBWZLZL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ZhouFBCZLJC17, author = {Gan Zhou and Yanjun Feng and Rui Bo and Lungsheng Chien and Xu Zhang and Yansheng Lang and Yupei Jia and Zhengping Chen}, title = {GPU-Accelerated Batch-ACPF Solution for {N-1} Static Security Analysis}, journal = {{IEEE} Trans. Smart Grid}, volume = {8}, number = {3}, pages = {1406--1416}, year = {2017}, url = {https://doi.org/10.1109/TSG.2016.2600587}, doi = {10.1109/TSG.2016.2600587}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/ZhouFBCZLJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/YangPSZ17, author = {Ludan Yang and Weiwei Pan and Zheng Shi and Yongjun Zheng}, editor = {Yajie Qin and Zhiliang Hong and Ting{-}Ao Tang}, title = {A novel layout automation flow to facilitate test chip design for standard cell characterization}, booktitle = {12th {IEEE} International Conference on ASIC, {ASICON} 2017, Guiyang, China, October 25-28, 2017}, pages = {88--91}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASICON.2017.8252418}, doi = {10.1109/ASICON.2017.8252418}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asicon/YangPSZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LyuCDWQLWKHCCAB17, author = {Siwei Lyu and Ming{-}Ching Chang and Dawei Du and Longyin Wen and Honggang Qi and Yuezun Li and Yi Wei and Lipeng Ke and Tao Hu and Marco Del Coco and Pierluigi Carcagn{\`{\i}} and Dmitriy Anisimov and Erik Bochinski and Fabio Galasso and Filiz Bunyak and Guang Han and Hao Ye and Hong Wang and Kannappan Palaniappan and Koray Ozcan and Li Wang and Liang Wang and Martin Lauer and Nattachai Watcharapinchai and Nenghui Song and Noor M. Al{-}Shakarji and Shuo Wang and Sikandar Amin and Sitapa Rujikietgumjorn and Tatiana Khanova and Thomas Sikora and Tino Kutschbach and Volker Eiselein and Wei Tian and Xiangyang Xue and Xiaoyi Yu and Yao Lu and Yingbin Zheng and Yongzhen Huang and Yuqi Zhang}, title = {{UA-DETRAC} 2017: Report of {AVSS2017} {\&} {IWT4S} Challenge on Advanced Traffic Monitoring}, booktitle = {14th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2017, Lecce, Italy, August 29 - September 1, 2017}, pages = {1--7}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/AVSS.2017.8078560}, doi = {10.1109/AVSS.2017.8078560}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avss/LyuCDWQLWKHCCAB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/HuLJJZXCMZ17, author = {Sunhao Hu and Lu Lu and Xinbin Jin and Yinyin Jiang and Haowen Zheng and Qiufan Xu and Fangfang Cai and Yu Meng and Changjiang Zhang}, editor = {Xiaohua Hu and Chi{-}Ren Shyu and Yana Bromberg and Jean Gao and Yang Gong and Dmitry Korkin and Illhoi Yoo and Huiru Jane Zheng}, title = {The recommender system for a cloud-based electronic medical record system for regional clinics and health centers in China}, booktitle = {2017 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2017, Kansas City, MO, USA, November 13-16, 2017}, pages = {1021--1024}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BIBM.2017.8217797}, doi = {10.1109/BIBM.2017.8217797}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/HuLJJZXCMZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LiZLYY17, author = {Bing Li and Dawei Zheng and Yujia Lu and Fangtian Ying and Cheng Yao}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {LightingHair Slice: Situated Personal Wearable Fashion Interaction System}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017, Extended Abstracts}, pages = {1824--1828}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3027063.3053093}, doi = {10.1145/3027063.3053093}, timestamp = {Tue, 06 Nov 2018 16:58:46 +0100}, biburl = {https://dblp.org/rec/conf/chi/LiZLYY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/ZhengWGNOY17, author = {Kaiping Zheng and Wei Wang and Jinyang Gao and Kee Yuan Ngiam and Beng Chin Ooi and James Wei Luen Yip}, editor = {Ee{-}Peng Lim and Marianne Winslett and Mark Sanderson and Ada Wai{-}Chee Fu and Jimeng Sun and J. Shane Culpepper and Eric Lo and Joyce C. Ho and Debora Donato and Rakesh Agrawal and Yu Zheng and Carlos Castillo and Aixin Sun and Vincent S. Tseng and Chenliang Li}, title = {Capturing Feature-Level Irregularity in Disease Progression Modeling}, booktitle = {Proceedings of the 2017 {ACM} on Conference on Information and Knowledge Management, {CIKM} 2017, Singapore, November 06 - 10, 2017}, pages = {1579--1588}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3132847.3132944}, doi = {10.1145/3132847.3132944}, timestamp = {Tue, 29 Aug 2023 16:24:43 +0200}, biburl = {https://dblp.org/rec/conf/cikm/ZhengWGNOY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/WangPYXCMWDLW17, author = {Zhehui Wang and Zhengbin Pang and Peng Yang and Jiang Xu and Xuanqi Chen and Rafael K. V. Maeda and Zhifei Wang and Luan H. K. Duong and Haoran Li and Zhe Wang}, title = {{MOCA:} an Inter/Intra-Chip Optical Network for Memory}, booktitle = {Proceedings of the 54th Annual Design Automation Conference, {DAC} 2017, Austin, TX, USA, June 18-22, 2017}, pages = {86:1--86:6}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3061639.3062286}, doi = {10.1145/3061639.3062286}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/WangPYXCMWDLW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/CuiJLWW17, author = {Huizhen Cui and Lingmei Jiang and Zheng Lu and Gongxue Wang and Jian Wang}, title = {Improvement and validation of {QP} model with dual-channel soil moisture retrieval algorithm in Genhe, China}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {4951--4954}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8128115}, doi = {10.1109/IGARSS.2017.8128115}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/CuiJLWW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/ZhengGNOY17, author = {Kaiping Zheng and Jinyang Gao and Kee Yuan Ngiam and Beng Chin Ooi and James Wei Luen Yip}, title = {Resolving the Bias in Electronic Medical Records}, booktitle = {Proceedings of the 23rd {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, Halifax, NS, Canada, August 13 - 17, 2017}, pages = {2171--2180}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3097983.3098149}, doi = {10.1145/3097983.3098149}, timestamp = {Fri, 25 Dec 2020 01:14:16 +0100}, biburl = {https://dblp.org/rec/conf/kdd/ZhengGNOY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ner/WuSZLY17, author = {Si{-}Yuan Wu and Moritz Schaefer and Wei{-}Long Zheng and Bao{-}Liang Lu and Hiroshi Yokoi}, title = {Neural patterns between Chinese and Germans for EEG-based emotion recognition}, booktitle = {8th International {IEEE/EMBS} Conference on Neural Engineering, {NER} 2017, Shanghai, China, May 25-28, 2017}, pages = {94--97}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/NER.2017.8008300}, doi = {10.1109/NER.2017.8008300}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ner/WuSZLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ram/WangYCHZC17, author = {Chongchong Wang and Guilin Yang and Chin{-}Yin Chen and Zhenwei Huang and Tianjiang Zheng and Silu Chen}, title = {An impedance control scheme with lead-lag controller for flexible joint vibration suppression}, booktitle = {2017 {IEEE} International Conference on Cybernetics and Intelligent Systems {(CIS)} and {IEEE} Conference on Robotics, Automation and Mechatronics (RAM), Ningbo, China, November 19-21, 2017}, pages = {742--747}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCIS.2017.8274871}, doi = {10.1109/ICCIS.2017.8274871}, timestamp = {Mon, 08 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ram/WangYCHZC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rss/ZhangSCSK17, author = {Zhengdong Zhang and Amr Suleiman and Luca Carlone and Vivienne Sze and Sertac Karaman}, editor = {Nancy M. Amato and Siddhartha S. Srinivasa and Nora Ayanian and Scott Kuindersma}, title = {Visual-Inertial Odometry on Chip: An Algorithm-and-Hardware Co-design Approach}, booktitle = {Robotics: Science and Systems XIII, Massachusetts Institute of Technology, Cambridge, Massachusetts, USA, July 12-16, 2017}, year = {2017}, url = {http://www.roboticsproceedings.org/rss13/p28.html}, doi = {10.15607/RSS.2017.XIII.028}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rss/ZhangSCSK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/17/LeeLNZZCOY17, author = {Chonho Lee and Zhaojing Luo and Kee Yuan Ngiam and Meihui Zhang and Kaiping Zheng and Gang Chen and Beng Chin Ooi and James Wei Luen Yip}, editor = {Samee U. Khan and Albert Y. Zomaya and Assad Abbas}, title = {Big Healthcare Data Analytics: Challenges and Applications}, booktitle = {Handbook of Large-Scale Distributed Computing in Smart Healthcare}, pages = {11--41}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-58280-1\_2}, doi = {10.1007/978-3-319-58280-1\_2}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/books/sp/17/LeeLNZZCOY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/GongLWLQ16, author = {Zheng Gong and Shusheng Liu and Yamin Wen and Yiyuan Luo and Weidong Qiu}, title = {Biclique cryptanalysis using balanced complete bipartite subgraphs}, journal = {Sci. China Inf. Sci.}, volume = {59}, number = {4}, pages = {049101:1--049101:3}, year = {2016}, url = {https://doi.org/10.1007/s11432-016-5540-x}, doi = {10.1007/S11432-016-5540-X}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/GongLWLQ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LiSWZY16, author = {Chunguo Li and Kang Song and Dongming Wang and Fu{-}Chun Zheng and Luxi Yang}, title = {Optimal remote radio head selection for cloud radio access networks}, journal = {Sci. China Inf. Sci.}, volume = {59}, number = {10}, pages = {102315:1--102315:12}, year = {2016}, url = {https://doi.org/10.1007/s11432-016-0060-y}, doi = {10.1007/S11432-016-0060-Y}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/LiSWZY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ZhengMLM16, author = {Lixiao Zheng and Shuai Ma and Xiangyu Luo and Tiejun Ma}, title = {Single-view determinacy and rewriting completeness for a fragment of XPath queries}, journal = {Sci. China Inf. Sci.}, volume = {59}, number = {9}, pages = {99102}, year = {2016}, url = {https://doi.org/10.1007/s11432-016-5603-z}, doi = {10.1007/S11432-016-5603-Z}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/ZhengMLM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeeLHHTLLSHYYZH16, author = {Peisan Lee and Ju{-}Chi Liu and Ming{-}Hsiung Hsieh and Wen{-}Rui Hao and Yuan{-}Teng Tseng and Shuen{-}Hsin Liu and Yung{-}Kuo Lin and Li{-}Chin Sung and Jen{-}Hung Huang and Hung{-}Yu Yang and Jong{-}Shiuan Ye and He{-}Shun Zheng and Min{-}Huei Hsu and Syed Abdul Shabbir and Richard Lu and Phung Anh Nguyen and Usman Iqbal and Chih{-}Wei Huang and Wen{-}Shan Jian and Yu{-}Chuan (Jack) Li}, title = {Cloud-based {BP} system integrated with {CPOE} improves self-management of the hypertensive patients: {A} randomized controlled trial}, journal = {Comput. Methods Programs Biomed.}, volume = {132}, pages = {105--113}, year = {2016}, url = {https://doi.org/10.1016/j.cmpb.2016.04.003}, doi = {10.1016/J.CMPB.2016.04.003}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/LeeLHHTLLSHYYZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/ZhangLLSRMW16, author = {Bo Zhang and Chi Harold Liu and Jianyu Lu and Zheng Song and Ziyu Ren and Jian Ma and Wendong Wang}, title = {Privacy-preserving QoI-aware participant coordination for mobile crowdsourcing}, journal = {Comput. Networks}, volume = {101}, pages = {29--41}, year = {2016}, url = {https://doi.org/10.1016/j.comnet.2015.12.022}, doi = {10.1016/J.COMNET.2015.12.022}, timestamp = {Wed, 27 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/ZhangLLSRMW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LiuCZZHZ16, author = {Zhenguang Liu and Kevin Chiew and Luming Zhang and Beibei Zhang and Qinming He and Roger Zimmermann}, title = {Rare category exploration via wavelet analysis: Theory and applications}, journal = {Expert Syst. Appl.}, volume = {63}, pages = {173--186}, year = {2016}, url = {https://doi.org/10.1016/j.eswa.2016.06.033}, doi = {10.1016/J.ESWA.2016.06.033}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/LiuCZZHZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itor/HuangCTLW16, author = {Chin{-}Wei Huang and Yung{-}Ho Chiu and Chien{-}heng Tu and Zheng{-}ying Luo and Zebin Wang}, title = {Using the nonhomogeneous frontier two-stage {DEA} model to assess the efficiencies of expense utilization and operation of the Taiwanese hotel industry}, journal = {Int. Trans. Oper. Res.}, volume = {23}, number = {6}, pages = {1067--1087}, year = {2016}, url = {https://doi.org/10.1111/itor.12180}, doi = {10.1111/ITOR.12180}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itor/HuangCTLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/ChenLCHLLLZMZLZ16, author = {Shijie Chen and Linjuan Li and Yantao Chen and Junchi Hu and Jingqiu Liu and Yu{-}Chih Liu and Rongfeng Liu and Yuanyuan Zhang and Fanwang Meng and Kongkai Zhu and Junyan Lu and Mingyue Zheng and Kaixian Chen and Jin Zhang and Hualiang Jiang and Zhiyi Yao and Cheng Luo}, title = {Identification of Novel Disruptor of Telomeric Silencing 1-like {(DOT1L)} Inhibitors through Structure-Based Virtual Screening and Biological Assays}, journal = {J. Chem. Inf. Model.}, volume = {56}, number = {3}, pages = {527--534}, year = {2016}, url = {https://doi.org/10.1021/acs.jcim.5b00738}, doi = {10.1021/ACS.JCIM.5B00738}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/ChenLCHLLLZMZLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcisd/XuYWXCSLLLJCLZ16, author = {Yuan Xu and Liyan Yue and Yulan Wang and Jing Xing and Zhifeng Chen and Zhe Shi and Rongfeng Liu and Yu{-}Chih Liu and Xiaomin Luo and Hualiang Jiang and Kaixian Chen and Cheng Luo and Mingyue Zheng}, title = {Discovery of Novel Inhibitors Targeting the Menin-Mixed Lineage Leukemia Interface Using Pharmacophore- and Docking-Based Virtual Screening}, journal = {J. Chem. Inf. Model.}, volume = {56}, number = {9}, pages = {1847--1855}, year = {2016}, url = {https://doi.org/10.1021/acs.jcim.6b00185}, doi = {10.1021/ACS.JCIM.6B00185}, timestamp = {Fri, 06 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcisd/XuYWXCSLLLJCLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jihmsp/0014ZLM016, author = {Li Li and Dejie Zheng and Jianfeng Lu and Xiaoyang Mao and Chin{-}Chen Chang}, title = {An Effective Mobile Visual Searching Algorithm Based on the Bag-of-Words Method for Furniture Images}, journal = {J. Inf. Hiding Multim. Signal Process.}, volume = {7}, number = {4}, pages = {754--770}, year = {2016}, url = {http://bit.kuas.edu.tw/\&\#126;jihmsp/2016/vol7/JIH-MSP-2016-04-007.pdf}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jihmsp/0014ZLM016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/0027HZLW016, author = {Yi Liu and Weili Han and Yin Zhang and Lulu Li and Junyu Wang and Lirong Zheng}, title = {An Internet-of-Things solution for food safety and quality control: {A} pilot project in China}, journal = {J. Ind. Inf. Integr.}, volume = {3}, pages = {1--7}, year = {2016}, url = {https://doi.org/10.1016/j.jii.2016.06.001}, doi = {10.1016/J.JII.2016.06.001}, timestamp = {Wed, 01 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jiii/0027HZLW016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mssp/0008LZSZ16, author = {Zheng Wang and Dongying Lu and Dong Zhang and Meijun Sun and Yan Zhou}, title = {Fake modern Chinese painting identification based on spectral-spatial feature fusion on hyperspectral image}, journal = {Multidimens. Syst. Signal Process.}, volume = {27}, number = {4}, pages = {1031--1044}, year = {2016}, url = {https://doi.org/10.1007/s11045-016-0429-9}, doi = {10.1007/S11045-016-0429-9}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mssp/0008LZSZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LuoZWZWGL16, author = {Hui Luo and Tao Zhou and Hao Wu and Xiang Zhao and Qianfeng Wang and Shan Gao and Zheng Li}, title = {Contrasting Responses of Planted and Natural Forests to Drought Intensity in Yunnan, China}, journal = {Remote. Sens.}, volume = {8}, number = {8}, pages = {635}, year = {2016}, url = {https://doi.org/10.3390/rs8080635}, doi = {10.3390/RS8080635}, timestamp = {Fri, 09 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LuoZWZWGL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/ChenCCZWYWLZZZH16, author = {Gang Chen and Xiao Cui and Fei{-}long Chen and Zhengyu Zhao and Yong Wang and Qi Yao and Chi Wang and Da{-}ren Lu and Shao{-}Dong Zhang and Xiao{-}xi Zhang and Xiao{-}Ming Zhou and Liang Huang and Wanlin Gong}, title = {{MST} Radars of Chinese Meridian Project: System Description and Atmospheric Wind Measurement}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {54}, number = {8}, pages = {4513--4523}, year = {2016}, url = {https://doi.org/10.1109/TGRS.2016.2543507}, doi = {10.1109/TGRS.2016.2543507}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/ChenCCZWYWLZZZH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/LiuCLL16, author = {Qin Liu and Jiefeng Cheng and Zhenguo Li and John C. S. Lui}, title = {{VENUS:} {A} System for Streamlined Graph Computation on a Single {PC}}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {28}, number = {8}, pages = {2230--2245}, year = {2016}, url = {https://doi.org/10.1109/TKDE.2015.2502938}, doi = {10.1109/TKDE.2015.2502938}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/LiuCLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/DrayKCCJLLSV16, author = {Susan M. Dray and Clare{-}Marie Karat and John M. Carroll and Lorrie Faith Cranor and Robin Jeffries and Zhengjie Liu and Arnold (Arnie) Lund and Ben Shneiderman and Gerrit C. van der Veer}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {Science and Service, Innovation and Inspiration: Celebrating the Life of John Karat}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016, Extended Abstracts}, pages = {1047--1050}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2851581.2886420}, doi = {10.1145/2851581.2886420}, timestamp = {Mon, 27 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/DrayKCCJLLSV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LiuLLC16, author = {Qin Liu and Zhenguo Li and John C. S. Lui and Jiefeng Cheng}, editor = {Snehasis Mukhopadhyay and ChengXiang Zhai and Elisa Bertino and Fabio Crestani and Javed Mostafa and Jie Tang and Luo Si and Xiaofang Zhou and Yi Chang and Yunyao Li and Parikshit Sondhi}, title = {PowerWalk: Scalable Personalized PageRank via Random Walks with Vertex-Centric Decomposition}, booktitle = {Proceedings of the 25th {ACM} International Conference on Information and Knowledge Management, {CIKM} 2016, Indianapolis, IN, USA, October 24-28, 2016}, pages = {195--204}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2983323.2983713}, doi = {10.1145/2983323.2983713}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LiuLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/BrejchaWWWWXYCL16, author = {Jan Brejcha and Cong Wang and Xiaotong Wang and Ziwei Wang and Li Wang and Qing Xu and Cheng Yang and Liangyu Chen and Yuxuan Luo and Yijian Cheng and Shaopeng Zhang and Shuwen Liang and Xinru Liu and Huitian Miao and Bingbing Wang and Nilin Chen and Zhengjie Liu}, editor = {Aaron Marcus}, title = {Financial Literacy in China as an Innovation Opportunity}, booktitle = {Design, User Experience, and Usability: Novel User Experiences - 5th International Conference, {DUXU} 2016, Held as Part of {HCI} International 2016, Toronto, Canada, July 17-22, 2016, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9747}, pages = {439--450}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40355-7\_42}, doi = {10.1007/978-3-319-40355-7\_42}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/hci/BrejchaWWWWXYCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ialp/WangWZ16, author = {Mengxiang Wang and Houfeng Wang and Luexing Zheng}, editor = {Minghui Dong and Yuen{-}Hsien Tseng and Yanfeng Lu and Liang{-}Chih Yu and Lung{-}Hao Lee and Chung{-}Hsien Wu and Haizhou Li}, title = {The extraction and application of constitutive role of nouns in Chinese}, booktitle = {2016 International Conference on Asian Language Processing, {IALP} 2016, Tainan, Taiwan, November 21-23, 2016}, pages = {348--352}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IALP.2016.7876003}, doi = {10.1109/IALP.2016.7876003}, timestamp = {Mon, 29 Jul 2024 16:18:15 +0200}, biburl = {https://dblp.org/rec/conf/ialp/WangWZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/LuoWHW16, author = {Jifeng Luo and Zhiyan Wu and Zheng Huang and Liping Wang}, editor = {P{\"{a}}r J. {\AA}gerfalk and Natalia Levina and Sia Siew Kien}, title = {Relational {IT} Governance, Its Antecedents and Outcomes: {A} Study on Chinese Firms}, booktitle = {Proceedings of the International Conference on Information Systems - Digital Innovation at the Crossroads, {ICIS} 2016, Dublin, Ireland, December 11-14, 2016}, publisher = {Association for Information Systems}, year = {2016}, url = {http://aisel.aisnet.org/icis2016/ISStrategy/Presentations/6}, timestamp = {Tue, 23 May 2017 13:25:25 +0200}, biburl = {https://dblp.org/rec/conf/icis/LuoWHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/CuiJDWL16, author = {Huizhen Cui and Lingmei Jiang and Jinyang Du and Gongxue Wang and Zheng Lu}, title = {Assessment of {QP} model based two channel algorithm with JAXA, {LPRM} soil moisture products over Genhe area in China}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {1663--1666}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729425}, doi = {10.1109/IGARSS.2016.7729425}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/CuiJDWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LuCZCWL16, author = {Zheng Lu and Linna Chai and Tao Zhang and Huizhen Cui and Jian Wang and Wanjing Li}, title = {Validation of {SMOS} soil moisture production in the Heihe River Basin of China}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {2981--2984}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729770}, doi = {10.1109/IGARSS.2016.7729770}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LuCZCWL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LuJZZHW16, author = {Jing Lu and Li Jia and Chaolei Zheng and Jie Zhou and Mattijn van Hoek and Kun Wang}, title = {Characteristics and trends of meteorological drought over China from remote sensing precipitation datasets}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {7581--7584}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7730977}, doi = {10.1109/IGARSS.2016.7730977}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LuJZZHW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/PangLJLCXZGW16, author = {Yong Pang and Zengyuan Li and Wen Jia and Hao Lu and Bowei Chen and Yongjie Xia and Guang Zheng and Xianlian Gao and Qiang Wang}, title = {An airborne multi-angle hyperspectral experiment in a boreal forest of Northeast China}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {1217--1220}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7729308}, doi = {10.1109/IGARSS.2016.7729308}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/PangLJLCXZGW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/ZhengHLWTC16, author = {Chih{-}Chieh Zheng and Shi{-}Yu Huang and Shyue{-}Kung Lu and Ting{-}Chi Wang and Kun{-}Han Tsai and Wu{-}Tung Cheng}, title = {Online slack-time binning for IO-registered die-to-die interconnects}, booktitle = {2016 {IEEE} International Test Conference, {ITC} 2016, Fort Worth, TX, USA, November 15-17, 2016}, pages = {1--8}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/TEST.2016.7805848}, doi = {10.1109/TEST.2016.7805848}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/itc/ZhengHLWTC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/YaoZSLLLDBLTCRK16, author = {Jin Yao and Xuezhe Zheng and Ivan Shubin and Shiyun Lin and Jin{-}Hyoung Lee and Ying Luo and Stevan S. Djordjevic and Jock Bovington and Daniel Y. Lee and Hiren D. Thacker and John E. Cunningham and Kannan Raj and Ashok V. Krishnamoorthy}, title = {A CMOS-compatible low back reflection grating coupler for on-chip laser sources integration}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537743}, timestamp = {Thu, 31 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/YaoZSLLLDBLTCRK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZhengRCLL16, author = {Xiu Zheng and Oded Raz and Nicola Calabretta and Rongguo Lu and Yong Liu}, title = {Error-free all-optical wavelength multicasting at 40 Gb/s on a compact InP-based chip}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2016, Anaheim, CA, USA, March 20-24, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=7537297}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ZhengRCLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ChiLSYLZ16, author = {Zicheng Chi and Yan Li and Hongyu Sun and Yao Yao and Zheng Lu and Ting Zhu}, editor = {Philip Alexander Levis and Steve Eglash and Lama Nachman and Anthony Rowe}, title = {{B2W2:} N-Way Concurrent Communication for IoT Devices}, booktitle = {Proceedings of the 14th {ACM} Conference on Embedded Network Sensor Systems, SenSys 2016, Stanford, CA, USA, November 14-16, 2016}, pages = {245--258}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2994551.2994561}, doi = {10.1145/2994551.2994561}, timestamp = {Tue, 23 May 2023 07:16:12 +0200}, biburl = {https://dblp.org/rec/conf/sensys/ChiLSYLZ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LiuLLC16, author = {Qin Liu and Zhenguo Li and John C. S. Lui and Jiefeng Cheng}, title = {PowerWalk: Scalable Personalized PageRank via Random Walks with Vertex-Centric Decomposition}, journal = {CoRR}, volume = {abs/1608.06054}, year = {2016}, url = {http://arxiv.org/abs/1608.06054}, eprinttype = {arXiv}, eprint = {1608.06054}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LiuLLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/WangZLCLTZTG16, author = {Pinghui Wang and Xiangliang Zhang and Zhenguo Li and Jiefeng Cheng and John C. S. Lui and Don Towsley and Junzhou Zhao and Jing Tao and Xiaohong Guan}, title = {A Fast Sampling Method of Exploring Graphlet Degrees of Large Directed and Undirected Graphs}, journal = {CoRR}, volume = {abs/1604.08691}, year = {2016}, url = {http://arxiv.org/abs/1604.08691}, eprinttype = {arXiv}, eprint = {1604.08691}, timestamp = {Mon, 07 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/WangZLCLTZTG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/DengJKLLLQRSSWZ15, author = {Dongdong Deng and Guoliang Jin and Marc de Kruijf and Ang Li and Ben Liblit and Shan Lu and Shanxiang Qi and Jinglei Ren and Karthikeyan Sankaralingam and Linhai Song and Yongwei Wu and Mingxing Zhang and Wei Zhang and Weimin Zheng}, title = {Fixing, preventing, and recovering from concurrency bugs}, journal = {Sci. China Inf. Sci.}, volume = {58}, number = {5}, pages = {1--18}, year = {2015}, url = {https://doi.org/10.1007/s11432-015-5315-9}, doi = {10.1007/S11432-015-5315-9}, timestamp = {Wed, 19 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/DengJKLLLQRSSWZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mlc/MoGYLG15, author = {Yuanyuan Mo and Jianyi Guo and Zhengtao Yu and Lin Luo and Shengxiang Gao}, title = {A bilingual word alignment algorithm of Vietnamese-Chinese based on feature constraint}, journal = {Int. J. Mach. Learn. Cybern.}, volume = {6}, number = {4}, pages = {537--543}, year = {2015}, url = {https://doi.org/10.1007/s13042-014-0293-6}, doi = {10.1007/S13042-014-0293-6}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mlc/MoGYLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/Marchler-BauerDGLCGGHGHLLMSTWYZZB15, author = {Aron Marchler{-}Bauer and Myra K. Derbyshire and Noreen R. Gonzales and Shennan Lu and Farideh Chitsaz and Lewis Y. Geer and Renata C. Geer and Jane He and Marc Gwadz and David I. Hurwitz and Christopher J. Lanczycki and Fu Lu and Gabriele H. Marchler and James S. Song and Narmada Thanki and Zhouxi Wang and Roxanne A. Yamashita and Dachuan Zhang and Chanjuan Zheng and Stephen H. Bryant}, title = {{CDD:} NCBI's conserved domain database}, journal = {Nucleic Acids Res.}, volume = {43}, number = {Database-Issue}, pages = {222--226}, year = {2015}, url = {https://doi.org/10.1093/nar/gku1221}, doi = {10.1093/NAR/GKU1221}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/Marchler-BauerDGLCGGHGHLLMSTWYZZB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pvldb/LiFLCCL15, author = {Zhenguo Li and Yixiang Fang and Qin Liu and Jiefeng Cheng and Reynold Cheng and John C. S. Lui}, title = {Walking in the Cloud: Parallel SimRank at Scale}, journal = {Proc. {VLDB} Endow.}, volume = {9}, number = {1}, pages = {24--35}, year = {2015}, url = {http://www.vldb.org/pvldb/vol9/p24-li.pdf}, doi = {10.14778/2850469.2850472}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pvldb/LiFLCCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asicon/ShiSXHLD15, author = {Hui Shi and Zheng Sun and Yong Xu and Cheng Hu and Shan Luo and Wei Ding}, title = {Design of the 1.0V bandgap reference on chip}, booktitle = {2015 {IEEE} 11th International Conference on ASIC, {ASICON} 2015, Chengdu, China, November 3-6, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ASICON.2015.7517091}, doi = {10.1109/ASICON.2015.7517091}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/asicon/ShiSXHLD15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cadgraphics/LuXTL15, author = {Zhaojun Lu and Xiaoliang Xing and Qiaoling Tong and Zhenglin Liu}, title = {Efficient Off-Chip Memory Protection Mechanism for Embedded Computing Systems Using {AES-GCM}}, booktitle = {14th International Conference on Computer-Aided Design and Computer Graphics, CAD/Graphics 2015, Xi'an, China, August 26-28, 2015}, pages = {236--237}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CADGRAPHICS.2015.55}, doi = {10.1109/CADGRAPHICS.2015.55}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/cadgraphics/LuXTL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/ZhengXZWL15, author = {Min Zheng and Hui Xue and Yulong Zhang and Tao Wei and John C. S. Lui}, editor = {Feng Bao and Steven Miller and Jianying Zhou and Gail{-}Joon Ahn}, title = {Enpublic Apps: Security Threats Using iOS Enterprise and Developer Certificates}, booktitle = {Proceedings of the 10th {ACM} Symposium on Information, Computer and Communications Security, {ASIA} {CCS} '15, Singapore, April 14-17, 2015}, pages = {463--474}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2714576.2714593}, doi = {10.1145/2714576.2714593}, timestamp = {Thu, 20 Oct 2022 10:45:07 +0200}, biburl = {https://dblp.org/rec/conf/ccs/ZhengXZWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinacom/LuoZY15, author = {Chao Luo and Jun Zheng and Li Yu}, title = {Performance analysis of stochastic multi-server systems}, booktitle = {10th International Conference on Communications and Networking in China, ChinaCom 2015, Shanghai, China, August 15-17, 2015}, pages = {562--566}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CHINACOM.2015.7498001}, doi = {10.1109/CHINACOM.2015.7498001}, timestamp = {Sun, 21 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chinacom/LuoZY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinacom/ZhengDWLL15, author = {Hao Zheng and Xuhui Ding and Zhiyuan Wang and Yimin Li and Haikun Luo}, title = {{LDPC} codes for low-complexity analog decoders}, booktitle = {10th International Conference on Communications and Networking in China, ChinaCom 2015, Shanghai, China, August 15-17, 2015}, pages = {167--171}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CHINACOM.2015.7497931}, doi = {10.1109/CHINACOM.2015.7497931}, timestamp = {Fri, 30 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chinacom/ZhengDWLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/HuangLWZLZW15, author = {Ke Huang and Deng Luo and Ziqiang Wang and Xuqiang Zheng and Fule Li and Chun Zhang and Zhihua Wang}, title = {A 190mW 40Gbps SerDes transmitter and receiver chipset in 65nm {CMOS} technology}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338370}, doi = {10.1109/CICC.2015.7338370}, timestamp = {Thu, 28 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/HuangLWZLZW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/ChengLLFLH15, author = {Jiefeng Cheng and Qin Liu and Zhenguo Li and Wei Fan and John C. S. Lui and Cheng He}, editor = {Johannes Gehrke and Wolfgang Lehner and Kyuseok Shim and Sang Kyun Cha and Guy M. Lohman}, title = {{VENUS:} Vertex-centric streamlined graph computation on a single {PC}}, booktitle = {31st {IEEE} International Conference on Data Engineering, {ICDE} 2015, Seoul, South Korea, April 13-17, 2015}, pages = {1131--1142}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICDE.2015.7113362}, doi = {10.1109/ICDE.2015.7113362}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icde/ChengLLFLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imc/LiWXLLW15, author = {Zhenhua Li and Christo Wilson and Tianyin Xu and Yao Liu and Zhen Lu and Yinlong Wang}, editor = {Kenjiro Cho and Kensuke Fukuda and Vivek S. Pai and Neil Spring}, title = {Offline Downloading in China: {A} Comparative Study}, booktitle = {Proceedings of the 2015 {ACM} Internet Measurement Conference, {IMC} 2015, Tokyo, Japan, October 28-30, 2015}, pages = {473--486}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2815675.2815688}, doi = {10.1145/2815675.2815688}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imc/LiWXLLW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JiaTAZ15, author = {Luheng Jia and Chi{-}Ying Tsui and Oscar C. Au and Amin Zheng}, title = {A fast variable block size motion estimation algorithm with refined search range for a two-layer data reuse scheme}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {1206--1209}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168856}, doi = {10.1109/ISCAS.2015.7168856}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JiaTAZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/YuZIF15, author = {Dongsheng Yu and Ciyan Zheng and Herbert H. C. Iu and Tyrone Fernando}, title = {A memristive astable multivibrator based on 555 timer}, booktitle = {2015 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2015, Lisbon, Portugal, May 24-27, 2015}, pages = {858--861}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCAS.2015.7168769}, doi = {10.1109/ISCAS.2015.7168769}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/YuZIF15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mm/OoiTWWCCGLTWXZZ15, author = {Beng Chin Ooi and Kian{-}Lee Tan and Sheng Wang and Wei Wang and Qingchao Cai and Gang Chen and Jinyang Gao and Zhaojing Luo and Anthony K. H. Tung and Yuan Wang and Zhongle Xie and Meihui Zhang and Kaiping Zheng}, editor = {Xiaofang Zhou and Alan F. Smeaton and Qi Tian and Dick C. A. Bulterman and Heng Tao Shen and Ketan Mayer{-}Patel and Shuicheng Yan}, title = {{SINGA:} {A} Distributed Deep Learning Platform}, booktitle = {Proceedings of the 23rd Annual {ACM} Conference on Multimedia Conference, {MM} '15, Brisbane, Australia, October 26 - 30, 2015}, pages = {685--688}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2733373.2807410}, doi = {10.1145/2733373.2807410}, timestamp = {Thu, 15 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mm/OoiTWWCCGLTWXZZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlpcc/ZhengWL15, author = {Lvexing Zheng and Houfeng Wang and Xueqiang Lv}, editor = {Juanzi Li and Heng Ji and Dongyan Zhao and Yansong Feng}, title = {Improving Chinese Dependency Parsing with Lexical Semantic Features}, booktitle = {Natural Language Processing and Chinese Computing - 4th {CCF} Conference, {NLPCC} 2015, Nanchang, China, October 9-13, 2015, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {9362}, pages = {36--46}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-25207-0\_4}, doi = {10.1007/978-3-319-25207-0\_4}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/nlpcc/ZhengWL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LiuPZPZLY15, author = {X. K. Liu and Wei Pan and Xihua Zou and S. L. Pan and D. Zheng and Bin Luo and Lianshan Yan}, title = {Photonic generation of chirped microwave pulses with precisely targeted and tuned parameters using external modulation}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1364/OFC.2015.W2A.60}, doi = {10.1364/OFC.2015.W2A.60}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LiuPZPZLY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZhangZSLWLXTC15, author = {Yunshan Zhang and Jilin Zheng and Yue{-}chun Shi and Shengping Liu and Wenting Wang and Jun Lu and Haiming Xu and Qi Tang and Xiangfei Chen}, title = {High efficiency and {SMSR} distributed feedback laser array integrated with passive grating reflector based on reconstruction-equivalent-chirp technique}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2015, Los Angeles, CA, USA, March 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/document/7121473}, timestamp = {Fri, 09 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ZhangZSLWLXTC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pods/AcharyaDHLS15, author = {Jayadev Acharya and Ilias Diakonikolas and Chinmay Hegde and Jerry Zheng Li and Ludwig Schmidt}, editor = {Tova Milo and Diego Calvanese}, title = {Fast and Near-Optimal Algorithms for Approximating Distributions by Histograms}, booktitle = {Proceedings of the 34th {ACM} Symposium on Principles of Database Systems, {PODS} 2015, Melbourne, Victoria, Australia, May 31 - June 4, 2015}, pages = {249--263}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2745754.2745772}, doi = {10.1145/2745754.2745772}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pods/AcharyaDHLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/ChenGYBL14, author = {Zheng Chen and Huaxi Gu and Yintang Yang and Luying Bai and Hui Li}, title = {A Power Efficient and Compact Optical Interconnect for Network-on-Chip}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {13}, number = {1}, pages = {5--8}, year = {2014}, url = {https://doi.org/10.1109/L-CA.2013.5}, doi = {10.1109/L-CA.2013.5}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/ChenGYBL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ14, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {9}, number = {1}, pages = {15--18}, year = {2014}, url = {https://doi.org/10.1109/MCI.2013.2291678}, doi = {10.1109/MCI.2013.2291678}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ14a, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {9}, number = {3}, pages = {6--11}, year = {2014}, url = {https://doi.org/10.1109/MCI.2014.2326095}, doi = {10.1109/MCI.2014.2326095}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/LeiGGLCLMZSZ14, author = {Jianbo Lei and Pengcheng Guan and Kaihua Gao and Xueqing Lu and Yunan Chen and Yuefeng Li and Qun Meng and Jiajie Zhang and Dean F. Sittig and Kai Zheng}, title = {Characteristics of health {IT} outage and suggested risk management strategies: An analysis of historical incident reports in China}, journal = {Int. J. Medical Informatics}, volume = {83}, number = {2}, pages = {122--130}, year = {2014}, url = {https://doi.org/10.1016/j.ijmedinf.2013.10.006}, doi = {10.1016/J.IJMEDINF.2013.10.006}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/LeiGGLCLMZSZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiLLLLX14, author = {Zhaofu Li and Hongyu Liu and Chuan Luo and Panpan Li and Hengpeng Li and Zhengqin Xiong}, title = {Industrial Wastewater Discharge Retrieval Based on Stable Nighttime Light Imagery in China from 1992 to 2010}, journal = {Remote. Sens.}, volume = {6}, number = {8}, pages = {7566--7579}, year = {2014}, url = {https://doi.org/10.3390/rs6087566}, doi = {10.3390/RS6087566}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiLLLLX14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LiuWW14, author = {Zhengjia Liu and Lunche Wang and Sisi Wang}, title = {Comparison of Different {GPP} Models in China Using {MODIS} Image and ChinaFLUX Data}, journal = {Remote. Sens.}, volume = {6}, number = {10}, pages = {10215--10231}, year = {2014}, url = {https://doi.org/10.3390/rs61010215}, doi = {10.3390/RS61010215}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/LiuWW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigkdd/OoiTTY0LNTZ14, author = {Beng Chin Ooi and Kian{-}Lee Tan and Quoc Trung Tran and James Wei Luen Yip and Gang Chen and Zheng Jye Ling and Thi Nguyen and Anthony K. H. Tung and Meihui Zhang}, title = {Contextual crowd intelligence}, journal = {{SIGKDD} Explor.}, volume = {16}, number = {1}, pages = {39--46}, year = {2014}, url = {https://doi.org/10.1145/2674026.2674032}, doi = {10.1145/2674026.2674032}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigkdd/OoiTTY0LNTZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsac/SunZLJ14, author = {Mingshen Sun and Min Zheng and John C. S. Lui and Xuxian Jiang}, editor = {Charles N. Payne Jr. and Adam Hahn and Kevin R. B. Butler and Micah Sherr}, title = {Design and implementation of an Android host-based intrusion prevention system}, booktitle = {Proceedings of the 30th Annual Computer Security Applications Conference, {ACSAC} 2014, New Orleans, LA, USA, December 8-12, 2014}, pages = {226--235}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2664243.2664245}, doi = {10.1145/2664243.2664245}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsac/SunZLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ShenWZJLJ14, author = {Shanshan Shen and Yaoxian Wang and Guang Zheng and Dongmei Jia and Aiping Lu and Miao Jiang}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {Exploring rules of traditional Chinese medicine external therapy and food therapy in treatment of mammary gland hyperplasia with text mining}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {158--159}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999347}, doi = {10.1109/BIBM.2014.6999347}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ShenWZJLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/ZhengSL14, author = {Min Zheng and Mingshen Sun and John C. S. Lui}, editor = {Shiho Moriai and Trent Jaeger and Kouichi Sakurai}, title = {DroidRay: a security evaluation system for customized android firmwares}, booktitle = {9th {ACM} Symposium on Information, Computer and Communications Security, {ASIA} {CCS} '14, Kyoto, Japan - June 03 - 06, 2014}, pages = {471--482}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2590296.2590313}, doi = {10.1145/2590296.2590313}, timestamp = {Tue, 10 Nov 2020 16:06:16 +0100}, biburl = {https://dblp.org/rec/conf/ccs/ZhengSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ica3pp/WangLZYZ14, author = {Hongwei Wang and Siyu Lu and Youhui Zhang and Guangwen Yang and Weimin Zheng}, editor = {Xian{-}He Sun and Wenyu Qu and Ivan Stojmenovic and Wanlei Zhou and Zhiyang Li and Hua Guo and Geyong Min and Tingting Yang and Yulei Wu and Lei (Chris) Liu}, title = {Customized Network-on-Chip for Message Reduction}, booktitle = {Algorithms and Architectures for Parallel Processing - 14th International Conference, {ICA3PP} 2014, Dalian, China, August 24-27, 2014. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8630}, pages = {535--548}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-11197-1\_41}, doi = {10.1007/978-3-319-11197-1\_41}, timestamp = {Fri, 22 Apr 2022 17:07:03 +0200}, biburl = {https://dblp.org/rec/conf/ica3pp/WangLZYZ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/YangZZC14, author = {Lu Yang and Wei Zhang and Nengheng Zheng and P. C. Ching}, title = {Opportunistic user scheduling in {MIMO} cognitive radio networks}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2014, Florence, Italy, May 4-9, 2014}, pages = {7303--7307}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICASSP.2014.6855018}, doi = {10.1109/ICASSP.2014.6855018}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/YangZZC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwcmc/ZhengSL14, author = {Min Zheng and Mingshen Sun and John C. S. Lui}, editor = {Mario Gerla and Khaled Ben Letaief and George C. Hadjichristofi and Christian Callegari}, title = {DroidTrace: {A} ptrace based Android dynamic analysis system with forward execution capability}, booktitle = {International Wireless Communications and Mobile Computing Conference, {IWCMC} 2014, Nicosia, Cyprus, August 4-8, 2014}, pages = {128--133}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IWCMC.2014.6906344}, doi = {10.1109/IWCMC.2014.6906344}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/iwcmc/ZhengSL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medbiometrics/HongSZLLZL14, author = {Wenxue Hong and Jialin Song and Cunfang Zheng and Jingmin Luan and Shaoxiong Li and Tao Zhang and Haisheng Liu}, title = {Comparative Study on Pattern Discovery of Traditional Chinese Medicine Common Syndrome Elements}, booktitle = {2014 International Conference on Medical Biometrics, Shenzhen, Guangdong, China, May 30 - June 1, 2014}, pages = {68--73}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICMB.2014.19}, doi = {10.1109/ICMB.2014.19}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/medbiometrics/HongSZLLZL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/PanHWLKWY14, author = {Quan Pan and Zhengxiong Hou and Yipeng Wang and Yan Lu and Wing{-}Hung Ki and Keh{-}Chung Wang and C. Patrick Yue}, title = {A 48-mW 18-Gb/s fully integrated {CMOS} optical receiver with photodetector and adaptive equalizer}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2014, Digest of Technical Papers, Honolulu, HI, USA, June 10-13, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VLSIC.2014.6858402}, doi = {10.1109/VLSIC.2014.6858402}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/PanHWLKWY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/iscslp/2014, editor = {Minghui Dong and Jianhua Tao and Haizhou Li and Thomas Fang Zheng and Yanfeng Lu}, title = {The 9th International Symposium on Chinese Spoken Language Processing, Singapore, September 12-14, 2014}, publisher = {{IEEE}}, year = {2014}, url = {https://ieeexplore.ieee.org/xpl/conhome/6924027/proceeding}, isbn = {978-1-4799-4220-6}, timestamp = {Mon, 18 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscslp/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/XieWLLH13, author = {Zheng Xie and Xin'an Wang and Zhibin Lian and Yonggui Luo and Ziyi Hu}, title = {A novel intelligent verification platform based on a structured analysis model}, journal = {Sci. China Inf. Sci.}, volume = {56}, number = {6}, pages = {1--14}, year = {2013}, url = {https://doi.org/10.1007/s11432-013-4817-6}, doi = {10.1007/S11432-013-4817-6}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/XieWLLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ13, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {8}, number = {1}, pages = {9--11}, year = {2013}, url = {https://doi.org/10.1109/MCI.2012.2228582}, doi = {10.1109/MCI.2012.2228582}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ13a, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {8}, number = {2}, pages = {12--14}, year = {2013}, url = {https://doi.org/10.1109/MCI.2013.2247820}, doi = {10.1109/MCI.2013.2247820}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ13b, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {8}, number = {4}, pages = {4--5}, year = {2013}, url = {https://doi.org/10.1109/MCI.2013.2278943}, doi = {10.1109/MCI.2013.2278943}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ13b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/LuTWZL13, author = {Yingcheng Lu and Qingjiu Tian and Xinyuan Wang and Guang Zheng and Xiang Li}, title = {Determining oil slick thickness using hyperspectral remote sensing in the Bohai Sea of China}, journal = {Int. J. Digit. Earth}, volume = {6}, number = {1}, pages = {76--93}, year = {2013}, url = {https://doi.org/10.1080/17538947.2012.695404}, doi = {10.1080/17538947.2012.695404}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/digearth/LuTWZL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/LuHWHYCSC13, author = {Yongqiang Lu and Dezhi Hong and Ying Wang and Yinghong Hou and Zhengwen Yang and Yu Chen and Yuanchun Shi and Alvin Chin}, title = {A Scalable and Privacy-Aware Location-Sensing Model for Ephemeral Social Network Service}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/578563}, doi = {10.1155/2013/578563}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/LuHWHYCSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/ZhengLWF13, author = {Fei Zheng and Wen Feng Lu and Yoke San Wong and Kelvin Weng Chiong Foong}, title = {Graphic Processing Units (GPUs)-Based Haptic Simulator for Dental Implant Surgery}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {13}, number = {4}, year = {2013}, url = {https://doi.org/10.1115/1.4024972}, doi = {10.1115/1.4024972}, timestamp = {Thu, 21 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcise/ZhengLWF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/ZhengLFMYCC13, author = {Qian Zheng and Zhentai Lu and Qianjin Feng and Jianhua Ma and Wei Yang and Chao Chen and Wufan Chen}, title = {Adaptive Segmentation of Vertebral Bodies from Sagittal {MR} Images Based on Local Spatial Information and Gaussian Weighted Chi-Square Distance}, journal = {J. Digit. Imaging}, volume = {26}, number = {3}, pages = {578--593}, year = {2013}, url = {https://doi.org/10.1007/s10278-012-9552-9}, doi = {10.1007/S10278-012-9552-9}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/ZhengLFMYCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/Marchler-BauerZCDGGGGHLLLMSTYZB13, author = {Aron Marchler{-}Bauer and Chanjuan Zheng and Farideh Chitsaz and Myra K. Derbyshire and Lewis Y. Geer and Renata C. Geer and Noreen R. Gonzales and Marc Gwadz and David I. Hurwitz and Christopher J. Lanczycki and Fu Lu and Shennan Lu and Gabriele H. Marchler and James S. Song and Narmada Thanki and Roxanne A. Yamashita and Dachuan Zhang and Stephen H. Bryant}, title = {{CDD:} conserved domains and protein three-dimensional structure}, journal = {Nucleic Acids Res.}, volume = {41}, number = {Database-Issue}, pages = {348--352}, year = {2013}, url = {https://doi.org/10.1093/nar/gks1243}, doi = {10.1093/NAR/GKS1243}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/Marchler-BauerZCDGGGGHLLLMSTYZB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thms/ZhengSTZLLC13, author = {Li Zheng and Chao Shen and Liang Tang and Chunqiu Zeng and Tao Li and Steven Luis and Shu{-}Ching Chen}, title = {Data Mining Meets the Needs of Disaster Information Management}, journal = {{IEEE} Trans. Hum. Mach. Syst.}, volume = {43}, number = {5}, pages = {451--464}, year = {2013}, url = {https://doi.org/10.1109/THMS.2013.2281762}, doi = {10.1109/THMS.2013.2281762}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thms/ZhengSTZLLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tist/TangZYHLPP13, author = {Lu{-}An Tang and Yu Zheng and Jing Yuan and Jiawei Han and Alice Leung and Wen{-}Chih Peng and Thomas La Porta}, title = {A framework of traveling companion discovery on trajectory data streams}, journal = {{ACM} Trans. Intell. Syst. Technol.}, volume = {5}, number = {1}, pages = {3:1--3:34}, year = {2013}, url = {https://doi.org/10.1145/2542182.2542185}, doi = {10.1145/2542182.2542185}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tist/TangZYHLPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LuoXZSWHL13, author = {Dan Luo and Cheng Xiao and Guang Zheng and Shuyu Sun and Minzhi Wang and Xiaojuan He and Aiping Lu}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Searching association rules of traditional Chinese medicine on Ligusticum wallichii by text mining}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {162--167}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732664}, doi = {10.1109/BIBM.2013.6732664}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/LuoXZSWHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhanZSWJLL13, author = {Junping Zhan and Guang Zheng and Mengmeng Song and Tong Wei and Miao Jiang and Cheng Lu and Aiping Lu}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Exploring Li-Fa-Fang-Yao rules of major depressive disorder in traditional Chinese medicine through text mining}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {460--464}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732536}, doi = {10.1109/BIBM.2013.6732536}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhanZSWJLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhangJRZGYHLJW13, author = {Minghai Zhang and Dongmei Jia and Xiaoxia Ren and Guang Zheng and Hongtao Guo and Jing Yang and Xiaojuan He and Aiping Lu and Miao Jiang and Yaoxian Wang}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {A text-mining analysis on the application of traditional Chinese medicine external therapy and food therapy in hypertension treatment}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {77--78}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732642}, doi = {10.1109/BIBM.2013.6732642}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhangJRZGYHLJW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhangLZJLTL13, author = {Hui{-}qin Zhang and Jian Li and Guang Zheng and Miao Jiang and Li Li and Yong Tan and Aiping Lu}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Using text mining to understand traditional Chinese medicine pathogenesis of nonalcoholic fatty liver disease}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {311--314}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732702}, doi = {10.1109/BIBM.2013.6732702}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhangLZJLTL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhouYGWZGTRDZCLJW13, author = {Hongmei Zhou and Jing Yang and Jinrui Guo and Yahong Wang and Guang Zheng and Hongtao Guo and Yong Tan and Xiaoxia Ren and Rongfen Dong and Jinrong Zhang and Zhaoli Cui and Aiping Lu and Miao Jiang and Yaoxian Wang}, editor = {Guo{-}Zheng Li and Sunghoon Kim and Michael Hughes and Geoffrey J. McLachlan and Hongye Sun and Xiaohua Hu and Habtom W. Ressom and Baoyan Liu and Michael N. Liebman}, title = {Rule-based text mining of traditional Chinese medicine patterns with Chinese herbal medicines and formulae on hypertension}, booktitle = {2013 {IEEE} International Conference on Bioinformatics and Biomedicine, Shanghai, China, December 18-21, 2013}, pages = {332--335}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/BIBM.2013.6732708}, doi = {10.1109/BIBM.2013.6732708}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhouYGWZGTRDZCLJW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicling/XuXZLWW13, author = {Jun Xu and Ruifeng Xu and Yanzhen Zheng and Qin Lu and Kam{-}Fai Wong and Xiaolong Wang}, editor = {Alexander F. Gelbukh}, title = {Chinese Emotion Lexicon Developing via Multi-lingual Lexical Resources Integration}, booktitle = {Computational Linguistics and Intelligent Text Processing - 14th International Conference, CICLing 2013, Samos, Greece, March 24-30, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7817}, pages = {174--182}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-37256-8\_15}, doi = {10.1007/978-3-642-37256-8\_15}, timestamp = {Thu, 31 Oct 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicling/XuXZLWW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisis/ZhengYHLL13, author = {Zhong Zheng and Zhan{-}Chang Yuan and Chang{-}Wei Hsieh and Pei{-}Xuan Lin and Chi{-}Wen Lung}, editor = {Leonard Barolli and Fatos Xhafa and Hsing{-}Chung Chen and Antonio Fernandez G{\'{o}}mez{-}Skarmeta and Farooq Hussain}, title = {The Design of Tunable Low Level Laser Stimulator}, booktitle = {Seventh International Conference on Complex, Intelligent, and Software Intensive Systems, {CISIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {186--188}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CISIS.2013.38}, doi = {10.1109/CISIS.2013.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisis/ZhengYHLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dh/ApollonioGFBZGB13, author = {Fabrizio Ivan Apollonio and Marco Gaiani and Federico Fallavollita and Massimo Ballabeni and Zheng Zun and Antonella Guidazzoli and Antonio Baglivo and Maria Chiara Liguori and Mauro Felicori and Luigi Virgolin}, title = {Bologna porticoes project: {A} 3D repository for {WHL} {UNESCO} nomination}, booktitle = {1st Digital Heritage International Congress, DigitalHERITAGE 2013, Marseille, France, October 28 - November 1, 2013, Volume {I}}, pages = {563--570}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/DigitalHeritage.2013.6743797}, doi = {10.1109/DIGITALHERITAGE.2013.6743797}, timestamp = {Tue, 22 Jun 2021 15:56:36 +0200}, biburl = {https://dblp.org/rec/conf/dh/ApollonioGFBZGB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpdc/LuZC13, author = {Guoming Lu and Ziming Zheng and Andrew A. Chien}, editor = {Nathan DeBardeleben and Jon Stearley and Franck Cappello}, title = {When is multi-version checkpointing needed?}, booktitle = {Proceedings of the 3rd Workshop on Fault-tolerance for {HPC} at extreme scale, jointly held with the 22nd International Symposium on High-Performance Parallel and Distributed Computing, HPDC'13, New York, NY, USA, June 18, 2013}, pages = {49--56}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2465813.2465821}, doi = {10.1145/2465813.2465821}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpdc/LuZC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icegov/LuZ13, author = {Jianying Lu and Lei Zheng}, editor = {Jeanne Holm and Tomasz Janowski}, title = {Air quality information disclosure in China: needs and capabilities}, booktitle = {7th International Conference on Theory and Practice of Electronic Governance, {ICEGOV} '13, Seoul, Republic of Korea, October 22-25, 2013}, pages = {158--165}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2591888.2591914}, doi = {10.1145/2591888.2591914}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icegov/LuZ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ZhengCS0LYTLLLA13, author = {Xuezhe Zheng and Eric Chang and Ivan Shubin and Guoliang Li and Ying Luo and Jin Yao and Hiren D. Thacker and Jin{-}Hyoung Lee and Jon K. Lexau and Frankie Liu and Philip Amberg and Kannan Raj and Ron Ho and John E. Cunningham and Ashok V. Krishnamoorthy}, title = {A 33mW 100Gbps {CMOS} silicon photonic {WDM} transmitter using off-chip laser sources}, booktitle = {2013 Optical Fiber Communication Conference and Exposition and the National Fiber Optic Engineers Conference (OFC/NFOEC), Anaheim, CA, USA, March 17-21, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {http://ieeexplore.ieee.org/xpl/freeabs\_all.jsp?arnumber=6533248}, timestamp = {Thu, 31 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ZhengCS0LYTLLLA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/trustcom/ZhengSL13, author = {Min Zheng and Mingshen Sun and John C. S. Lui}, title = {Droid Analytics: {A} Signature Based Analytic System to Collect, Extract, Analyze and Associate Android Malware}, booktitle = {12th {IEEE} International Conference on Trust, Security and Privacy in Computing and Communications, TrustCom 2013 / 11th {IEEE} International Symposium on Parallel and Distributed Processing with Applications, {ISPA-13} / 12th {IEEE} International Conference on Ubiquitous Computing and Communications, IUCC-2013, Melbourne, Australia, July 16-18, 2013}, pages = {163--171}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TrustCom.2013.25}, doi = {10.1109/TRUSTCOM.2013.25}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/trustcom/ZhengSL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1302-7212, author = {Min Zheng and Mingshen Sun and John C. S. Lui}, title = {DroidAnalytics: {A} Signature Based Analytic System to Collect, Extract, Analyze and Associate Android Malware}, journal = {CoRR}, volume = {abs/1302.7212}, year = {2013}, url = {http://arxiv.org/abs/1302.7212}, eprinttype = {arXiv}, eprint = {1302.7212}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1302-7212.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/DuLZWSZGLMLZSCLDWWZ12, author = {Fei Du and Chun Li and Mulin Zhou and Weimin Wang and Luning Su and Yi Zheng and Xulei Ge and Yutong Li and Jinglong Ma and Xiaolong Liu and Lu Zhang and Zhengming Sheng and Liming Chen and Xin Lu and Quanli Dong and Zhaohua Wang and Zhiyi Wei and Jie Zhang}, title = {Angular distribution of terahertz emission from laser interactions with solid targets}, journal = {Sci. China Inf. Sci.}, volume = {55}, number = {1}, pages = {43--48}, year = {2012}, url = {https://doi.org/10.1007/s11432-011-4491-5}, doi = {10.1007/S11432-011-4491-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/DuLZWSZGLMLZSCLDWWZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ZhengLLCW12, author = {Jiapeng Zheng and Wei Li and Xueqing Lu and Yuhua Cheng and Yangyuan Wang}, title = {A low power and small area all digital delay-locked loop based on ring oscillator architecture}, journal = {Sci. China Inf. Sci.}, volume = {55}, number = {2}, pages = {453--460}, year = {2012}, url = {https://doi.org/10.1007/s11432-011-4278-8}, doi = {10.1007/S11432-011-4278-8}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chinaf/ZhengLLCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ12, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {7}, number = {1}, pages = {6--7}, year = {2012}, url = {https://doi.org/10.1109/MCI.2011.2177000}, doi = {10.1109/MCI.2011.2177000}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ12a, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {7}, number = {2}, pages = {11--12}, year = {2012}, url = {https://doi.org/10.1109/MCI.2012.2188565}, doi = {10.1109/MCI.2012.2188565}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ12a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ12b, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {7}, number = {3}, pages = {11--12}, year = {2012}, url = {https://doi.org/10.1109/MCI.2012.2200619}, doi = {10.1109/MCI.2012.2200619}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ12b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ12c, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {7}, number = {4}, pages = {13--14}, year = {2012}, url = {https://doi.org/10.1109/MCI.2012.2215119}, doi = {10.1109/MCI.2012.2215119}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ12c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ZhengLWF12, author = {Fei Zheng and Wen Feng Lu and Yoke San Wong and Kelvin Weng Chiong Foong}, title = {An analytical drilling force model and GPU-accelerated haptics-based simulation framework of the pilot drilling procedure for micro-implants surgery training}, journal = {Comput. Methods Programs Biomed.}, volume = {108}, number = {3}, pages = {1170--1184}, year = {2012}, url = {https://doi.org/10.1016/j.cmpb.2012.05.015}, doi = {10.1016/J.CMPB.2012.05.015}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ZhengLWF12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcp/LiuMLY12, author = {Jie Liu and Yun Ma and Liming Luo and Zhengtao Yu}, title = {Reuse of Chinese Domain Ontology for the restricted domain Question Answering System}, journal = {J. Comput.}, volume = {7}, number = {11}, pages = {2684--2690}, year = {2012}, url = {http://www.jcomputers.us/index.php?m=content\&c=index\&a=show\&catid=75\&id=1036}, doi = {10.4304/JCP.7.11.2684-2690}, timestamp = {Thu, 25 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcp/LiuMLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micromachines/LuoZLSTYLFFKLSARKC12, author = {Ying Luo and Xuezhe Zheng and Guoliang Li and Ivan Shubin and Hiren D. Thacker and Jin Yao and Jin{-}Hyoung Lee and Dazeng Feng and Joan Fong and Cheng{-}Chih Kung and Shirong Liao and Roshanak Shafiiha and Mehdi Asghari and Kannan Raj and Ashok V. Krishnamoorthy and John E. Cunningham}, title = {Strong Electro-Absorption in GeSi Epitaxy on Silicon-on-Insulator {(SOI)}}, journal = {Micromachines}, volume = {3}, number = {2}, pages = {345--363}, year = {2012}, url = {https://doi.org/10.3390/mi3020345}, doi = {10.3390/MI3020345}, timestamp = {Thu, 31 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micromachines/LuoZLSTYLFFKLSARKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/airs/XuLLC12, author = {Jian Xu and Qin Lu and Zhengzhong Liu and Junyi Chai}, editor = {Yuexian Hou and Jian{-}Yun Nie and Le Sun and Bo Wang and Peng Zhang}, title = {Topic Sequence Kernel}, booktitle = {Information Retrieval Technology, 8th Asia Information Retrieval Societies Conference, {AIRS} 2012, Tianjin, China, December 17-19, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7675}, pages = {457--466}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35341-3\_41}, doi = {10.1007/978-3-642-35341-3\_41}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/airs/XuLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apweb/ZhengLLD12, author = {Lvexing Zheng and Xueqiang Lv and Kun Liu and Yuncheng Du}, editor = {Hua Wang and Lei Zou and Guangyan Huang and Jing He and Chaoyi Pang and Hao Lan Zhang and Dongyan Zhao and Zhuang Yi}, title = {Recognition of Chinese Personal Names Based on CRFs and Law of Names}, booktitle = {Web Technologies and Applications - APWeb 2012 International Workshops: SenDe, IDP, IEKB, MBC, Kunming, China, April 11-13, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7234}, pages = {163--170}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-29426-6\_20}, doi = {10.1007/978-3-642-29426-6\_20}, timestamp = {Mon, 06 Nov 2023 18:33:19 +0100}, biburl = {https://dblp.org/rec/conf/apweb/ZhengLLD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/LiuLZDTJHLLY12, author = {Yuntao Liu and Qing Liu and Dan{-}Wen Zheng and Qing{-}Ping Deng and Zhan{-}Peng Tan and Xiaoyang Jin and Wei Huang and Yaling Lei and Yi Luo and Jian Yin}, title = {Application and improvement discussion about Apriori algorithm of association rules mining in cases mining of influenza treated by contemporary famous old Chinese medicine}, booktitle = {2012 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2012, Philadelphia, USA, October 4-7, 2012}, pages = {316--322}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBMW.2012.6470323}, doi = {10.1109/BIBMW.2012.6470323}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/LiuLZDTJHLLY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bife/ZhengL12, author = {Fanghui Zheng and Yangfan Lu}, editor = {Lean Yu and Guoxing Zhang and Shouyang Wang}, title = {Influencing Factors of Public Satisfaction in Local Governments' Overall Performance Evaluation in China}, booktitle = {Fifth International Conference on Business Intelligence and Financial Engineering, {BIFE} 2012, Lanzhou, Gansu, China, August 18-21, 2012}, pages = {495--500}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIFE.2012.110}, doi = {10.1109/BIFE.2012.110}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bife/ZhengL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinacom/LiLLX12, author = {Lin Li and Songnian Lu and Shenghong Li and Zhengmin Xia}, title = {Finding community structure in complex network based on latent variables}, booktitle = {7th International Conference on Communications and Networking in China, Kunming, Yunnan Province, China, August 8-10, 2012}, pages = {239--244}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ChinaCom.2012.6417483}, doi = {10.1109/CHINACOM.2012.6417483}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/chinacom/LiLLX12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinacom/LiuLLWHZZCW12, author = {Wangyang Liu and Qingshan Li and Rui Lu and Xin Wan and Nan Hua and Xiaoping Zheng and Bingkun Zhou and Xiaohui Chen and Pi Wang}, title = {Implementation of segmented protection and restoration in PCE-based multi-domain heterogeneous optical networks}, booktitle = {7th International Conference on Communications and Networking in China, Kunming, Yunnan Province, China, August 8-10, 2012}, pages = {284--287}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ChinaCom.2012.6417491}, doi = {10.1109/CHINACOM.2012.6417491}, timestamp = {Thu, 29 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chinacom/LiuLLWHZZCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dimva/ZhengLL12, author = {Min Zheng and Patrick P. C. Lee and John C. S. Lui}, editor = {Ulrich Flegel and Evangelos P. Markatos and William K. Robertson}, title = {{ADAM:} An Automatic and Extensible Platform to Stress Test Android Anti-virus Systems}, booktitle = {Detection of Intrusions and Malware, and Vulnerability Assessment - 9th International Conference, {DIMVA} 2012, Heraklion, Crete, Greece, July 26-27, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7591}, pages = {82--101}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-37300-8\_5}, doi = {10.1007/978-3-642-37300-8\_5}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/dimva/ZhengLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/TangZYHLHP12, author = {Lu{-}An Tang and Yu Zheng and Jing Yuan and Jiawei Han and Alice Leung and Chih{-}Chieh Hung and Wen{-}Chih Peng}, editor = {Anastasios Kementsietsidis and Marcos Antonio Vaz Salles}, title = {On Discovery of Traveling Companions from Streaming Trajectories}, booktitle = {{IEEE} 28th International Conference on Data Engineering {(ICDE} 2012), Washington, DC, {USA} (Arlington, Virginia), 1-5 April, 2012}, pages = {186--197}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICDE.2012.33}, doi = {10.1109/ICDE.2012.33}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/TangZYHLHP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpca/YangWLLHP12, author = {Zhengwen Yang and Qiang Wu and Yongqiang Lu and Pei Lu and Yinghong Hou and Manman Peng}, editor = {Qiaohong Zu and Bo Hu and Atilla El{\c{c}}i}, title = {Enhanced {ALOHA} Algorithm for Chirp Spread Spectrum Positioning}, booktitle = {Pervasive Computing and the Networked World - Joint International Conference, {ICPCA/SWS} 2012, Istanbul, Turkey, November 28-30, 2012, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {7719}, pages = {891--903}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-37015-1\_79}, doi = {10.1007/978-3-642-37015-1\_79}, timestamp = {Wed, 07 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpca/YangWLLHP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iri/ZhengSTZLLCN12, author = {Li Zheng and Chao Shen and Liang Tang and Chunqiu Zeng and Tao Li and Steven Luis and Shu{-}Ching Chen and Jainendra K. Navlakha}, editor = {Chengcui Zhang and James Joshi and Elisa Bertino and Bhavani Thuraisingham}, title = {Disaster SitRep - {A} vertical search engine and information analysis tool in disaster management domain}, booktitle = {{IEEE} 13th International Conference on Information Reuse {\&} Integration, {IRI} 2012, Las Vegas, NV, USA, August 8-10, 2012}, pages = {457--465}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/IRI.2012.6303044}, doi = {10.1109/IRI.2012.6303044}, timestamp = {Wed, 29 Jun 2022 15:37:42 +0200}, biburl = {https://dblp.org/rec/conf/iri/ZhengSTZLLCN12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/LuZYFC12, author = {Zhentai Lu and Qian Zheng and Wei Yang and Qianjin Feng and Wufan Chen}, title = {Adaptive image segmentation based on local neighborhood information and Gaussian weighted Chi-square distance}, booktitle = {9th {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, {ISBI} 2012, May 2-5, 2012, Barcelona, Spain, Proceedings}, pages = {1240--1243}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISBI.2012.6235786}, doi = {10.1109/ISBI.2012.6235786}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/isbi/LuZYFC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/konvens/XuLL12, author = {Jian Xu and Qin Lu and Zhengzhong Liu}, editor = {Jeremy Jancsary}, title = {Aggregating skip bigrams into key phrase-based vector space model for web person disambiguation}, booktitle = {11th Conference on Natural Language Processing, {KONVENS} 2012, Empirical Methods in Natural Language Processing, Vienna, Austria, September 19-21, 2012}, series = {Scientific series of the {\"{O}}GAI}, volume = {5}, pages = {108--117}, publisher = {{\"{O}}GAI, Wien, {\"{O}}sterreich}, year = {2012}, url = {http://www.oegai.at/konvens2012/proceedings/16\_xu12o/}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/konvens/XuLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semeval/XuLL12, author = {Jian Xu and Qin Lu and Zhengzhong Liu}, editor = {Eneko Agirre and Johan Bos and Mona T. Diab}, title = {PolyUCOMP: Combining Semantic Vectors with Skip bigrams for Semantic Textual Similarity}, booktitle = {Proceedings of the 6th International Workshop on Semantic Evaluation, SemEval@NAACL-HLT 2012, Montr{\'{e}}al, Canada, June 7-8, 2012}, pages = {524--528}, publisher = {The Association for Computer Linguistics}, year = {2012}, url = {https://aclanthology.org/S12-1075/}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/semeval/XuLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wism/ZhanZJLGL12, author = {Junping Zhan and Guang Zheng and Miao Jiang and Cheng Lu and Hongtao Guo and Aiping Lu}, editor = {Fu Lee Wang and Jingsheng Lei and Zhiguo Gong and Xiangfeng Luo}, title = {Rule-Based Text Mining of Chinese Herbal Medicines with Patterns in Traditional Chinese Medicine for Chronic Obstructive Pulmonary Disease}, booktitle = {Web Information Systems and Mining - International Conference, {WISM} 2012, Chengdu, China, October 26-28, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7529}, pages = {510--520}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-33469-6\_63}, doi = {10.1007/978-3-642-33469-6\_63}, timestamp = {Fri, 18 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wism/ZhanZJLGL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/XuLL12, author = {Jian Xu and Qin Lu and Zhengzhong Liu}, editor = {Alain Mille and Fabien Gandon and Jacques Misselis and Michael Rabinovich and Steffen Staab}, title = {Combining classification with clustering for web person disambiguation}, booktitle = {Proceedings of the 21st World Wide Web Conference, {WWW} 2012, Lyon, France, April 16-20, 2012 (Companion Volume)}, pages = {637--638}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2187980.2188165}, doi = {10.1145/2187980.2188165}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/XuLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ11, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {6}, number = {2}, pages = {15--62}, year = {2011}, url = {https://doi.org/10.1109/MCI.2011.940615}, doi = {10.1109/MCI.2011.940615}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ11a, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight [Publication Spotlight]}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {6}, number = {3}, pages = {10--11}, year = {2011}, url = {https://doi.org/10.1109/MCI.2011.941585}, doi = {10.1109/MCI.2011.941585}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ11a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cim/LiuLGLZ11b, author = {Derong Liu and Chin{-}Teng Lin and Garry Greenwood and Simon Lucas and Zhengyou Zhang}, title = {{CIS} Publication Spotlight}, journal = {{IEEE} Comput. Intell. Mag.}, volume = {6}, number = {4}, pages = {11--12}, year = {2011}, url = {https://doi.org/10.1109/MCI.2011.942576}, doi = {10.1109/MCI.2011.942576}, timestamp = {Tue, 20 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cim/LiuLGLZ11b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwsr/LiuCYLX11, author = {Fangfang Liu and Yan Chi and Jie Yu and Xiangfeng Luo and Zheng Xu}, title = {Discovery of Web Service Flow based on Service Context}, journal = {Int. J. Web Serv. Res.}, volume = {8}, number = {4}, pages = {29--46}, year = {2011}, url = {https://doi.org/10.4018/ijwsr.2011100102}, doi = {10.4018/IJWSR.2011100102}, timestamp = {Thu, 28 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jwsr/LiuCYLX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/Marchler-BauerLACDDFGGGGHJKLLMMORSTYZZZB11, author = {Aron Marchler{-}Bauer and Shennan Lu and John B. Anderson and Farideh Chitsaz and Myra K. Derbyshire and Carol DeWeese{-}Scott and Jessica H. Fong and Lewis Y. Geer and Renata C. Geer and Noreen R. Gonzales and Marc Gwadz and David I. Hurwitz and John D. Jackson and Zhaoxi Ke and Christopher J. Lanczycki and Fu Lu and Gabriele H. Marchler and Mikhail Mullokandov and Marina V. Omelchenko and Cynthia L. Robertson and James S. Song and Narmada Thanki and Roxanne A. Yamashita and Dachuan Zhang and Naigong Zhang and Chanjuan Zheng and Stephen H. Bryant}, title = {{CDD:} a Conserved Domain Database for the functional annotation of proteins}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {225--229}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq1189}, doi = {10.1093/NAR/GKQ1189}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/Marchler-BauerLACDDFGGGGHJKLLMMORSTYZZZB11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/LiLZLLW11, author = {Zhisheng Li and Ken C. K. Lee and Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee and Xufa Wang}, title = {IR-Tree: An Efficient Index for Geographic Document Search}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {23}, number = {4}, pages = {585--599}, year = {2011}, url = {https://doi.org/10.1109/TKDE.2010.149}, doi = {10.1109/TKDE.2010.149}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/LiLZLLW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/LvCCL11, author = {Zheng Lv and Hao Chen and Feng Chen and Yi Lv}, editor = {Yuping Wang and Yiu{-}ming Cheung and Ping Guo and Yingbin Wei}, title = {Fast Verification of Memory Consistency for Chip Multi-Processor}, booktitle = {Seventh International Conference on Computational Intelligence and Security, {CIS} 2011, Sanya, Hainan, China, December 3-4, 2011}, pages = {1497--1502}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/CIS.2011.334}, doi = {10.1109/CIS.2011.334}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cis/LvCCL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/ZhengLL11, author = {Qinghua Zheng and Junying Luo and Jun Liu}, editor = {Weiming Shen and Jean{-}Paul A. Barth{\`{e}}s and Junzhou Luo and Peter G. Kropf and Michel Pouly and Jianming Yong and Yunjiao Xue and Milton Pires Ramos}, title = {Automatic term extraction from Chinese scientific texts}, booktitle = {Proceedings of the 2011 15th International Conference on Computer Supported Cooperative Work in Design, {CSCWD} 2011, June 8-10, 2011, Lausanne, Switzerland}, pages = {727--734}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/CSCWD.2011.5960199}, doi = {10.1109/CSCWD.2011.5960199}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/cscwd/ZhengLL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/LaiZCHY11, author = {Yuk{-}Ming Lai and Xueling Zheng and Kam{-}Pui Chow and Lucas Chi Kwong Hui and Siu{-}Ming Yiu}, editor = {Xiamu Niu and Mingchu Li and Y{\^{o}}iti Suzuki and Jeng{-}Shyang Pan and Lakhmi C. Jain}, title = {Automatic Online Monitoring and Data-Mining Internet Forums}, booktitle = {Seventh International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2011, Dalian, China, October 14-16, 2011}, pages = {384--387}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IIHMSP.2011.71}, doi = {10.1109/IIHMSP.2011.71}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/LaiZCHY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iih-msp/ZhengLCHY11, author = {Xueling Zheng and Yiu Ming Lai and Kam{-}Pui Chow and Lucas Chi Kwong Hui and Siu{-}Ming Yiu}, editor = {Xiamu Niu and Mingchu Li and Y{\^{o}}iti Suzuki and Jeng{-}Shyang Pan and Lakhmi C. Jain}, title = {Sockpuppet Detection in Online Discussion Forums}, booktitle = {Seventh International Conference on Intelligent Information Hiding and Multimedia Signal Processing, {IIH-MSP} 2011, Dalian, China, October 14-16, 2011}, pages = {374--377}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IIHMSP.2011.69}, doi = {10.1109/IIHMSP.2011.69}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iih-msp/ZhengLCHY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/ZhengSTLLC11, author = {Li Zheng and Chao Shen and Liang Tang and Tao Li and Steven Luis and Shu{-}Ching Chen}, editor = {Chid Apt{\'{e}} and Joydeep Ghosh and Padhraic Smyth}, title = {Applying data mining techniques to address disaster information management challenges on mobile devices}, booktitle = {Proceedings of the 17th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, San Diego, CA, USA, August 21-24, 2011}, pages = {283--291}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2020408.2020457}, doi = {10.1145/2020408.2020457}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/ZhengSTLLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/liss/QinZY11, author = {Lu Qin and Huilin Zheng and Ping Ying}, editor = {Juliang Zhang and Xuewei Li and Zhenji Zhang and Runtong Zhang}, title = {The Analysis of Logistics Network in China Cities based on Logistics Enterprises Internal Links}, booktitle = {{LISS} 2011 - Proceedings of the 1st International Conference on Logistics, Informatics and Service Science, Volume 2, Beijing, China, 8 - 11 June, 2011}, pages = {123--131}, publisher = {SciTePress}, year = {2011}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/liss/QinZY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tac/JianLLLWLLHSCZX11, author = {Xu Jian and Zhengzhong Liu and Qin Lu and Patty Liu and Chenchen Wang and Yan Li and Xiaoning Li and Hanying Huang and Yang Song and Cheng Chang and Liaoming Zhou and Jing Xiao and Dian Yu and Weiran Xu and Guang Chen and Jun Guo}, title = {PolyUCOMP in {TAC} 2011 Entity Linking and Slot-Filling}, booktitle = {Proceedings of the Fourth Text Analysis Conference, {TAC} 2011, Gaithersburg, Maryland, USA, November 14-15, 2011}, publisher = {{NIST}}, year = {2011}, url = {https://tac.nist.gov/publications/2011/participant.papers/PolyUCOMP.proceedings.pdf}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/tac/JianLLLWLLHSCZX11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/WuICZL10, author = {Chun{-}Ho Wu and W. H. Ip and Ching{-}Yuen Chan and Y. Zheng and Z. M. Lu}, title = {A case study on multimedia e-tailing services: can watermarks help build customer relationships?}, journal = {Int. J. Serv. Technol. Manag.}, volume = {14}, number = {1}, pages = {58--76}, year = {2010}, url = {https://doi.org/10.1504/IJSTM.2010.032881}, doi = {10.1504/IJSTM.2010.032881}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijstm/WuICZL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcit/LuZTS10, author = {Jiao{-}Li Lu and Jia{-}Heng Zheng and Hong{-}Ye Tan and Jian Sun}, title = {Chinese Chunking and Consistency Checking Using Rule-Based Method}, journal = {J. Convergence Inf. Technol.}, volume = {5}, number = {10}, pages = {9--14}, year = {2010}, url = {http://www.aicit.org/jcit/ppl/002\_JCIT1-821307.pdf}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcit/LuZTS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/LuYLDB10, author = {Zhenghao Lu and Kiat Seng Yeo and Wei Meng Lim and Manh Anh Do and Chirn Chye Boon}, title = {Design of a {CMOS} Broadband Transimpedance Amplifier With Active Feedback}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {3}, pages = {461--472}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2008.2012262}, doi = {10.1109/TVLSI.2008.2012262}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/LuYLDB10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ZhengH0L10, author = {Guang Zheng and Xiaojuan He and Miao Jiang and Aiping Lu}, title = {Goal based bisimulation for testing therapies in traditional Chinese medicine}, booktitle = {2010 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2010, Hong Kong, December 18, 2010}, pages = {603--608}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/BIBMW.2010.5703869}, doi = {10.1109/BIBMW.2010.5703869}, timestamp = {Wed, 24 Nov 2021 15:06:06 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ZhengH0L10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscslp/LiFHZWD10, author = {Aijun Li and Qiang Fang and Fang Hu and Lu Zheng and Hong Wang and Jianwu Dang}, title = {Acoustic and articulatory analysis on Mandarin Chinese vowels in emotional speech}, booktitle = {7th International Symposium on Chinese Spoken Language Processing, {ISCSLP} 2010, November 29 2010-December 3, 2010, Tainan {\&} Sun Moon Lake, Taiwan}, pages = {38--43}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCSLP.2010.5684866}, doi = {10.1109/ISCSLP.2010.5684866}, timestamp = {Thu, 06 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscslp/LiFHZWD10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/ZhengSTLLCH10, author = {Li Zheng and Chao Shen and Liang Tang and Tao Li and Steven Luis and Shu{-}Ching Chen and Vagelis Hristidis}, editor = {Bharat Rao and Balaji Krishnapuram and Andrew Tomkins and Qiang Yang}, title = {Using data mining techniques to address critical information exchange needs in disaster affected public-private networks}, booktitle = {Proceedings of the 16th {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, Washington, DC, USA, July 25-28, 2010}, pages = {125--134}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1835804.1835823}, doi = {10.1145/1835804.1835823}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/kdd/ZhengSTLLCH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mvhi/ZhaoGZJ10, author = {Jing{-}ying Zhao and Hai Guo and Zhenhong Zheng and Nan Jiang}, editor = {Honghua Tan}, title = {The Implemention of Chinese-Tai Lue Electronic Dictionary Based on C{\#}}, booktitle = {2010 International Conference on Machine Vision and Human-machine Interface, {MVHI} 2010, Kaifeng, China, April 24-25, 2010}, pages = {300--303}, publisher = {{IEEE} Computer Soceity}, year = {2010}, url = {https://doi.org/10.1109/MVHI.2010.164}, doi = {10.1109/MVHI.2010.164}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mvhi/ZhaoGZJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcsp/XuZWMST10, author = {Yong Xu and Fei Zhao and Yuanliang Wu and Rui Min and Zheng Sun and Lu Tang}, title = {Single chip design of closed-loop class {D} audio power amplifier}, booktitle = {International Conference on Wireless Communications and Signal Processing, {WCSP} 2010, Suzhou, China, 21-23 October, 2010}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/WCSP.2010.5633477}, doi = {10.1109/WCSP.2010.5633477}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/wcsp/XuZWMST10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/NiYCL09, author = {Xiaoli Ni and Hong Yan and Silu Chen and Zhengwen Liu}, title = {Factors Influencing Internet Addiction in a Sample of Freshmen University Students in China}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {12}, number = {3}, pages = {327--330}, year = {2009}, url = {https://doi.org/10.1089/cpb.2008.0321}, doi = {10.1089/CPB.2008.0321}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/NiYCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ZhengLLSCL09, author = {Hui Zheng and Shuzuo Lou and Dongtian Lu and Cheng Shen and Tatfu Chan and Howard C. Luong}, title = {A 3.1 GHz-8.0 GHz Single-Chip Transceiver for {MB-OFDM} {UWB} in 0.18-{\(\mathrm{\mu}\)}m {CMOS} Process}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {2}, pages = {414--426}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2008.2010758}, doi = {10.1109/JSSC.2008.2010758}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ZhengLLSCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/ZhengLLLD09, author = {Baihua Zheng and Wang{-}Chien Lee and Peng Liu and Dik Lun Lee and Xuhua Ding}, title = {Tuning On-Air Signatures for Balancing Performance and Confidentiality}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {21}, number = {12}, pages = {1783--1797}, year = {2009}, url = {https://doi.org/10.1109/TKDE.2009.43}, doi = {10.1109/TKDE.2009.43}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tkde/ZhengLLLD09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/ZhengLLLS09, author = {Baihua Zheng and Wang{-}Chien Lee and Ken C. K. Lee and Dik Lun Lee and Min Shao}, title = {A distributed spatial index for error-prone wireless data broadcast}, journal = {{VLDB} J.}, volume = {18}, number = {4}, pages = {959--986}, year = {2009}, url = {https://doi.org/10.1007/s00778-009-0137-2}, doi = {10.1007/S00778-009-0137-2}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/ZhengLLLS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/WiorZLZGL09, author = {Ireneus Rudolf Wior and Zheng Zhao and Ming Luo and Jing{-}Bing Zhang and Shuzhi Sam Ge and Hoong Chuin Lau}, title = {Conceptual framework of a dynamic resource allocation test bed and its practical realization with ProModel}, booktitle = {Proceedings of the {IEEE} International Conference on Control Applications, {CCA} 2009 and of the International Symposium on Intelligent Control, {ISIC} 2009, Saint Petersburg, Russia, July 8-10, 2009}, pages = {1613--1618}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CCA.2009.5281132}, doi = {10.1109/CCA.2009.5281132}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/WiorZLZGL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl/YangZLZY09, author = {Yuhang Yang and Tiejun Zhao and Qin Lu and Dequan Zheng and Hao Yu}, title = {Chinese Term Extraction Using Different Types of Relevance}, booktitle = {{ACL} 2009, Proceedings of the 47th Annual Meeting of the Association for Computational Linguistics and the 4th International Joint Conference on Natural Language Processing of the AFNLP, 2-7 August 2009, Singapore, Short Papers}, pages = {213--216}, publisher = {The Association for Computer Linguistics}, year = {2009}, url = {https://aclanthology.org/P09-2054/}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl/YangZLZY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ZhengHLTZS09, author = {Xiaolin Zheng and Nan Hu and Hongyan Luo and Liwen Tan and Shaoxiang Zhang and Jinlu Shan}, title = {A Network-Based Environment of Female Pelvic Region for Anatomical Education Based on Chinese Visible Human Datasets}, booktitle = {Proceedings of the 2nd International Conference on BioMedical Engineering and Informatics, {BMEI} 2009, October 17-19, 2009, Tianjin, China}, pages = {1--3}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/BMEI.2009.5305049}, doi = {10.1109/BMEI.2009.5305049}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/ZhengHLTZS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fskd/JiLC09, author = {Jun{-}wen Ji and Zheng{-}ding Lu and Xiao{-}Su Chen}, editor = {Yixin Chen and Hepu Deng and Degan Zhang and Yingyuan Xiao}, title = {Similarity Computation Based on Feature Extraction for Off-line Chinese Signature Verification}, booktitle = {Sixth International Conference on Fuzzy Systems and Knowledge Discovery, {FSKD} 2009, Tianjin, China, 14-16 August 2009, 6 Volumes}, pages = {291--295}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/FSKD.2009.597}, doi = {10.1109/FSKD.2009.597}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fskd/JiLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pam/FuHSCL09, author = {Tom Z. J. Fu and Yan Hu and Xingang Shi and Dah{-}Ming Chiu and John C. S. Lui}, editor = {Sue B. Moon and Renata Teixeira and Steve Uhlig}, title = {{PBS:} Periodic Behavioral Spectrum of {P2P} Applications}, booktitle = {Passive and Active Network Measurement, 10th International Conference, {PAM} 2009, Seoul, Korea, April 1-3, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5448}, pages = {155--164}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-00975-4\_16}, doi = {10.1007/978-3-642-00975-4\_16}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/pam/FuHSCL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fcsc/PangLD08, author = {Jun Pang and Zhengqin Luo and Yuxin Deng}, title = {On automatic verification of self-stabilizing population protocols}, journal = {Frontiers Comput. Sci. China}, volume = {2}, number = {4}, pages = {357--367}, year = {2008}, url = {https://doi.org/10.1007/s11704-008-0040-9}, doi = {10.1007/S11704-008-0040-9}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fcsc/PangLD08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WangLCRLZCMLLT08, author = {Wenting Wang and Shuzuo Lou and Kay W. C. Chui and Sujiang Rong and Chi Fung Lok and Hui Zheng and Hin{-}Tat Chan and Sau{-}Wing Man and Howard C. Luong and Vincent K. N. Lau and Chi{-}Ying Tsui}, title = {A Single-Chip {UHF} {RFID} Reader in 0.18 {\(\mathrm{\mu}\)}m {CMOS} Process}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {8}, pages = {1741--1754}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.925601}, doi = {10.1109/JSSC.2008.925601}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WangLCRLZCMLLT08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinagrid/LiangDLLLZW08, author = {Yi Liang and Rui{-}Hua Di and Hao Long and Li Wang and Xuming Luo and Zheng Zhang and Zi{-}jun Weng}, title = {Grid-Enabled Distributed Structural Engineering Experiment Management}, booktitle = {The Third ChinaGrid Annual Conference, ChinaGrid 2008, Dunhuang, Gansu, Chian, 20-22 August, 2008}, pages = {243--252}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ChinaGrid.2008.36}, doi = {10.1109/CHINAGRID.2008.36}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chinagrid/LiangDLLLZW08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ZhengRZSZ08, author = {Linlin Zheng and Saul Rodriguez and Lu Zhang and Botao Shao and Li{-}Rong Zheng}, title = {Design and implementation of a fully reconfigurable chipless {RFID} tag using Inkjet printing technology}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2008), 18-21 May 2008, Sheraton Seattle Hotel, Seattle, Washington, {USA}}, pages = {1524--1527}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISCAS.2008.4541720}, doi = {10.1109/ISCAS.2008.4541720}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/ZhengRZSZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sce/LuoWZ08, author = {Yingwei Luo and Xiaolin Wang and Zhengyi Zhang}, editor = {Chuang Lin and Andrew D. McGettrick}, title = {Programming grid: a computer-aided education system for programming courses based on online judge}, booktitle = {First {ACM} Summit on Computing Education in China, Beijing, China, October 24-25, 2008, Proceedings}, series = {{ACM} International Conference Proceeding Series}, volume = {368}, pages = {10}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1517632.1517643}, doi = {10.1145/1517632.1517643}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sce/LuoWZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/HuangFCLH08, author = {Yan Huang and Tom Z. J. Fu and Dah{-}Ming Chiu and John C. S. Lui and Cheng Huang}, editor = {Victor Bahl and David Wetherall and Stefan Savage and Ion Stoica}, title = {Challenges, design and analysis of a large-scale p2p-vod system}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2008 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications, Seattle, WA, USA, August 17-22, 2008}, pages = {375--388}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1402958.1403001}, doi = {10.1145/1402958.1403001}, timestamp = {Wed, 21 Jul 2021 16:09:54 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/HuangFCLH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wkdd/ZhengZ08, author = {Xinqi Zheng and Lu Zhao}, title = {Association Rule Analysis of Spatial Data Mining Based on Matlab-A Case of Ancheng Township in China}, booktitle = {Proceedings of the International Workshop on Knowledge Discovery and Data Mining, {WKDD} 2008, Adelaide, Australia, 23-24 January 2008}, pages = {76--80}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WKDD.2008.21}, doi = {10.1109/WKDD.2008.21}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wkdd/ZhengZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/LingYZL07, author = {Hefei Ling and Wugang Yuan and Fuhao Zou and Zhengding Lu}, title = {Log-scaling magnitude modulated watermarking scheme}, journal = {Sci. China Ser. {F} Inf. Sci.}, volume = {50}, number = {3}, pages = {470--490}, year = {2007}, url = {https://doi.org/10.1007/s11432-007-0022-9}, doi = {10.1007/S11432-007-0022-9}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/LingYZL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeungLLNWWWZCL07, author = {Lincoln Lai Kan Leung and Dennis M. C. Lau and Shuzuo Lou and Alan W. L. Ng and Rachel Dan Wang and Gary Wing{-}Kei Wong and Patrick Y. Wu and Hui Zheng and Vincent Sin{-}Luen Cheung and Howard C. Luong}, title = {A 1-V 86-mW-RX 53-mW-TX Single-Chip {CMOS} Transceiver for {WLAN} {IEEE} 802.11a}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {9}, pages = {1986--1998}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2007.903060}, doi = {10.1109/JSSC.2007.903060}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeungLLNWWWZCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ZhengLL07, author = {Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, title = {On Searching Continuous k Nearest Neighbors in Wireless Data Broadcast Systems}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {6}, number = {7}, pages = {748--761}, year = {2007}, url = {https://doi.org/10.1109/TMC.2007.1004}, doi = {10.1109/TMC.2007.1004}, timestamp = {Sat, 16 Sep 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ZhengLL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/WangLCRLZCMLLT07, author = {Wenting Wang and Shuzuo Lou and Kay W. C. Chui and Sujiang Rong and Chi Fung Lok and Hui Zheng and Hin{-}Tat Chan and Adam S. W. Man and Howard C. Luong and Vincent Kin Nang Lau and Chi{-}Ying Tsui}, title = {Single-Chip {UHF} {RFID} reader in 0.18- {\(\mu\)}m {CMOS}}, booktitle = {Proceedings of the {IEEE} 2007 Custom Integrated Circuits Conference, {CICC} 2007, DoubleTree Hotel, San Jose, California, USA, September 16-19, 2007}, pages = {111--114}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/CICC.2007.4405693}, doi = {10.1109/CICC.2007.4405693}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/WangLCRLZCMLLT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icai/YouLY07, author = {Lu{-}Jin You and Guo{-}Zheng Li and Jack Y. Yang}, editor = {Hamid R. Arabnia and Mary Qu Yang and Jack Y. Yang}, title = {Support Vector Machines Applied to Research of Ancient Chinese Porcelain: Modeling of Jun Glaze}, booktitle = {Proceedings of the 2007 International Conference on Artificial Intelligence, {ICAI} 2007, Volume II, June 25-28, 2007, Las Vegas, Nevada, {USA}}, pages = {653--658}, publisher = {{CSREA} Press}, year = {2007}, timestamp = {Fri, 13 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icai/YouLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/YuHMLQM07, author = {Zhengtao Yu and Lu Han and Cunli Mao and Yunwei Li and Yanxia Qiu and Xiangyan Meng}, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {Answer Extracting Based on Passage Retrieval in Chinese Question Answering System}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {4490}, pages = {598--605}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72590-9\_88}, doi = {10.1007/978-3-540-72590-9\_88}, timestamp = {Tue, 08 Nov 2022 08:34:37 +0100}, biburl = {https://dblp.org/rec/conf/iccS/YuHMLQM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwqos/FuCL07, author = {Tom Z. J. Fu and Dah{-}Ming Chiu and John C. S. Lui}, title = {Performance metrics and configuration strategies for group network communication}, booktitle = {Fifteenth {IEEE} International Workshop on Quality of Service, IWQoS 2007, Evanston, Illinois, USA, June 21-22, 2007}, pages = {173--181}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IWQOS.2007.376564}, doi = {10.1109/IWQOS.2007.376564}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/iwqos/FuCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/ZhengXLL06, author = {Baihua Zheng and Jianliang Xu and Wang{-}Chien Lee and Dik Lun Lee}, title = {Grid-partition index: a hybrid method for nearest-neighbor queries in wireless location-based services}, journal = {{VLDB} J.}, volume = {15}, number = {1}, pages = {21--39}, year = {2006}, url = {https://doi.org/10.1007/s00778-004-0146-0}, doi = {10.1007/S00778-004-0146-0}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/ZhengXLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicling/SunZTL06, author = {Maosong Sun and Zhengcao Zhang and Benjamin Ka{-}Yin T'sou and Huaming Lu}, editor = {Alexander F. Gelbukh}, title = {Word Frequency Approximation for Chinese Without Using Manually-Annotated Corpus}, booktitle = {Computational Linguistics and Intelligent Text Processing, 7th International Conference, CICLing 2006, Mexico City, Mexico, February 19-25, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3878}, pages = {105--116}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11671299\_13}, doi = {10.1007/11671299\_13}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicling/SunZTL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/NiuLLLM06, author = {Shuwen Niu and Guozhu Li and Zhengguang Liu and Liang Luo and Caihong Meng}, title = {Study on Population Distribution Characteristics and Pattern Based on {RS} and {GIS} in Mountainous Region: {A} Case Study of Tianshui District, China}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2006, July 31 - August 4, 2006, Denver, Colorado, USA, Proceedings}, pages = {2130--2132}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IGARSS.2006.551}, doi = {10.1109/IGARSS.2006.551}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/NiuLLLM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/ShenHFLGZMP06, author = {Li Shen and Heng Huang and James Ford and Chia{-}Hsin Lu and Ling Gao and Wei Zheng and Fillia Makedon and Justin D. Pearlman}, editor = {Kevin R. Cleary and Robert L. Galloway Jr.}, title = {Spatio-temporal analysis tool for modeling pulmonary nodules in {MR} images}, booktitle = {Medical Imaging 2006: Visualization, Image-Guided Procedures, and Display, San Diego, California, United States, 11-16 February 2006}, series = {{SPIE} Proceedings}, volume = {6141}, pages = {61412I}, publisher = {{SPIE}}, year = {2006}, url = {https://doi.org/10.1117/12.654469}, doi = {10.1117/12.654469}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miigp/ShenHFLGZMP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/LiangPYS06, author = {Zheng Liang and Juha Plosila and Lu Yan and Kaisa Sere}, title = {Implementing a Self-Timed Low-Power Java Accelerator for Network-on-Chip Applications}, booktitle = {Seventh International Conference on Parallel and Distributed Computing, Applications and Technologies {(PDCAT} 2006), 4-7 December 2006, Taipei, Taiwan}, pages = {344--347}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/PDCAT.2006.72}, doi = {10.1109/PDCAT.2006.72}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/LiangPYS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wise/ZhangZLW06, author = {Mao{-}yuan Zhang and Chun{-}yan Zou and Zhengding Lu and Zhigang Wang}, editor = {Karl Aberer and Zhiyong Peng and Elke A. Rundensteiner and Yanchun Zhang and Xuhui Li}, title = {A Semantic Matching of Information Segments for Tolerating Error Chinese Words}, booktitle = {Web Information Systems - {WISE} 2006, 7th International Conference on Web Information Systems Engineering, Wuhan, China, October 23-26, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4255}, pages = {48--59}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11912873\_8}, doi = {10.1007/11912873\_8}, timestamp = {Tue, 18 Jun 2019 16:48:53 +0200}, biburl = {https://dblp.org/rec/conf/wise/ZhangZLW06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/TanLZLL05, author = {Qingzhao Tan and Wang{-}Chien Lee and Baihua Zheng and Peng Liu and Dik Lun Lee}, editor = {Otthein Herzog and Hans{-}J{\"{o}}rg Schek and Norbert Fuhr and Abdur Chowdhury and Wilfried Teiken}, title = {Balancing performance and confidentiality in air index}, booktitle = {Proceedings of the 2005 {ACM} {CIKM} International Conference on Information and Knowledge Management, Bremen, Germany, October 31 - November 5, 2005}, pages = {800--807}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1099554.1099743}, doi = {10.1145/1099554.1099743}, timestamp = {Thu, 11 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cikm/TanLZLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/HuWLZX05, author = {Haibo Hu and Jianliang Xu and Wing Sing Wong and Baihua Zheng and Dik Lun Lee and Wang{-}Chien Lee}, editor = {Karl Aberer and Michael J. Franklin and Shojiro Nishio}, title = {Proactive Caching for Spatial Queries in Mobile Environments}, booktitle = {Proceedings of the 21st International Conference on Data Engineering, {ICDE} 2005, 5-8 April 2005, Tokyo, Japan}, pages = {403--414}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICDE.2005.113}, doi = {10.1109/ICDE.2005.113}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/HuWLZX05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/LiangPYS05, author = {Zheng Liang and Juha Plosila and Lu Yan and Kaisa Sere}, title = {On-chip Debug for an Asynchronous Java Accelerator}, booktitle = {Sixth International Conference on Parallel and Distributed Computing, Applications and Technologies {(PDCAT} 2005), 5-8 December 2005, Dalian, China}, pages = {312--315}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/PDCAT.2005.175}, doi = {10.1109/PDCAT.2005.175}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pdcat/LiangPYS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ShaoLB04, author = {Chao Shao and Guangyue Lu and Zheng Bao}, title = {Some properties of the alternating separation (AS), alternating projection {(AP)} and {ASAP} algorithm}, journal = {Sci. China Ser. {F} Inf. Sci.}, volume = {47}, number = {4}, pages = {409--420}, year = {2004}, url = {https://doi.org/10.1007/BF02901655}, doi = {10.1007/BF02901655}, timestamp = {Sat, 31 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ShaoLB04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ZhangLZ04, author = {Mao{-}yuan Zhang and Zhengding Lu and Chun{-}yan Zou}, title = {A Chinese word segmentation based on language situation in processing ambiguous words}, journal = {Inf. Sci.}, volume = {162}, number = {3-4}, pages = {275--285}, year = {2004}, url = {https://doi.org/10.1016/j.ins.2003.09.010}, doi = {10.1016/J.INS.2003.09.010}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/ZhangLZ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tkde/XuZLL04, author = {Jianliang Xu and Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, title = {The D-Tree: An Index Structure for Planar Point Queries in Location-Based Wireless Services}, journal = {{IEEE} Trans. Knowl. Data Eng.}, volume = {16}, number = {12}, pages = {1526--1542}, year = {2004}, url = {https://doi.org/10.1109/TKDE.2004.97}, doi = {10.1109/TKDE.2004.97}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tkde/XuZLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ZhengLL04, author = {Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, title = {On Semantic Caching and Query Scheduling for Mobile Nearest-Neighbor Search}, journal = {Wirel. Networks}, volume = {10}, number = {6}, pages = {653--664}, year = {2004}, url = {https://doi.org/10.1023/B:WINE.0000044026.38487.b2}, doi = {10.1023/B:WINE.0000044026.38487.B2}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ZhengLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/ZhengLL04a, author = {Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, title = {Spatial Queries in Wireless Broadcast Systems}, journal = {Wirel. Networks}, volume = {10}, number = {6}, pages = {723--736}, year = {2004}, url = {https://doi.org/10.1023/B:WINE.0000044031.03597.97}, doi = {10.1023/B:WINE.0000044031.03597.97}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/ZhengLL04a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/edbt/ZhengXLL04, author = {Baihua Zheng and Jianliang Xu and Wang{-}Chien Lee and Dik Lun Lee}, editor = {Elisa Bertino and Stavros Christodoulakis and Dimitris Plexousakis and Vassilis Christophides and Manolis Koubarakis and Klemens B{\"{o}}hm and Elena Ferrari}, title = {Energy-Conserving Air Indexes for Nearest Neighbor Search}, booktitle = {Advances in Database Technology - {EDBT} 2004, 9th International Conference on Extending Database Technology, Heraklion, Crete, Greece, March 14-18, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2992}, pages = {48--66}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24741-8\_5}, doi = {10.1007/978-3-540-24741-8\_5}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/edbt/ZhengXLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/MesserKSHKCMBSCVMLSCPVBSWYHHHMTMWWXMYFDLGSPR04, author = {Kieron Messer and Josef Kittler and Mohammad Sadeghi and Miroslav Hamouz and Alexey Kostin and Fabien Cardinaux and S{\'{e}}bastien Marcel and Samy Bengio and Conrad Sanderson and Jacek Czyz and Luc Vandendorpe and Chris McCool and Scott Lowther and Sridha Sridharan and Vinod Chandran and Roberto Paredes Palacios and Enrique Vidal and Li Bai and LinLin Shen and Yan Wang and Yueh{-}Hsuan Chiang and Hsien{-}Chang Liu and Yi{-}Ping Hung and Alexander Heinrichs and Marco K. M{\"{u}}ller and Andreas Tewes and Christoph von der Malsburg and Rolf P. W{\"{u}}rtz and Zhenger Wang and Feng Xue and Yong Ma and Qiong Yang and Chi Fang and Xiaoqing Ding and Simon Lucey and Ralph Goss and Henry Schneiderman and Norman Poh and Yann Rodriguez}, title = {Face Authentication Test on the {BANCA} Database}, booktitle = {17th International Conference on Pattern Recognition, {ICPR} 2004, Cambridge, UK, August 23-26, 2004}, pages = {523--532}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICPR.2004.1333826}, doi = {10.1109/ICPR.2004.1333826}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/MesserKSHKCMBSCVMLSCPVBSWYHHHMTMWWXMYFDLGSPR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobiquitous/ZhengLL04, author = {Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, title = {Search Continuous Nearest Neighbors on the Air}, booktitle = {1st Annual International Conference on Mobile and Ubiquitous Systems (MobiQuitous 2004), Networking and Services, 22-25 August 2004, Cambridge, MA, {USA}}, pages = {236--245}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/MOBIQ.2004.1331730}, doi = {10.1109/MOBIQ.2004.1331730}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobiquitous/ZhengLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cars/FuJDCWLGLZDMLHPGCLWCX03, author = {Haihong Fu and Zhengyu Jin and Jianping Dai and Kemin Chen and Tao Wang and Tie Li and Peiyi Gao and Kuncheng Li and Cheng Zhou and Xiangke Du and Jingtao Miao and Baoluo Li and Yusheng He and Minchen Peng and Qiyong Guo and Chunhua Chai and Min Luo and Xiaolin Wang and Jinxiong Chen and Ji Xie}, editor = {Heinz U. Lemke and Michael W. Vannier and Kiyonari Inamura and Allan G. Farman and Kunio Doi and Johan H. C. Reiber}, title = {Picture archiving and communication system in China: the development, problem, and integrating strategy with {IHE}}, booktitle = {{CARS} 2003. Computer Assisted Radiology and Surgery. Proceedings of the 17th International Congress and Exhibition, London, UK, June 25-28, 2003}, series = {International Congress Series}, volume = {1256}, pages = {915--923}, publisher = {Elsevier}, year = {2003}, timestamp = {Wed, 20 Jun 2018 11:44:37 +0200}, biburl = {https://dblp.org/rec/conf/cars/FuJDCWLGLZDMLHPGCLWCX03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/XuZLL03, author = {Jianliang Xu and Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, editor = {Umeshwar Dayal and Krithi Ramamritham and T. M. Vijayaraman}, title = {Energy Efficient Index for Querying Location-Dependent Data in Mobile Broadcast Environments}, booktitle = {Proceedings of the 19th International Conference on Data Engineering, March 5-8, 2003, Bangalore, India}, pages = {239--250}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICDE.2003.1260796}, doi = {10.1109/ICDE.2003.1260796}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/XuZLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mdm/ZhengLL03, author = {Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, editor = {Ming{-}Syan Chen and Panos K. Chrysanthis and Morris Sloman and Arkady B. Zaslavsky}, title = {Search {K} Nearest Neighbors on Air}, booktitle = {Mobile Data Management, 4th International Conference, {MDM} 2003, Melbourne, Australia, January 21-24, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2574}, pages = {181--195}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-36389-0\_13}, doi = {10.1007/3-540-36389-0\_13}, timestamp = {Sat, 09 Apr 2022 12:46:41 +0200}, biburl = {https://dblp.org/rec/conf/mdm/ZhengLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/ZhengLL03, author = {Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, title = {Spatial Index on Air}, booktitle = {Proceedings of the First {IEEE} International Conference on Pervasive Computing and Communications (PerCom'03), March 23-26, 2003, Fort Worth, Texas, {USA}}, pages = {297--304}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/PERCOM.2003.1192753}, doi = {10.1109/PERCOM.2003.1192753}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/ZhengLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/ZhengLL03, author = {Baihua Zheng and Wang{-}Chien Lee and Dik Lun Lee}, editor = {Gary B. Lamont and Hisham Haddad and George A. Papadopoulos and Brajendra Panda}, title = {Selecting the Best Valid Scopes for Wireless Dissemination of Location-dependent Data}, booktitle = {Proceedings of the 2003 {ACM} Symposium on Applied Computing (SAC), March 9-12, 2003, Melbourne, FL, {USA}}, pages = {860--865}, publisher = {{ACM}}, year = {2003}, url = {https://doi.org/10.1145/952532.952703}, doi = {10.1145/952532.952703}, timestamp = {Tue, 06 Nov 2018 11:06:45 +0100}, biburl = {https://dblp.org/rec/conf/sac/ZhengLL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ShuZLHW02, author = {Jiwu Shu and Weimin Zheng and Qin Lu and Han{-}Chen Huang and Waion Wong}, title = {Parallel computing for lattice Monte Carlo simulation of large-scale thin film growth}, journal = {Sci. China Ser. {F} Inf. Sci.}, volume = {45}, number = {2}, pages = {103--110}, year = {2002}, url = {https://doi.org/10.1360/02yf9008}, doi = {10.1360/02YF9008}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ShuZLHW02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pervasive/LeeXZL02, author = {Dik Lun Lee and Jianliang Xu and Baihua Zheng and Wang{-}Chien Lee}, title = {Data Management in Location-Dependent Information Services}, journal = {{IEEE} Pervasive Comput.}, volume = {1}, number = {3}, pages = {65--72}, year = {2002}, url = {https://doi.org/10.1109/MPRV.2002.1037724}, doi = {10.1109/MPRV.2002.1037724}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pervasive/LeeXZL02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cocoon/LuST01, author = {Chin Lung Lu and Zheng{-}Yao Su and Chuan Yi Tang}, editor = {Jie Wang}, title = {A New Measure of Edit Distance between Labeled Trees}, booktitle = {Computing and Combinatorics, 7th Annual International Conference, {COCOON} 2001, Guilin, China, August 20-23, 2001, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2108}, pages = {338--348}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-44679-6\_37}, doi = {10.1007/3-540-44679-6\_37}, timestamp = {Mon, 03 Aug 2020 14:08:01 +0200}, biburl = {https://dblp.org/rec/conf/cocoon/LuST01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ZhangZLLZ01, author = {Jiyong Zhang and Thomas Fang Zheng and Jing Li and Chunhua Luo and Guoliang Zhang}, editor = {Paul Dalsgaard and B{\o}rge Lindberg and Henrik Benner and Zheng{-}Hua Tan}, title = {Improved context-dependent acoustic modeling for continuous Chinese speech recognition}, booktitle = {{EUROSPEECH} 2001 Scandinavia, 7th European Conference on Speech Communication and Technology, 2nd {INTERSPEECH} Event, Aalborg, Denmark, September 3-7, 2001}, pages = {1617--1620}, publisher = {{ISCA}}, year = {2001}, url = {https://doi.org/10.21437/Eurospeech.2001-196}, doi = {10.21437/EUROSPEECH.2001-196}, timestamp = {Thu, 22 Jun 2023 16:42:18 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ZhangZLLZ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/WangZLCY00, author = {Bei Wang and Bo Zheng and Shinan Lu and Jianfen Cao and Yufang Yang}, title = {The pitch movement of word stress in Chinese}, booktitle = {Sixth International Conference on Spoken Language Processing, {ICSLP} 2000 / {INTERSPEECH} 2000, Beijing, China, October 16-20, 2000}, pages = {163--166}, publisher = {{ISCA}}, year = {2000}, url = {https://doi.org/10.21437/ICSLP.2000-503}, doi = {10.21437/ICSLP.2000-503}, timestamp = {Thu, 22 Jun 2023 16:42:19 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/WangZLCY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ZhengWYLC00, author = {Bo Zheng and Bei Wang and Yufang Yang and Shinan Lu and Jianfen Cao}, title = {The regular accent in Chinese sentences}, booktitle = {Sixth International Conference on Spoken Language Processing, {ICSLP} 2000 / {INTERSPEECH} 2000, Beijing, China, October 16-20, 2000}, pages = {86--89}, publisher = {{ISCA}}, year = {2000}, url = {https://doi.org/10.21437/ICSLP.2000-21}, doi = {10.21437/ICSLP.2000-21}, timestamp = {Thu, 22 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ZhengWYLC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/ZhengDWL99, author = {Jing Zheng and Xiaoqing Ding and Youshou Wu and Zhan Lu}, title = {Spatio-temporal Unified Model for On-line Handwritten Chinese Character Recognition}, booktitle = {Fifth International Conference on Document Analysis and Recognition, {ICDAR} 1999, 20-22 September, 1999, Bangalore, India}, pages = {649--652}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ICDAR.1999.791871}, doi = {10.1109/ICDAR.1999.791871}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/ZhengDWL99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LuoW97, author = {Zheng Luo and Chwan{-}Hwa Wu}, title = {A unit decomposition technique using fuzzy logic for real-time handwritten Chinese character recognition}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {44}, number = {6}, pages = {840--847}, year = {1997}, url = {https://doi.org/10.1109/41.649945}, doi = {10.1109/41.649945}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LuoW97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.