![](https://dblp.uni-trier.de/img/logo.ua.320x120.png)
![](https://dblp.uni-trier.de/img/dropdown.dark.16x16.png)
![](https://dblp.uni-trier.de/img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
![search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "Young-kyu Choi"
@article{DBLP:journals/access/ChoiK24c, author = {Young{-}kyu Choi and Changsoo Kim}, title = {FYalSAT: High-Throughput Stochastic Local Search {K-SAT} Solver on {FPGA}}, journal = {{IEEE} Access}, volume = {12}, pages = {65503--65512}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3397330}, doi = {10.1109/ACCESS.2024.3397330}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiK24c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKSKCLBLKL24, author = {Chanyoung Park and Hongbum Kim and Jungwon Suh and Jinhee Ko and Jun Hwan Choi and Sang Yoon Lee and Jaewon Beom and Jae{-}Young Lim and Bo Ryun Kim and Hyo Kyung Lee}, title = {Multivariate Time-Series Cluster Analysis for Multiple Functional Domains to Identify Recovery Patterns of Patients With Fragility Hip Fracture After Surgery}, journal = {{IEEE} Access}, volume = {12}, pages = {48699--48712}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3383786}, doi = {10.1109/ACCESS.2024.3383786}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKSKCLBLKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeKLHIBCWL24, author = {Kyu{-}Ho Lee and Dongseok Kwon and In{-}Seok Lee and Joon Hwang and Jiseong Im and Jong{-}Ho Bae and Woo Young Choi and Sung Yun Woo and Jong{-}Ho Lee}, title = {Si-Based Dual-Gate Field-Effect Transistor Array for Low-Power On-Chip Trainable Hardware Neural Networks}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {1}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300490}, doi = {10.1002/AISY.202300490}, timestamp = {Tue, 16 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeKLHIBCWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LeeSLBJCPCKYKCLYZSK24, author = {Jong Hyeon Lee and Jae Woo Shim and Min Hyuk Lim and Changhoon Baek and Byoungjun Jeon and Minwoo Cho and Sungwoo Park and Dong Hyun Choi and Byeong Soo Kim and Dan Yoon and Young Gyun Kim and Seung Yeon Cho and Kyung{-}Min Lee and Myoung{-}Souk Yeo and Hangman Zo and Sang Do Shin and Sungwan Kim}, title = {Towards optimal design of patient isolation units in emergency rooms to prevent airborne virus transmission: From computational fluid dynamics to data-driven modeling}, journal = {Comput. Biol. Medicine}, volume = {173}, pages = {108309}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108309}, doi = {10.1016/J.COMPBIOMED.2024.108309}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LeeSLBJCPCKYKCLYZSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ParkKOC24, author = {Kyungchul Park and Sungjoon Kim and Min{-}Hye Oh and Woo Young Choi}, title = {Resting-potential-adjustable soft-reset integrate-and-fire neuron model for highly reliable and energy-efficient hardware-based spiking neural networks}, journal = {Neurocomputing}, volume = {590}, pages = {127762}, year = {2024}, url = {https://doi.org/10.1016/j.neucom.2024.127762}, doi = {10.1016/J.NEUCOM.2024.127762}, timestamp = {Mon, 27 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ParkKOC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iot/ChoKKCL24, author = {Anna Cho and TaeYoung Kim and Chang Kyung Kim and Sieun Choi and SuKyoung Lee}, title = {IoT data dissemination scheme for reducing delay in multi-broker environments}, journal = {Internet Things}, volume = {25}, pages = {101025}, year = {2024}, url = {https://doi.org/10.1016/j.iot.2023.101025}, doi = {10.1016/J.IOT.2023.101025}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iot/ChoKKCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimYLCCLLKYDKKLTKLSB24, author = {Jeongkyun Kim and Byungho Yook and Youngo Lee and Taemin Choi and Kyuwon Choi and Chanho Lee and Juchang Lee and Hyeongcheol Kim and Seok Yun and Changhoon Do and Minwoo Kwak and Mijoung Kim and Yunrong Li and Hoyoung Tang and Jaeyoung Kim and Inhak Lee and Dongwook Seo and Sangyeop Baeck}, title = {A 4.13-GHz {UHS} Pseudo Two-Port {SRAM} With {BL} Charge Time Reduction and Flying Word-Line for {HPC} Applications in 4-nm FinFET Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1216--1224}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3355948}, doi = {10.1109/JSSC.2024.3355948}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimYLCCLLKYDKKLTKLSB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/LeeCYCGSJKYJLJLKCKKKKK24, author = {Kyung Hwa Lee and Gwang Hyeon Choi and Jihye Yun and Jonggi Choi and Myung Ji Goh and Dong Hyun Sinn and Young Joo Jin and Minseok Albert Kim and Su Jong Yu and Sangmi Jang and Soon Kyu Lee and Jeong Won Jang and Jae Seung Lee and Do Young Kim and Young Youn Cho and Hyung Joon Kim and Sehwa Kim and Ji Hoon Kim and Namkug Kim and Kang Mo Kim}, title = {Machine learning-based clinical decision support system for treatment recommendation and overall survival prediction of hepatocellular carcinoma: a multi-center study}, journal = {npj Digit. Medicine}, volume = {7}, number = {1}, year = {2024}, url = {https://doi.org/10.1038/s41746-023-00976-8}, doi = {10.1038/S41746-023-00976-8}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/LeeCYCGSJKYJLJLKCKKKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/HurOKKLCMKAC24, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Jiyoun Kim and Min Jae Lee and Eunbyeol Cho and Seong{-}Eun Moon and Young{-}Hak Kim and Louis Atallah and Edward Choi}, title = {GenHPF: General Healthcare Predictive Framework for Multi-Task Multi-Source Learning}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {28}, number = {1}, pages = {502--513}, year = {2024}, url = {https://doi.org/10.1109/JBHI.2023.3327951}, doi = {10.1109/JBHI.2023.3327951}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/HurOKKLCMKAC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChoiLLS24, author = {Jong In Choi and Won Kyung Lee and Jae Hwan Lee and So Young Sohn}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {Identifying Guarantors of War Veterans Using Robust-SEAL: {A} Case of the Korean War}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {21986--21994}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i20.30201}, doi = {10.1609/AAAI.V38I20.30201}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChoiLLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimKKPACLLLW24, author = {Youngin Kim and Laurenz Kulmer and Killian Keller and Jeongsoo Park and Basem Abdelaziz Abdelmagid and Kyung{-}Sik Choi and Dongwon Lee and Yuqi Liu and Juerg Leuthold and Hua Wang}, title = {A Co-Integrated Optical Phased Array, Mach-Zehnder Modulator and Mm-Wave Driver for Free-Space Communication}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10529031}, doi = {10.1109/CICC60959.2024.10529031}, timestamp = {Mon, 03 Jun 2024 20:37:04 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimKKPACLLLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ChoiJPWLKKYPLLH24, author = {ChangSu Choi and Yongbin Jeong and Seoyoon Park and Inho Won and HyeonSeok Lim and Sangmin Kim and Yejee Kang and Chanhyuk Yoon and Jaewan Park and Yiseul Lee and Hyejin Lee and Younggyun Hahm and Hansaem Kim and Kyungtae Lim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Optimizing Language Augmentation for Multilingual Large Language Models: {A} Case Study on Korean}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {12514--12526}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.1095}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/ChoiJPWLKKYPLLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/KwonBLLCHKK24, author = {Ohbyung Kwon and Sujin Bae and Dongjae Lee and Kyuho Lee and Ryun Hee Choi and Kyunghwa Hwang and Seongjun Kwon and Taeyoung Kim}, title = {An Empirical Study on the Psychological Improvement Effects and Satisfaction of Korean Traditional Painting Generative {AI}}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {135--140}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463404}, doi = {10.1109/ICAIIC60209.2024.10463404}, timestamp = {Tue, 02 Apr 2024 21:06:09 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/KwonBLLCHKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/LeeLC24, author = {Ji{-}Hack Lee and Hyun{-}Kyu Lee and Young{-}Seok Choi}, title = {Robust EEG-Based Motor Imagery Brain-Computer Interface using Lp-Norm-Based Common Spatial Patterns}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {879--883}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463345}, doi = {10.1109/ICAIIC60209.2024.10463345}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/LeeLC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KimPSKLLKHKKCRCLKYC24, author = {Jihun Kim and Sangsu Park and Hongju Suh and Youngjae Kwon and Seonghun Lee and Yubin Lee and Kayoung Kim and Eungu Han and Jongil Kim and Kyu Sung Kim and Hyejung Choi and Seungwook Ryu and Su Jin Chae and Seho Lee and Soo Gil Kim and Jaeyun Yi and Seonyong Cha}, title = {Realistic Noise-aware Training as a Component of the Holistic ACiM Development Platform}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536981}, doi = {10.1109/IMW59701.2024.10536981}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KimPSKLLKHKKCRCLKYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visigrapp/LeeJKKHC24, author = {Kyungsik Lee and Youngmi Jun and Eunji Kim and Suhyun Kim and Seong Jae Hwang and Jonghyun Choi}, editor = {Petia Radeva and Antonino Furnari and Kadi Bouatouch and A. Augusto de Sousa}, title = {Kore Initial Clustering for Unsupervised Domain Adaptation}, booktitle = {Proceedings of the 19th International Joint Conference on Computer Vision, Imaging and Computer Graphics Theory and Applications, {VISIGRAPP} 2024, Volume 2: VISAPP, Rome, Italy, February 27-29, 2024}, pages = {425--432}, publisher = {{SCITEPRESS}}, year = {2024}, url = {https://doi.org/10.5220/0012353200003660}, doi = {10.5220/0012353200003660}, timestamp = {Mon, 08 Apr 2024 14:14:40 +0200}, biburl = {https://dblp.org/rec/conf/visigrapp/LeeJKKHC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14395, author = {Jungwoo Chae and Hyunin Cho and Sooyeon Go and Kyungmook Choi and Youngjung Uh}, title = {Semantic Image Synthesis with Unconditional Generator}, journal = {CoRR}, volume = {abs/2402.14395}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14395}, doi = {10.48550/ARXIV.2402.14395}, eprinttype = {arXiv}, eprint = {2402.14395}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14395.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-18351, author = {Changho Choi and Minho Kim and Junhyeok Lee and Hyoung{-}Kyu Song and Younggeun Kim and Seungryong Kim}, title = {LatentSwap: An Efficient Latent Code Mapping Framework for Face Swapping}, journal = {CoRR}, volume = {abs/2402.18351}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.18351}, doi = {10.48550/ARXIV.2402.18351}, eprinttype = {arXiv}, eprint = {2402.18351}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-18351.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10882, author = {ChangSu Choi and Yongbin Jeong and Seoyoon Park and Inho Won and HyeonSeok Lim and Sangmin Kim and Yejee Kang and Chanhyuk Yoon and Jaewan Park and Yiseul Lee and Hyejin Lee and Younggyun Hahm and Hansaem Kim and Kyungtae Lim}, title = {Optimizing Language Augmentation for Multilingual Large Language Models: {A} Case Study on Korean}, journal = {CoRR}, volume = {abs/2403.10882}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10882}, doi = {10.48550/ARXIV.2403.10882}, eprinttype = {arXiv}, eprint = {2403.10882}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-01554, author = {Junggu Choi and Tak Hur and Daniel K. Park and Na{-}Young Shin and Seung{-}Koo Lee and Hakbae Lee and Sanghoon Han}, title = {Early-stage detection of cognitive impairment by hybrid quantum-classical algorithm using resting-state functional {MRI} time-series}, journal = {CoRR}, volume = {abs/2405.01554}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.01554}, doi = {10.48550/ARXIV.2405.01554}, eprinttype = {arXiv}, eprint = {2405.01554}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-01554.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-03958, author = {Joo Young Choi and Jaesung R. Park and Inkyu Park and Jaewoong Cho and Albert No and Ernest K. Ryu}, title = {Simple Drop-in LoRA Conditioning on Attention Layers Will Improve Your Diffusion Model}, journal = {CoRR}, volume = {abs/2405.03958}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.03958}, doi = {10.48550/ARXIV.2405.03958}, eprinttype = {arXiv}, eprint = {2405.03958}, timestamp = {Fri, 07 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-03958.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKJLLYPKJ23, author = {Junmo Choi and Jaewoo Kim and Younggun Ji and Seongju Lee and Jungran Lee and Byunggil Yu and Seulgi Park and Myungho Kim and Kyung{-}Young Jung}, title = {SIW-Fed Patch Array Filtenna With Significant Suppression of Adjacent 5G Spectrum for Radio Altimeters}, journal = {{IEEE} Access}, volume = {11}, pages = {135846--135854}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3337810}, doi = {10.1109/ACCESS.2023.3337810}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiKJLLYPKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongLCSSK23, author = {Bohyeok Jeong and Jaehwan Lee and Jaihyuk Choi and Minkyu Song and Youngdoo Son and Soo Youn Kim}, title = {A 0.57 mW@1 {FPS} In-Column Analog {CNN} Processor Integrated Into {CMOS} Image Sensor}, journal = {{IEEE} Access}, volume = {11}, pages = {61082--61090}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3286544}, doi = {10.1109/ACCESS.2023.3286544}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongLCSSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RyuLCLJCK23, author = {Chaeeun Ryu and Changwoo Lee and Hyuk Jin Choi and Chang{-}Hyun Lee and Byoungjun Jeon and Eui Kyu Chie and Young{-}Gon Kim}, title = {Trainable Weights for Multitask Learning}, journal = {{IEEE} Access}, volume = {11}, pages = {105633--105641}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3319072}, doi = {10.1109/ACCESS.2023.3319072}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RyuLCLJCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/YoonKKHKC23, author = {Kyubaek Yoon and Jae{-}Young Kim and Sun{-}Jong Kim and Jong{-}Ki Huh and Jin{-}Woo Kim and Jongeun Choi}, title = {Explainable deep learning-based clinical decision support engine for MRI-based automated diagnosis of temporomandibular joint anterior disk displacement}, journal = {Comput. Methods Programs Biomed.}, volume = {233}, pages = {107465}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107465}, doi = {10.1016/J.CMPB.2023.107465}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/YoonKKHKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/esticas/LuHLLNYCY23, author = {Anni Lu and Jae Hur and Yuan{-}Chun Luo and Hai Li and Dmitri E. Nikonov and Ian A. Young and Yang{-}Kyu Choi and Shimeng Yu}, title = {Scalable In-Memory Clustered Annealer With Temporal Noise of Charge Trap Transistor for Large Scale Travelling Salesman Problems}, journal = {{IEEE} J. Emerg. Sel. Topics Circuits Syst.}, volume = {13}, number = {1}, pages = {422--435}, year = {2023}, url = {https://doi.org/10.1109/JETCAS.2023.3244485}, doi = {10.1109/JETCAS.2023.3244485}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/esticas/LuHLLNYCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/AliCMCM23, author = {Usman Ali and Jeongdan Choi and KyoungWook Min and Young{-}Kyu Choi and Muhammad Tariq Mahmood}, title = {Boundary-constrained robust regularization for single image dehazing}, journal = {Pattern Recognit.}, volume = {140}, pages = {109522}, year = {2023}, url = {https://doi.org/10.1016/j.patcog.2023.109522}, doi = {10.1016/J.PATCOG.2023.109522}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/AliCMCM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/ChoiK23, author = {Kyuri Choi and Youngjoong Ko}, title = {Meta-learning with topic-agnostic representations for zero-shot stance detection}, journal = {Pattern Recognit. Lett.}, volume = {171}, pages = {15--20}, year = {2023}, url = {https://doi.org/10.1016/j.patrec.2023.05.006}, doi = {10.1016/J.PATREC.2023.05.006}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/prl/ChoiK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeJJJPLKWKCWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Adam Y. Wang and Youngin Kim and Kyung{-}Sik Choi and Jing Wang and Hua Wang}, title = {A Multi-Functional {CMOS} Biosensor Array With On-Chip DEP-Assisted Sensing for Rapid Low-Concentration Analyte Detection and Close-Loop Particle Manipulation With No External Electrodes}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {6}, pages = {1214--1226}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3343068}, doi = {10.1109/TBCAS.2023.3343068}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LeeJJJPLKWKCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoiCLC23, author = {Young Kyu Choi and Yuze Chi and Jason Lau and Jason Cong}, title = {{TARO:} Automatic Optimization for Free-Running Kernels in {FPGA} High-Level Synthesis}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {42}, number = {7}, pages = {2423--2427}, year = {2023}, url = {https://doi.org/10.1109/TCAD.2022.3216544}, doi = {10.1109/TCAD.2022.3216544}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/ChoiCLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LimCCKLKK23, author = {Jeong{-}Taek Lim and Han{-}Woong Choi and Sun{-}Kyu Choi and Ki{-}Jin Kim and Hi{-}Deok Lee and Hyoungho Ko and Choul{-}Young Kim}, title = {Bulk {CMOS} Low Noise Amplifier With Two Stage {HPF} Noise Matching Structure}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {6}, pages = {1866--1870}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3233537}, doi = {10.1109/TCSII.2022.3233537}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/LimCCKLKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/SeoHKLSCKCLYH23, author = {Hyeongmin Seo and Jiyun Han and Kyungmin Kim and Baek{-}Jin Lim and EunSeok Shin and Youngdon Choi and Hyungjong Ko and Jung{-}Hwan Choi and Sang{-}Hyun Lee and Changsik Yoo and Jaeduk Han}, title = {A 12-Gb/s Baud-Rate Clock and Data Recovery With 75{\%} Phase-Detection Probability by Precoding and Integration-Hold-Reset Frontend}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {2}, pages = {411--415}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3212881}, doi = {10.1109/TCSII.2022.3212881}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/SeoHKLSCKCLYH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiBHKJYCPLPBOALH23, author = {Hyeon{-}Ji Choi and Chisung Bae and Yeunhee Huh and Sang Joon Kim and Seungchul Jung and Kye{-}Seok Yoon and Joo{-}Mi Cho and Hyo{-}Jin Park and Chan{-}Ho Lee and Su{-}Min Park and Esun Baik and Young{-}Ju Oh and Ho{-}Chan Ahn and Chan{-}Kyu Lee and Sung{-}Wan Hong}, title = {An Ultra-Low Power Soft-Switching Self-Oscillating {SIMO} Converter for Implantable Stimulation Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8603--8608}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231256}, doi = {10.1109/TIE.2022.3231256}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChoiBHKJYCPLPBOALH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/ChoiSSDC23, author = {Young Kyu Choi and Carlos Santillana and Yujia Shen and Adnan Darwiche and Jason Cong}, title = {{FPGA} Acceleration of Probabilistic Sentential Decision Diagrams with High-level Synthesis}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {16}, number = {2}, pages = {18:1--18:22}, year = {2023}, url = {https://doi.org/10.1145/3561514}, doi = {10.1145/3561514}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/ChoiSSDC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-clinicalnlp/JeongHCCSLCH23, author = {Yongbin Jeong and Ju{-}Hyuck Han and Kyung Min Chae and Yousang Cho and Hyunbin Seo and Kyungtae Lim and Key{-}Sun Choi and Younggyun Hahm}, editor = {Tristan Naumann and Asma Ben Abacha and Steven Bethard and Kirk Roberts and Anna Rumshisky}, title = {Teddysum at MEDIQA-Chat 2023: an analysis of fine-tuning strategy for long dialog summarization}, booktitle = {Proceedings of the 5th Clinical Natural Language Processing Workshop, ClinicalNLP@ACL 2023, Toronto, Canada, July 14, 2023}, pages = {394--402}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.clinicalnlp-1.42}, doi = {10.18653/V1/2023.CLINICALNLP-1.42}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-clinicalnlp/JeongHCCSLCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/ChoiPCH23, author = {Hoyoung Choi and Hyunjae Park and Young{-}June Choi and Kyungsik Han}, title = {Consistency of Code: {A} Prompt Based Approach to Comprehend Functionality}, booktitle = {30th Asia-Pacific Software Engineering Conference, {APSEC} 2023, Seoul, Republic of Korea, December 4-7, 2023}, pages = {655--656}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSEC60848.2023.00095}, doi = {10.1109/APSEC60848.2023.00095}, timestamp = {Wed, 10 Apr 2024 20:58:45 +0200}, biburl = {https://dblp.org/rec/conf/apsec/ChoiPCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/LeeLLCKK23, author = {Seungeun Lee and Jaeyoung Lee and Moonhyun Lee and JinTak Choi and Kyungtae Kang and Younghoon Kim}, title = {Integrating Genetic Information for Early Alzheimer's Diagnosis through {MRI} Interpretation}, booktitle = {{IEEE} {EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2023, Pittsburgh, PA, USA, October 15-18, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BHI58575.2023.10313442}, doi = {10.1109/BHI58575.2023.10313442}, timestamp = {Fri, 01 Dec 2023 14:30:09 +0100}, biburl = {https://dblp.org/rec/conf/bhi/LeeLLCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/RyuKJMLCKKKCNHRJ23, author = {Seokhyun Ryu and Sohyun Kim and Jaeyung Jun and Donguk Moon and Kyungsoo Lee and Jungmin Choi and Sunwoong Kim and Hyungsoo Kim and Luke Kim and Won Ha Choi and Moohyeon Nam and Dooyoung Hwang and Hongchan Roh and Young{-}Pyo Joo}, editor = {Hyeran Byun and Beng Chin Ooi and Katsumi Tanaka and Sang{-}Won Lee and Zhixu Li and Akiyo Nadamoto and Giltae Song and Young{-}Guk Ha and Kazutoshi Sumiya and Yuncheng Wu and Hyuk{-}Yoon Kwon and Takehiro Yamamoto}, title = {System Optimization of Data Analytics Platforms using Compute Express Link {(CXL)} Memory}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2023, Jeju, Republic of Korea, February 13-16, 2023}, pages = {9--12}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BigComp57234.2023.00011}, doi = {10.1109/BIGCOMP57234.2023.00011}, timestamp = {Mon, 27 Mar 2023 16:44:40 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/RyuKJMLCKKKCNHRJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/OhHLLJJCS23, author = {Changdae Oh and Hyeji Hwang and Hee Young Lee and YongTaek Lim and Geunyoung Jung and Jiyoung Jung and Hosik Choi and Kyungwoo Song}, title = {BlackVIP: Black-Box Visual Prompting for Robust Transfer Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {24224--24235}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02320}, doi = {10.1109/CVPR52729.2023.02320}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/OhHLLJJCS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/ChoiKKRK23, author = {Young{-}Eun Choi and Woo{-}Seok Kim and Myoung Kim and Min{-}Woo Ryu and Kyung Rok Kim}, title = {Low Power and High Density Ternary-SRAM for Always-on Applications}, booktitle = {Device Research Conference, {DRC} 2023, Santa Barbara, CA, USA, June 25-28, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DRC58590.2023.10187035}, doi = {10.1109/DRC58590.2023.10187035}, timestamp = {Tue, 08 Aug 2023 12:06:53 +0200}, biburl = {https://dblp.org/rec/conf/drc/ChoiKKRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/KimCKRK23, author = {Woo{-}Seok Kim and Young{-}Eun Choi and Myoung Kim and Min Woo Ryu and Kyung Rok Kim}, title = {Energy Efficient Ternary Device in 28-nm {CMOS} Technology with Excellent Short-Channel Effect Immunity and Variation Tolerance Characteristics}, booktitle = {Device Research Conference, {DRC} 2023, Santa Barbara, CA, USA, June 25-28, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/DRC58590.2023.10187007}, doi = {10.1109/DRC58590.2023.10187007}, timestamp = {Tue, 08 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/drc/KimCKRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/LoCQCC23, author = {Michael Lo and Young{-}kyu Choi and Weikang Qiao and Mau{-}Chung Frank Chang and Jason Cong}, editor = {Paolo Ienne and Zhiru Zhang}, title = {HMLib: Efficient Data Transfer for {HLS} Using Host Memory}, booktitle = {Proceedings of the 2023 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, {FPGA} 2023, Monterey, CA, USA, February 12-14, 2023}, pages = {50}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543622.3573157}, doi = {10.1145/3543622.3573157}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/LoCQCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/SalmanJNJJCHK23, author = {Muhammad Salman and Hyunkyu Jang and Youngtae Noh and Seungwan Jin and Dayoung Jeong and Hoyoung Choi and Kyungsik Han and Hyangmi Kim}, editor = {Monica Tentori and Nadir Weibel and Kristof Van Laerhoven and Zhongyi Zhou}, title = {A Contactless and Non-Intrusive System for Driver's Stress Detection}, booktitle = {Adjunct Proceedings of the 2023 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing {\&} the 2023 {ACM} International Symposium on Wearable Computing, Cancun, Quintana Roo, Mexico, October 8-12, 2023}, pages = {58--62}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3594739.3610691}, doi = {10.1145/3594739.3610691}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/SalmanJNJJCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/i2mtc/LeeCJRKL23, author = {Young{-}Nam Lee and Kyung{-}Sik Choi and Seong{-}Won Jo and Gul Rahim and Kyeongha Kwon and Sang{-}Gug Lee}, title = {Fast-settling Onboard Electrochemical Impedance Spectroscopy System Adopting Quasi-linear-phase Band-pass Filter}, booktitle = {{IEEE} International Instrumentation and Measurement Technology Conference, {I2MTC} 2023, Kuala Lumpur, Malaysia, May 22-25, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/I2MTC53148.2023.10176024}, doi = {10.1109/I2MTC53148.2023.10176024}, timestamp = {Wed, 26 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/i2mtc/LeeCJRKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ParkKKYJGC23, author = {Doyoung Park and Sunjin Kim and Minkyu Kim and Naresh Reddy Yarram and Seongho Joe and Youngjune Gwon and Jongwon Choi}, title = {Document Change Detection With Hierarchical Patch Comparison}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2023, Kuala Lumpur, Malaysia, October 8-11, 2023}, pages = {665--669}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICIP49359.2023.10222407}, doi = {10.1109/ICIP49359.2023.10222407}, timestamp = {Tue, 21 Nov 2023 12:38:06 +0100}, biburl = {https://dblp.org/rec/conf/icip/ParkKKYJGC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/BaktiyarLJLC23, author = {Akzhol Baktiyar and Young{-}Nam Lee and Min Jae Jung and Sang{-}Gug Lee and Kyung{-}Sik Choi}, title = {Reduction of Electrochemical Impedance Spectroscopy Measurement Time for Lithium-ion Batteries Based on Compressive Sensing}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10311708}, doi = {10.1109/IECON51785.2023.10311708}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/BaktiyarLJLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/JungBLLKPSC23, author = {Min Jae Jung and Akzhol Baktiyar and Young{-}Nam Lee and Sang{-}Gug Lee and Taekyu Kang and Soo{-}Youn Park and Juhyun Song and Kyung{-}Sik Choi}, title = {Experimental Analysis for Fast Lithium Plating Detection in Voltage Relaxation Profile of Lithium-Ion Batteries}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10311689}, doi = {10.1109/IECON51785.2023.10311689}, timestamp = {Tue, 12 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/JungBLLKPSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LeeJJRLC23, author = {Young{-}Nam Lee and Min Jae Jung and Seong{-}Won Jo and Gul Rahim and Sang{-}Gug Lee and Kyung{-}Sik Choi}, title = {Fast-Settling Onboard Electrochemical Impedance Spectroscopy System Adopting Two-Stage Hilbert Transform}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10312107}, doi = {10.1109/IECON51785.2023.10312107}, timestamp = {Mon, 27 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/LeeJJRLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/ChungCLAJK23, author = {Kwang Hyo Chung and Yo Han Choi and Dong Kyu Lee and Chang{-}Geun Ahn and Youngwon Jang and You Jin Kim}, title = {Unmanned {PCR} System for Virus Monitoring Utilizing a Film Chip Roll}, booktitle = {2023 {IEEE} SENSORS, Vienna, Austria, October 29 - Nov. 1, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/SENSORS56945.2023.10325088}, doi = {10.1109/SENSORS56945.2023.10325088}, timestamp = {Thu, 14 Dec 2023 11:20:49 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/ChungCLAJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeLCJLCIJSKKLK23, author = {Wonseok Lee and Kyeongjong Lim and Jeonghyeon Cheon and Soyi Jeong and Jinyeon Lim and Youngsung Cho and Shusaku Ishikawa and Seongwon Jo and Seongwook Song and Minsu Kang and Kyungil Kim and Seunghyun Lim and Youngjin Kim and Sunghoo Choi and Jungchan Kyoung}, title = {A Multi-Pixel Compression for Low-Power Imaging System and Architecture}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2023, Monterey, CA, USA, May 21-25, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISCAS46773.2023.10181603}, doi = {10.1109/ISCAS46773.2023.10181603}, timestamp = {Mon, 31 Jul 2023 09:04:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeLCJLCIJSKKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23, author = {Hyuncheol Kim and Yun Hyeok Kim and Sanghyuck Moon and Hwanwoong Kim and Byeongjun Yoo and Jueun Park and Seyoung Kim and June{-}Mo Koo and Sewon Seo and Hye Ji Shin and Younghwan Choi and Jinwoo Kim and Kyungil Kim and Jae{-}Hoon Seo and Seunghyun Lim and Taesub Jung and Howoo Park and Sangil Jung and Juhyun Ko and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.64{\(\mu\)}m 4-Photodiode 1.28{\(\mu\)}m 50Mpixel {CMOS} Image Sensor with 0.98e- Temporal Noise and 20Ke- Full-Well Capacity Employing Quarter-Ring Source-Follower}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {96--97}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067732}, doi = {10.1109/ISSCC42615.2023.10067732}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChaeCGCU23, author = {Jungwoo Chae and Hyunin Cho and Sooyeon Go and Kyungmook Choi and Youngjung Uh}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Semantic Image Synthesis with Unconditional Generator}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/683464f40aa1a6b7c939c3e9cd64b1fd-Abstract-Conference.html}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ChaeCGCU23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimYCCLLLYDTLSB23, author = {Jeongkyun Kim and Byungho Yook and Taemin Choi and Kyuwon Choi and Chanho Lee and Yunrong Li and Youngo Lee and Seok Yun and Changhoon Do and Hoyoung Tang and Inhak Lee and Dongwook Seo and Sangyeop Baeck}, title = {A 4.0GHz {UHS} Pseudo Two-port {SRAM} with {BL} Charge Time Reduction and Flying Word-Line for {HPC} Applications in 4nm FinFET Technology}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185223}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185223}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimYCCLLLYDTLSB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkHEAAYKKCL23, author = {Eunsung Park and Won{-}Yong Ha and Doyoon Eom and Dae{-}Hwan Ahn and Hyuk An and Suhyun Yi and Kyung{-}Do Kim and Jongchae Kim and Woo{-}Young Choi and Myung{-}Jae Lee}, title = {Doping-Optimized Back-illuminated Single-Photon Avalanche Diode in Stacked 40 nm {CIS} Technology Achieving 60{\%} {PDP} at 905 nm}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185229}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185229}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkHEAAYKKCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/YoonHKCKMKNC23, author = {Sunghyun Yoon and Sung{-}In Hong and Daehyun Kim and Garam Choi and Young Mo Kim and Kyunghoon Min and Seiyon Kim and Myung{-}Hee Na and Seonyong Cha}, title = {{QLC} Programmable 3D Ferroelectric {NAND} Flash Memory by Memory Window Expansion using Cell Stack Engineering}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185294}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185294}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/YoonHKCKMKNC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsdm/ParkCYK23, author = {Sunyoung Park and Kyuri Choi and Haeun Yu and Youngjoong Ko}, editor = {Tat{-}Seng Chua and Hady W. Lauw and Luo Si and Evimaria Terzi and Panayiotis Tsaparas}, title = {Never Too Late to Learn: Regularizing Gender Bias in Coreference Resolution}, booktitle = {Proceedings of the Sixteenth {ACM} International Conference on Web Search and Data Mining, {WSDM} 2023, Singapore, 27 February 2023 - 3 March 2023}, pages = {15--23}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3539597.3570473}, doi = {10.1145/3539597.3570473}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wsdm/ParkCYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-14773, author = {Changdae Oh and Hyeji Hwang and Hee Young Lee and YongTaek Lim and Geunyoung Jung and Jiyoung Jung and Hosik Choi and Kyungwoo Song}, title = {BlackVIP: Black-Box Visual Prompting for Robust Transfer Learning}, journal = {CoRR}, volume = {abs/2303.14773}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.14773}, doi = {10.48550/ARXIV.2303.14773}, eprinttype = {arXiv}, eprint = {2303.14773}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-14773.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-10145, author = {Young{-}geun Kim and Kyungbok Lee and Youngwon Choi and Joong{-}Ho Won and Myunghee Cho Paik}, title = {Wasserstein Geodesic Generator for Conditional Distributions}, journal = {CoRR}, volume = {abs/2308.10145}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.10145}, doi = {10.48550/ARXIV.2308.10145}, eprinttype = {arXiv}, eprint = {2308.10145}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-10145.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02833, author = {Inug Yoon and Tae{-}Min Choi and Sun{-}Kyung Lee and Young{-}Min Kim and Jong{-}Hwan Kim}, title = {Image-Object-Specific Prompt Learning for Few-Shot Class-Incremental Learning}, journal = {CoRR}, volume = {abs/2309.02833}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02833}, doi = {10.48550/ARXIV.2309.02833}, eprinttype = {arXiv}, eprint = {2309.02833}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02833.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-00826, author = {Kyungho Bae and Geo Ahn and Youngrae Kim and Jinwoo Choi}, title = {{DEVIAS:} Learning Disentangled Video Representations of Action and Scene for Holistic Video Understanding}, journal = {CoRR}, volume = {abs/2312.00826}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.00826}, doi = {10.48550/ARXIV.2312.00826}, eprinttype = {arXiv}, eprint = {2312.00826}, timestamp = {Fri, 08 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-00826.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aeog/RamirezLCKKRKBH22, author = {Ryan A. Ramirez and Gi{-}Jun Lee and Shin{-}Kyu Choi and Tae{-}Hyuk Kwon and Young{-}Chul Kim and Hee{-}Hwan Ryu and Sangyoung Kim and Byungeol Bae and Chiho Hyun}, title = {Monitoring of construction-induced urban ground deformations using Sentinel-1 PS-InSAR: The case study of tunneling in Dangjin, Korea}, journal = {Int. J. Appl. Earth Obs. Geoinformation}, volume = {108}, pages = {102721}, year = {2022}, url = {https://doi.org/10.1016/j.jag.2022.102721}, doi = {10.1016/J.JAG.2022.102721}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aeog/RamirezLCKKRKBH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KimPILBLCK22, author = {Kyung{-}Wuk Kim and Se{-}Hyun Park and Gyeongtae Im and Seung Bae Lee and Yasutaka Baba and Changje Lee and Young Ho Choi and Hyoung{-}Ho Kim}, title = {{CFD} study on vesicoureteral reflux in the urinary tract with double {J} stent}, journal = {Comput. Biol. Medicine}, volume = {145}, pages = {105456}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105456}, doi = {10.1016/J.COMPBIOMED.2022.105456}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KimPILBLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimACGKSCKJK22, author = {Yunha Kim and Imjin Ahn and Ha Na Cho and Hansle Gwon and Hee{-}Jun Kang and Hyeram Seo and Heejung Choi and Kyu{-}Pyo Kim and Tae Joon Jun and Young{-}Hak Kim}, title = {{RIDAB:} Electronic medical record-integrated real world data platform for predicting and summarizing interactions in biomedical research from heterogeneous data resources}, journal = {Comput. Methods Programs Biomed.}, volume = {221}, pages = {106866}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.106866}, doi = {10.1016/J.CMPB.2022.106866}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimACGKSCKJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/YoonYWLCBRPCRR22, author = {Kyubaek Yoon and Hojun You and Wei{-}Ying Wu and Chae Young Lim and Jongeun Choi and Connor Boss and Ahmed Ramadan and John M. Popovich Jr. and Jacek Cholewicki and N. Peter Reeves and Clark J. Radcliffe}, title = {Regularized nonlinear regression for simultaneously selecting and estimating key model parameters: Application to head-neck position tracking}, journal = {Eng. Appl. Artif. Intell.}, volume = {113}, pages = {104974}, year = {2022}, url = {https://doi.org/10.1016/j.engappai.2022.104974}, doi = {10.1016/J.ENGAPPAI.2022.104974}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/YoonYWLCBRPCRR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-sen/ChangLKC22, author = {Byeong{-}Mo Chang and Kyung{-}min Lee and Ga{-}young Koh and Kwanghoon Choi}, title = {SmartProvenance: User-friendly provenance system for internet of things applications based on event flow graphs}, journal = {{IET} Softw.}, volume = {16}, number = {6}, pages = {576--602}, year = {2022}, url = {https://doi.org/10.1049/sfw2.12071}, doi = {10.1049/SFW2.12071}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-sen/ChangLKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/YouJLSCS22, author = {Young{-}Hwan You and Yong{-}An Jung and Sung{-}Hun Lee and Hoon{-}Geun Song and Sung{-}Chan Choi and Hyoung{-}Kyu Song}, title = {Group-Wise Sidelink Synchronization Signal and Carrier Frequency Offset Detection Method for D2D-Based {NR-V2X} Systems}, journal = {{IEEE} Internet Things J.}, volume = {9}, number = {4}, pages = {2973--2983}, year = {2022}, url = {https://doi.org/10.1109/JIOT.2021.3097364}, doi = {10.1109/JIOT.2021.3097364}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/YouJLSCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiKULL22, author = {Kyung{-}Sik Choi and Keun{-}Mok Kim and Dzuhri Radityo Utomo and In{-}Young Lee and Sang{-}Gug Lee}, title = {A Fully Integrated 490-GHz {CMOS} Receiver Adopting Dual-Locking Receiver-Based {FLL}}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {9}, pages = {2626--2639}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3159656}, doi = {10.1109/JSSC.2022.3159656}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoiKULL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neco/KimWCCH22, author = {Soon Ho Kim and Junhyuk Woo and Kiri Choi and Moo Young Choi and Kyungreem Han}, title = {Neural Information Processing and Computations of Two-Input Synapses}, journal = {Neural Comput.}, volume = {34}, number = {10}, pages = {2102--2131}, year = {2022}, url = {https://doi.org/10.1162/neco\_a\_01534}, doi = {10.1162/NECO\_A\_01534}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neco/KimWCCH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/JooCK22, author = {Won{-}Kyun Joo and Kiseok Choi and Young{-}Kuk Kim}, title = {Deep learning model for unstructured knowledge classification using structural features}, journal = {Pers. Ubiquitous Comput.}, volume = {26}, number = {2}, pages = {247--258}, year = {2022}, url = {https://doi.org/10.1007/s00779-019-01244-x}, doi = {10.1007/S00779-019-01244-X}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/puc/JooCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/JungC22, author = {Jung{-}Kyu Jung and Jae Young Choi}, title = {Choice and allocation characteristics of faculty time in Korea: effects of tenure, research performance, and external shock}, journal = {Scientometrics}, volume = {127}, number = {5}, pages = {2847--2869}, year = {2022}, url = {https://doi.org/10.1007/s11192-022-04320-x}, doi = {10.1007/S11192-022-04320-X}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/JungC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnHYLLKCHA22, author = {Kung Ahn and Sangjin Han and Kyeongeui Yun and Wooseok Lee and Dong{-}Geol Lee and So Min Kang and Young{-}Bong Choi and Kyudong Han and Yong Ju Ahn}, title = {A Real-Time Detection Device for the Rapid Quantification of Skin Casual Sebum Using the Oil Red {O} Staining Method}, journal = {Sensors}, volume = {22}, number = {8}, pages = {3016}, year = {2022}, url = {https://doi.org/10.3390/s22083016}, doi = {10.3390/S22083016}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnHYLLKCHA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ByunKPCKAKYHJKP22, author = {Sung{-}June Byun and Dong{-}Gyun Kim and Kyung{-}Do Park and Yeun{-}Jin Choi and Pervesh Kumar and Imran Ali and Dong{-}Gyu Kim and June{-}Mo Yoo and Hyung{-}Ki Huh and Yeon{-}Jae Jung and Seok{-}Kee Kim and YoungGun Pu and Kang{-}Yoon Lee}, title = {A Low-Power Analog Processor-in-Memory-Based Convolutional Neural Network for Biosensor Applications}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4555}, year = {2022}, url = {https://doi.org/10.3390/s22124555}, doi = {10.3390/S22124555}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ByunKPCKAKYHJKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiCJJK22, author = {Ji{-}Won Choi and Ji{-}Young Choi and Hanil Jang and Kyung{-}Kwang Joo and Byoung{-}Chan Kim}, title = {Pixel Image Analysis and Its Application with an Alcohol-Based Liquid Scintillator for Particle Therapy}, journal = {Sensors}, volume = {22}, number = {13}, pages = {4876}, year = {2022}, url = {https://doi.org/10.3390/s22134876}, doi = {10.3390/S22134876}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiCJJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkCCJK22, author = {Hyeon{-}Woo Park and Ji{-}Won Choi and Ji{-}Young Choi and Kyung{-}Kwang Joo and Na{-}Ri Kim}, title = {The Spectrum of Light Emitted by {LED} Using a {CMOS} Sensor-Based Digital Camera and Its Application}, journal = {Sensors}, volume = {22}, number = {17}, pages = {6418}, year = {2022}, url = {https://doi.org/10.3390/s22176418}, doi = {10.3390/S22176418}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkCCJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkCCJK22a, author = {Hyeon{-}Woo Park and Ji{-}Won Choi and Ji{-}Young Choi and Kyung{-}Kwang Joo and Na{-}Ri Kim}, title = {Investigation of the Hue-Wavelength Response of a {CMOS} RGB-Based Image Sensor}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9497}, year = {2022}, url = {https://doi.org/10.3390/s22239497}, doi = {10.3390/S22239497}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkCCJK22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkPWCKLK22, author = {Se{-}Kyung Park and Junkyu Park and Hong{-}In Won and Seung{-}Hwan Choi and Chang{-}Hyun Kim and Suwoong Lee and Min Young Kim}, title = {Three-Dimensional Foot Position Estimation Based on Footprint Shadow Image Processing and Deep Learning for Smart Trampoline Fitness System}, journal = {Sensors}, volume = {22}, number = {18}, pages = {6922}, year = {2022}, url = {https://doi.org/10.3390/s22186922}, doi = {10.3390/S22186922}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkPWCKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RadCKPJHYKL22, author = {Reza E. Rad and Kyung{-}Duk Choi and Sung{-}Jin Kim and YoungGun Pu and Yeon{-}Jae Jung and Hyung{-}Ki Huh and Joon{-}Mo Yoo and Seok{-}Kee Kim and Kang{-}Yoon Lee}, title = {A 0.617-2.7 GHz Highly Linear High-Power Dual Port 15 Throws Antenna Switch Module {(DP15T-ASM)} with Branched-Antenna Technique and Termination Mode}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2276}, year = {2022}, url = {https://doi.org/10.3390/s22062276}, doi = {10.3390/S22062276}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RadCKPJHYKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RikanKCHYPKHJL22, author = {Behnam Samadpoor Rikan and David Kim and Kyung{-}Duk Choi and Arash Hejazi and Joon{-}Mo Yoo and YoungGun Pu and Seokkee Kim and Hyungki Huh and Yeonjae Jung and Kang{-}Yoon Lee}, title = {{T/R} {RF} Switch with 150 ns Switching Time and over 100 dBc {IMD} for Wideband Mobile Applications in Thick Oxide {SOI} Process}, journal = {Sensors}, volume = {22}, number = {2}, pages = {507}, year = {2022}, url = {https://doi.org/10.3390/s22020507}, doi = {10.3390/S22020507}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/RikanKCHYPKHJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChangNKCKPLP22, author = {Seoyun Chang and Hyunkyung Na and Minseok Koo and Taewon Choi and Younghoon Kim and Sun Ah Park and Seung{-}Kyun Lee and Jinhyoung Park}, title = {Therapeutic Quadrisected Annular Array for Improving Magnetic Resonance Compatibility}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {1}, pages = {199--208}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3090986}, doi = {10.1109/TBME.2021.3090986}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChangNKCKPLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/KimLJCK22, author = {Cheol{-}Min Kim and Sang{-}Kyu Lim and Jin{-}Doo Jeong and Younghwan Choi and Seok{-}Joo Koh}, title = {6LoWPAN Over Optical Wireless Communications for IPv6 Transport in Internet of Things Networks}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {11}, number = {6}, pages = {1142--1145}, year = {2022}, url = {https://doi.org/10.1109/LWC.2022.3159257}, doi = {10.1109/LWC.2022.3159257}, timestamp = {Tue, 28 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/KimLJCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LeeC22, author = {Kyuewang Lee and Jin Young Choi}, title = {Statistical Analysis on Channel-wise Cross-correlation Features in Siamese Trackers}, booktitle = {18th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2022, Madrid, Spain, November 29 - Dec. 2, 2022}, pages = {1--5}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AVSS56176.2022.9959333}, doi = {10.1109/AVSS56176.2022.9959333}, timestamp = {Thu, 01 Dec 2022 15:24:22 +0100}, biburl = {https://dblp.org/rec/conf/avss/LeeC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LeeDHCC22, author = {Kyuewang Lee and Hoseok Do and Taegil Ha and Jongwon Choi and Jin Young Choi}, title = {Tracking Failure Prediction for Siamese Trackers Based on Channel Feature Statistics}, booktitle = {18th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2022, Madrid, Spain, November 29 - Dec. 2, 2022}, pages = {1--8}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AVSS56176.2022.9959442}, doi = {10.1109/AVSS56176.2022.9959442}, timestamp = {Thu, 01 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/avss/LeeDHCC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/ChoL022, author = {Junho Cho and Kyuewang Lee and Jin Young Choi}, title = {Font Representation Learning via Paired-glyph Matching}, booktitle = {33rd British Machine Vision Conference 2022, {BMVC} 2022, London, UK, November 21-24, 2022}, pages = {149}, publisher = {{BMVA} Press}, year = {2022}, url = {https://bmvc2022.mpi-inf.mpg.de/149/}, timestamp = {Thu, 16 Feb 2023 16:15:04 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/ChoL022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chil/HurLOPKC22, author = {Kyunghoon Hur and Jiyoung Lee and Jungwoo Oh and Wesley Price and Younghak Kim and Edward Choi}, editor = {Gerardo Flores and George H. Chen and Tom J. Pollard and Joyce C. Ho and Tristan Naumann}, title = {Unifying Heterogeneous Electronic Health Records Systems via Text-Based Code Embedding}, booktitle = {Conference on Health, Inference, and Learning, {CHIL} 2022, 7-8 April 2022, Virtual Event}, series = {Proceedings of Machine Learning Research}, volume = {174}, pages = {183--203}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v174/hur22a.html}, timestamp = {Wed, 27 Apr 2022 11:24:00 +0200}, biburl = {https://dblp.org/rec/conf/chil/HurLOPKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/JangSLKKCLB22, author = {Youngrok Jang and Hosung Song and Junho Lee and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae}, editor = {Guglielmo Faggioli and Nicola Ferro and Allan Hanbury and Martin Potthast}, title = {Context aware Named Entity Recognition and Relation Extraction with Domain-specific language model}, booktitle = {Proceedings of the Working Notes of {CLEF} 2022 - Conference and Labs of the Evaluation Forum, Bologna, Italy, September 5th - to - 8th, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3180}, pages = {782--796}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3180/paper-63.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:41 +0100}, biburl = {https://dblp.org/rec/conf/clef/JangSLKKCLB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/LiF0YADTAZMYJSL22, author = {Yuan Li and Biaoyan Fang and Jiayuan He and Hiyori Yoshikawa and Saber A. Akhondi and Christian Druckenbrodt and Camilo Thorne and Zubair Afzal and Zenan Zhai and Kojiro Machi and Masaharu Yoshioka and Youngrok Jang and Hosung Song and Junho Lee and Gyeonghun Kim and Yireun Kim and Stanley Jungkyu Choi and Honglak Lee and Kyunghoon Bae and Darshini Mahendran and Christina Tang and Bridget T. McInnes and Timothy Baldwin and Karin Verspoor}, editor = {Guglielmo Faggioli and Nicola Ferro and Allan Hanbury and Martin Potthast}, title = {Extended Overview of ChEMU 2022 Evaluation Campaign: Information Extraction in Chemical Patents}, booktitle = {Proceedings of the Working Notes of {CLEF} 2022 - Conference and Labs of the Evaluation Forum, Bologna, Italy, September 5th - to - 8th, 2022}, series = {{CEUR} Workshop Proceedings}, volume = {3180}, pages = {758--781}, publisher = {CEUR-WS.org}, year = {2022}, url = {https://ceur-ws.org/Vol-3180/paper-62.pdf}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/clef/LiF0YADTAZMYJSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/SongCSCLC22, author = {Linghao Song and Yuze Chi and Atefeh Sohrabizadeh and Young{-}kyu Choi and Jason Lau and Jason Cong}, editor = {Michael Adler and Paolo Ienne}, title = {Sextans: {A} Streaming Accelerator for General-Purpose Sparse-Matrix Dense-Matrix Multiplication}, booktitle = {{FPGA} '22: The 2022 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, Virtual Event, USA, 27 February 2022 - 1 March 2022}, pages = {65--77}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3490422.3502357}, doi = {10.1145/3490422.3502357}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fpga/SongCSCLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKHKKBC22, author = {Kyungdon Choi and Yongho Kim and Byunghun Han and Heejung Kang and Youngsang Kun and Kyungho Byoun and Hojong Chang}, title = {Activity and environmental sensor application: Tonometry aided arrhythmia detection system}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2022--2025}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952394}, doi = {10.1109/ICTC55196.2022.9952394}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKHKKBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeMC22, author = {Hyeon Kyu Lee and Ji{-}Soo Myoung and Young{-}Seok Choi}, title = {A Lightweight End-to-End Neural Networks for Decoding of Motor Imagery Brain Signal}, booktitle = {Thirteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2022, Barcelona, Spain, July 5-8, 2022}, pages = {411--413}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICUFN55119.2022.9829626}, doi = {10.1109/ICUFN55119.2022.9829626}, timestamp = {Tue, 26 Jul 2022 15:58:36 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeMC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/OhPCCPLBLAH22, author = {Young{-}Ju Oh and Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Su{-}Min Park and Chan{-}Ho Lee and Esun Baik and Chan{-}Kyu Lee and Ho{-}Chan Ahn and Sung{-}Wan Hong}, title = {A High Slew-rate Wide-range Capacitive Load Driving Buffer Amplifier with Correlated Dual Positive Feedback Loops}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {231--232}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031525}, doi = {10.1109/ISOCC56007.2022.10031525}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/OhPCCPLBLAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangJCSLKKKSCL22, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Shin{-}Wuk Kang and Uijong Song and Chang{-}Yeon Cho and Junseo Lee and Kyungduck Seo and Seongwook Song and Sung{-}Ung Kwak}, title = {A 1.05A/m Minimum Magnetic Field Strength Single-Chip Fully Integrated Biometric Smart Card SoC Achieving 1014.7ms Transaction Time with Anti-Spoofing Fingerprint Authentication}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {504--506}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731106}, doi = {10.1109/ISSCC42614.2022.9731106}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChangJCSLKKKSCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/JunCSKJM22, author = {Changwook Jun and Jooyoung Choi and Myoseop Sim and Hyun Kim and Hansol Jang and Kyungkoo Min}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {Korean-Specific Dataset for Table Question Answering}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {6114--6120}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.657}, timestamp = {Mon, 10 Oct 2022 16:57:52 +0200}, biburl = {https://dblp.org/rec/conf/lrec/JunCSKJM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimPCLCSKCMKBLP22, author = {Younghoon Kim and Dong{-}Woo Park and Jinchul Cho and Eui Su Lee and Da{-}Hye Choi and Jun{-}Hwan Shin and Mugeon Kim and Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Yongsoon Baek and Donghoon Lee and Sang{-}Ho Park and Young Ahn Leem and Il{-}Min Lee and Kyung Hyun Park}, title = {Photonics-Based 300 GHz Band Wireless Terahertz Link using 10Gbps Directly-Modulated Monolithically-Integrated Novel Dual-Mode Laser as Beating Light Source}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748274}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimPCLCSKCMKBLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rep4nlp/JunJSKCMB22, author = {Changwook Jun and Hansol Jang and Myoseop Sim and Hyun Kim and Jooyoung Choi and Kyungkoo Min and Kyunghoon Bae}, editor = {Spandana Gella and He He and Bodhisattwa Prasad Majumder and Burcu Can and Eleonora Giunchiglia and Samuel Cahyawijaya and Sewon Min and Maximilian Mozes and Xiang Lorraine Li and Isabelle Augenstein and Anna Rogers and Kyunghyun Cho and Edward Grefenstette and Laura Rimell and Chris Dyer}, title = {ANNA": " Enhanced Language Representation for Question Answering}, booktitle = {Proceedings of the 7th Workshop on Representation Learning for NLP, RepL4NLP@ACL 2022, Dublin, Ireland, May 26, 2022}, pages = {121--132}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.repl4nlp-1.13}, doi = {10.18653/V1/2022.REPL4NLP-1.13}, timestamp = {Mon, 01 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/rep4nlp/JunJSKCMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeePPKDLLSOCCS22, author = {Kyung{-}Hoon Lee and Jinwoo Park and Younghyo Park and Byeongwoo Koo and Sunghan Do and Woongtaek Lim and Sungno Lee and Hyochul Shin and Eunhye Oh and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {An Automotive {ASIL-D} Safety Mechanism in {ADC} and {DAC} for Communication Application}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {142--143}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830347}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830347}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LeePPKDLLSOCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/MoonOPLPLHSCCS22, author = {Kyoung{-}Jun Moon and Dong{-}Ryeol Oh and Young{-}Hyo Park and Kyung{-}Hoon Lee and Sun{-}Jae Park and Sung{-}No Lee and Hee{-}Chang Hwang and Hyo{-}Chul Shin and Young{-}Jae Cho and Michael Choi and Jongshin Shin}, title = {A 12-bit 10GS/s 16-Channel Time-Interleaved {ADC} with a Digital Processing Timing-Skew Background Calibration in 5nm FinFET}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {172--173}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830208}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830208}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/MoonOPLPLHSCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/coling/2022, editor = {Nicoletta Calzolari and Chu{-}Ren Huang and Hansaem Kim and James Pustejovsky and Leo Wanner and Key{-}Sun Choi and Pum{-}Mo Ryu and Hsin{-}Hsi Chen and Lucia Donatelli and Heng Ji and Sadao Kurohashi and Patrizia Paggio and Nianwen Xue and Seokhwan Kim and Younggyun Hahm and Zhong He and Tony Kyungil Lee and Enrico Santus and Francis Bond and Seung{-}Hoon Na}, title = {Proceedings of the 29th International Conference on Computational Linguistics, {COLING} 2022, Gyeongju, Republic of Korea, October 12-17, 2022}, publisher = {International Committee on Computational Linguistics}, year = {2022}, url = {https://aclanthology.org/volumes/2022.coling-1/}, timestamp = {Thu, 13 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/2022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2201-06223, author = {Changwook Jun and Jooyoung Choi and Myoseop Sim and Hyun Kim and Hansol Jang and Kyungkoo Min}, title = {Korean-Specific Dataset for Table Question Answering}, journal = {CoRR}, volume = {abs/2201.06223}, year = {2022}, url = {https://arxiv.org/abs/2201.06223}, eprinttype = {arXiv}, eprint = {2201.06223}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2201-06223.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-14507, author = {Changwook Jun and Hansol Jang and Myoseop Sim and Hyun Kim and Jooyoung Choi and Kyungkoo Min and Kyunghoon Bae}, title = {{ANNA:} Enhanced Language Representation for Question Answering}, journal = {CoRR}, volume = {abs/2203.14507}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.14507}, doi = {10.48550/ARXIV.2203.14507}, eprinttype = {arXiv}, eprint = {2203.14507}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-14507.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-09858, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Min Jae Lee and Eunbyeol Cho and Jiyoun Kim and Seong{-}Eun Moon and Young{-}Hak Kim and Edward Choi}, title = {UniHPF : Universal Healthcare Predictive Framework with Zero Domain Knowledge}, journal = {CoRR}, volume = {abs/2207.09858}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.09858}, doi = {10.48550/ARXIV.2207.09858}, eprinttype = {arXiv}, eprint = {2207.09858}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-09858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-04204, author = {Yu{-}Ki Lee and Yue Hao and Zhonghua Xi and Woongbae Kim and Youngmin Park and Kyu{-}Jin Cho and Jyh{-}Ming Lien and In{-}Suk Choi}, title = {Origami-based Zygote structure enables pluripotent shape-transforming deployable structure}, journal = {CoRR}, volume = {abs/2208.04204}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.04204}, doi = {10.48550/ARXIV.2208.04204}, eprinttype = {arXiv}, eprint = {2208.04204}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-04204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14480, author = {Jiwoong Park and Jisu Jeong and Kyung{-}Min Kim and Jin Young Choi}, title = {Meta-node: {A} Concise Approach to Effectively Learn Complex Relationships in Heterogeneous Graphs}, journal = {CoRR}, volume = {abs/2210.14480}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14480}, doi = {10.48550/ARXIV.2210.14480}, eprinttype = {arXiv}, eprint = {2210.14480}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-08082, author = {Kyunghoon Hur and Jungwoo Oh and Junu Kim and Jiyoun Kim and Min Jae Lee and Eunbyeol Cho and Seong{-}Eun Moon and Young{-}Hak Kim and Edward Choi}, title = {UniHPF : Universal Healthcare Predictive Framework with Zero Domain Knowledge}, journal = {CoRR}, volume = {abs/2211.08082}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.08082}, doi = {10.48550/ARXIV.2211.08082}, eprinttype = {arXiv}, eprint = {2211.08082}, timestamp = {Wed, 23 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-08082.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-10967, author = {Junho Cho and Kyuewang Lee and Jin Young Choi}, title = {Font Representation Learning via Paired-glyph Matching}, journal = {CoRR}, volume = {abs/2211.10967}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.10967}, doi = {10.48550/ARXIV.2211.10967}, eprinttype = {arXiv}, eprint = {2211.10967}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-10967.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonKLKPSKPBRM21, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep Reinforcement Learning for Guidewire Navigation in Coronary Artery Phantom}, journal = {{IEEE} Access}, volume = {9}, pages = {166409--166422}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3135277}, doi = {10.1109/ACCESS.2021.3135277}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KweonKLKPSKPBRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKCYW21, author = {Youngseok Lee and Woo Kyung Kim and Sung Hyun Choi and Ikjun Yeom and Honguk Woo}, title = {Repot: Transferable Reinforcement Learning for Quality-Centric Networked Monitoring in Various Environments}, journal = {{IEEE} Access}, volume = {9}, pages = {147280--147294}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3125008}, doi = {10.1109/ACCESS.2021.3125008}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKCYW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/KwonHMHMLC21, author = {Hye Ryeong Kwon and Ji Hye Hwang and Goo{-}Hyun Mun and Seung Hyup Hyun and Seung Hwan Moon and Kyung{-}Han Lee and Joon Young Choi}, title = {Predictive role of lymphoscintigraphy undergoing lymphovenous anastomosis in patients with lower extremity lymphedema: a preliminary study}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {188}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00713-1}, doi = {10.1186/S12880-021-00713-1}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/KwonHMHMLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/MoonLCCLCC21, author = {Jong Hak Moon and Da Young Lee and Won Chul Cha and Myung Jin Chung and Kyu{-}Sung Lee and Baek Hwan Cho and Jin Ho Choi}, title = {Automatic stenosis recognition from coronary angiography using convolutional neural networks}, journal = {Comput. Methods Programs Biomed.}, volume = {198}, pages = {105819}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2020.105819}, doi = {10.1016/J.CMPB.2020.105819}, timestamp = {Fri, 14 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/MoonLCCLCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/ParkKCKP21, author = {Sungho Park and Youngjun Kim and Hyungoo Choi and Yeunwoong Kyung and Jinwoo Park}, title = {{HTTP} DDoS Flooding Attack Mitigation in Software-Defined Networking}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {104-D}, number = {9}, pages = {1496--1499}, year = {2021}, url = {https://doi.org/10.1587/transinf.2021edl8022}, doi = {10.1587/TRANSINF.2021EDL8022}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/ParkKCKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChunKRPOBKSLHPC21, author = {Ki Chul Chun and Yong{-}Ki Kim and Yesin Ryu and Jaewon Park and Chi Sung Oh and Young{-}Yong Byun and So{-}Young Kim and Dong{-}Hak Shin and Jun Gyu Lee and Byung{-}Kyu Ho and Min{-}Sang Park and Seong{-}Jin Cho and Seunghan Woo and Byoung{-}Mo Moon and Beomyong Kil and Sungoh Ahn and Jae Hoon Lee and Sooyoung Kim and Seouk{-}Kyu Choi and Jae{-}Seung Jeong and Sung{-}Gi Ahn and Jihye Kim and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Jung{-}Bae Lee}, title = {A 16-GB 640-GB/s {HBM2E} {DRAM} With a Data-Bus Window Extension Technique and a Synergetic On-Die {ECC} Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {199--211}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3027360}, doi = {10.1109/JSSC.2020.3027360}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChunKRPOBKSLHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/LeeCCHLC21, author = {Kyuewang Lee and Hyung Jin Chang and Jongwon Choi and Byeongho Heo and Ales Leonardis and Jin Young Choi}, title = {Motion-aware ensemble of three-mode trackers for unmanned aerial vehicles}, journal = {Mach. Vis. Appl.}, volume = {32}, number = {3}, pages = {54}, year = {2021}, url = {https://doi.org/10.1007/s00138-021-01181-x}, doi = {10.1007/S00138-021-01181-X}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mva/LeeCCHLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/peerj-cs/KimVCSH21, author = {Taeyoung Kim and Luiz Felipe Vecchietti and Kyujin Choi and Sanem Sariel and Dongsoo Har}, title = {Two-stage training algorithm for {AI} robot soccer}, journal = {PeerJ Comput. Sci.}, volume = {7}, pages = {e718}, year = {2021}, url = {https://doi.org/10.7717/peerj-cs.718}, doi = {10.7717/PEERJ-CS.718}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/peerj-cs/KimVCSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiCJ21, author = {Ji{-}Won Choi and Ji{-}Young Choi and Kyung{-}Kwang Joo}, title = {Estimation of Fluor Emission Spectrum through Digital Photo Image Analysis with a Water-Based Liquid Scintillator}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8483}, year = {2021}, url = {https://doi.org/10.3390/s21248483}, doi = {10.3390/S21248483}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiCJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiCOKCN21, author = {Jin{-}Young Choi and Jaehee Cho and Song Hee Oh and Seong{-}hun Kim and Kyu{-}Rhim Chung and Gerald Nelson}, title = {Effect of Different Surface Designs on the Rotational Resistance and Stability of Orthodontic Miniscrews: {A} Three-Dimensional Finite Element Study}, journal = {Sensors}, volume = {21}, number = {6}, pages = {1964}, year = {2021}, url = {https://doi.org/10.3390/s21061964}, doi = {10.3390/S21061964}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiCOKCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKKCN21, author = {Jin{-}Young Choi and Min{-}Jung Kim and Seong{-}hun Kim and Kyu{-}Rhim Chung and Gerald Nelson}, title = {Effect of Different Head Hole Position on the Rotational Resistance and Stability of Orthodontic Miniscrews: {A} Three-Dimensional Finite Element Study}, journal = {Sensors}, volume = {21}, number = {11}, pages = {3798}, year = {2021}, url = {https://doi.org/10.3390/s21113798}, doi = {10.3390/S21113798}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKKCN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JoPJJHCP21, author = {Hyeong Geun Jo and Beom Hoon Park and Do Yeong Joung and Jung Ki Jo and Jeong{-}Kyu Hoh and Won Young Choi and Kwan Kyu Park}, title = {Forward-Looking Ultrasound Wearable Scanner System for Estimation of Urinary Bladder Volume}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5445}, year = {2021}, url = {https://doi.org/10.3390/s21165445}, doi = {10.3390/S21165445}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JoPJJHCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangLCKKKS21, author = {Ji{-}Won Kang and Jae{-}Eun Lee and Jang{-}Hwan Choi and Woosuk Kim and Jin{-}Kyum Kim and Dong{-}Wook Kim and Young{-}Ho Seo}, title = {Digital Hologram Watermarking Based on Multiple Deep Neural Networks Training Reconstruction and Attack}, journal = {Sensors}, volume = {21}, number = {15}, pages = {4977}, year = {2021}, url = {https://doi.org/10.3390/s21154977}, doi = {10.3390/S21154977}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangLCKKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCOMKAKN21, author = {Kyoung Yeon Kim and Jin{-}Young Choi and Song Hee Oh and Hyung{-}Wook Moon and Seong{-}hun Kim and Hyo{-}Won Ahn and Kyung A. Kim and Gerald Nelson}, title = {Computerized Assessment of Occlusion and Muscle Activity during Use of a Multilayer Clear Retainer: {A} Preliminary Study}, journal = {Sensors}, volume = {21}, number = {2}, pages = {541}, year = {2021}, url = {https://doi.org/10.3390/s21020541}, doi = {10.3390/S21020541}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimCOMKAKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimHSCP21, author = {San{-}Ha Kim and Jae{-}Young Han and Min{-}Keun Song and In{-}Sung Choi and Hyeng{-}Kyu Park}, title = {Effectiveness of Robotic Exoskeleton-Assisted Gait Training in Spinocerebellar Ataxia: {A} Case Report}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4874}, year = {2021}, url = {https://doi.org/10.3390/s21144874}, doi = {10.3390/S21144874}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimHSCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKLSKKCAL21, author = {Junwoo Kim and Keumhyun Kim and Dowon Lee and Yongha Shin and Sungsam Kang and Jung{-}Ryul Kim and Youngwoon Choi and Kyungwon An and Moonjoo Lee}, title = {Locking Multi-Laser Frequencies to a Precision Wavelength Meter: Application to Cold Atoms}, journal = {Sensors}, volume = {21}, number = {18}, pages = {6255}, year = {2021}, url = {https://doi.org/10.3390/s21186255}, doi = {10.3390/S21186255}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKLSKKCAL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeC21, author = {Hyeon Kyu Lee and Young{-}Seok Choi}, title = {Enhancing SSVEP-Based Brain-Computer Interface with Two-Step Task-Related Component Analysis}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1315}, year = {2021}, url = {https://doi.org/10.3390/s21041315}, doi = {10.3390/S21041315}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKLCH21, author = {Kyungsu Lee and Jun{-}Young Kim and Moon Hwan Lee and Chang{-}Hyuk Choi and Jae Youn Hwang}, title = {Imbalanced Loss-Integrated Deep-Learning-Based Ultrasound Image Analysis for Diagnosis of Rotator-Cuff Tear}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2214}, year = {2021}, url = {https://doi.org/10.3390/s21062214}, doi = {10.3390/S21062214}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKLCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NamCSLL21, author = {Youngju Nam and Hyun{-}Seok Choi and Yongje Shin and Euisin Lee and Eun{-}Kyu Lee}, title = {Adaptive Content Precaching Scheme Based on the Predictive Speed of Vehicles in Content-Centric Vehicular Networks}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5376}, year = {2021}, url = {https://doi.org/10.3390/s21165376}, doi = {10.3390/S21165376}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NamCSLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/RikanKCAYPKHJL21, author = {Behnam Samadpoor Rikan and David Kim and Kyung{-}Duk Choi and Seyed Ali Hosseini Asl and Joon{-}Mo Yoo and YoungGun Pu and Seokkee Kim and Hyungki Huh and Yeonjae Jung and Kang{-}Yoon Lee}, title = {A Low-Band Multi-Gain {LNA} Design for Diversity Receive Module with 1.2 dB {NF}}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8340}, year = {2021}, url = {https://doi.org/10.3390/s21248340}, doi = {10.3390/S21248340}, timestamp = {Thu, 17 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/RikanKCAYPKHJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongCKJ21, author = {Dong{-}Gi Song and Sungho Choi and Taehyeon Kim and Kyung Young Jhang}, title = {Compensation of a Second Harmonic Wave Included in an Incident Ultrasonic Wave for the Precise Measurement of the Acoustic Nonlinearity Parameter}, journal = {Sensors}, volume = {21}, number = {9}, pages = {3203}, year = {2021}, url = {https://doi.org/10.3390/s21093203}, doi = {10.3390/S21093203}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongCKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/LeeLKNPCH21, author = {Haeyun Lee and Kyungsu Lee and Jun Hee Kim and Younghwan Na and Juhum Park and Jihwan P. Choi and Jae Youn Hwang}, title = {Local Similarity Siamese Network for Urban Land Change Detection on Remote Sensing Images}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {4139--4149}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3069242}, doi = {10.1109/JSTARS.2021.3069242}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/LeeLKNPCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/NaKLPHC21, author = {Younghwan Na and Jun Hee Kim and Kyungsu Lee and Juhum Park and Jae Youn Hwang and Jihwan P. Choi}, title = {Domain Adaptive Transfer Attack-Based Segmentation Networks for Building Extraction From Aerial Images}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {6}, pages = {5171--5182}, year = {2021}, url = {https://doi.org/10.1109/TGRS.2020.3010055}, doi = {10.1109/TGRS.2020.3010055}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/NaKLPHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLLCC21, author = {Hyeyeon Lee and Changuk Lee and Jae{-}Youl Lee and Yoon{-}Kyung Choi and Youngcheol Chae}, title = {A 0.033-mm\({}^{\mbox{2}}\) 21.5-aF Resolution Continuous-Time Delta-Sigma Capacitance-to-Digital Converter with Parasitic Capacitance Immunity up to 480pF}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634768}, doi = {10.1109/A-SSCC53895.2021.9634768}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLLCC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/ChiGLC0C21, author = {Yuze Chi and Licheng Guo and Jason Lau and Young{-}kyu Choi and Jie Wang and Jason Cong}, title = {Extending High-Level Synthesis for Task-Parallel Programs}, booktitle = {29th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2021, Orlando, FL, USA, May 9-12, 2021}, pages = {204--213}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/FCCM51124.2021.00032}, doi = {10.1109/FCCM51124.2021.00032}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fccm/ChiGLC0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ChiGC0C21, author = {Yuze Chi and Licheng Guo and Young{-}kyu Choi and Jie Wang and Jason Cong}, editor = {Lesley Shannon and Michael Adler}, title = {Extending High-Level Synthesis for Task-Parallel Programs}, booktitle = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021}, pages = {225}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3431920.3439470}, doi = {10.1145/3431920.3439470}, timestamp = {Wed, 24 Feb 2021 15:58:34 +0100}, biburl = {https://dblp.org/rec/conf/fpga/ChiGC0C21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ChoiCQSC21, author = {Young{-}kyu Choi and Yuze Chi and Weikang Qiao and Nikola Samardzic and Jason Cong}, editor = {Lesley Shannon and Michael Adler}, title = {{HBM} Connect: High-Performance {HLS} Interconnect for {FPGA} {HBM}}, booktitle = {{FPGA} '21: The 2021 {ACM/SIGDA} International Symposium on Field Programmable Gate Arrays, Virtual Event, USA, February 28 - March 2, 2021}, pages = {116--126}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3431920.3439301}, doi = {10.1145/3431920.3439301}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/ChoiCQSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/BaekCUYS21, author = {Kyungjune Baek and Yunjey Choi and Youngjung Uh and Jaejun Yoo and Hyunjung Shim}, title = {Rethinking the Truly Unsupervised Image-to-Image Translation}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {14134--14143}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.01389}, doi = {10.1109/ICCV48922.2021.01389}, timestamp = {Fri, 11 Mar 2022 10:01:27 +0100}, biburl = {https://dblp.org/rec/conf/iccv/BaekCUYS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/OhPPCLCY21, author = {Myoungwon Oh and Jiwoong Park and Sung Kyu Park and Adel Choi and Jongyoul Lee and Jin{-}Hyeok Choi and Heon Y. Yeom}, title = {Re-architecting Distributed Block Storage System for Improving Random Write Performance}, booktitle = {41st {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2021, Washington DC, USA, July 7-10, 2021}, pages = {104--114}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDCS51616.2021.00019}, doi = {10.1109/ICDCS51616.2021.00019}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/OhPPCLCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeLPC21, author = {Hyeon Kyu Lee and Ji{-}Hack Lee and Jin{-}Oh Park and Young{-}Seok Choi}, title = {Data-driven Data Augmentation for Motor Imagery Brain-Computer Interface}, booktitle = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, pages = {683--686}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICOIN50884.2021.9333908}, doi = {10.1109/ICOIN50884.2021.9333908}, timestamp = {Fri, 12 Feb 2021 11:35:06 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeLPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiLHKHC21, author = {KyungDon Choi and Chae{-}Seok Lee and Byunghoon Han and Youngho Kim and Nam Hyoung Ho and Ho{-}Jong Chang}, title = {Pandemic Simulator: {A} tool to simulate quarantine policy in pandemic}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1513--1515}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620778}, doi = {10.1109/ICTC52510.2021.9620778}, timestamp = {Tue, 21 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiLHKHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeongCP21, author = {Beom Young Jeong and Seungman Choi and Kyudong Park}, title = {Heuristic Evaluation for Augmentative and Alternative Communication Application: {A} Case Study}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1240--1243}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621032}, doi = {10.1109/ICTC52510.2021.9621032}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JeongCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgta/KimPACNWK21, author = {Jinsan Kim and Kyuchan Park and BoHyun Ahn and Jinchun Choi and Youngtae Noh and Dong{-}Jun Won and Taesic Kim}, title = {Real-Time Hardware-in-the-Loop Distributed Energy Resources System Testbed using {IEEE} 2030.5 Standard}, booktitle = {2021 {IEEE} {PES} Innovative Smart Grid Technologies - Asia {(ISGT} Asia), Brisbane, Australia, December 5-8, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISGTAsia49270.2021.9715595}, doi = {10.1109/ISGTASIA49270.2021.9715595}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgta/KimPACNWK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeKKC21, author = {Youngwook Lee and Kyung Ki Kim and Yong{-}Bin Kim and Minsu Choi}, title = {Stochastic Edge Detection for Fine-Grained Progressive Precision}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {119--120}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9614036}, doi = {10.1109/ISOCC53507.2021.9614036}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeeKKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCYKLBKKKCCPJ21, author = {Kyunghoon Kim and Joo{-}Hyung Chae and Jaehyeok Yang and Jihyo Kang and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Youngtaek Kim and Boram Kim and Dong{-}Hyun Kim and Yeongmuk Cho and Kangmoo Choi and Hyeongyeol Park and Junghwan Ji and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Kyubong Kong and Sunho Kim and Sangkwon Lee and Junhyun Chun and Hyungsoo Kim and Seon{-}Yong Cha}, title = {A 24Gb/s/pin 8Gb {GDDR6} with a Half-Rate Daisy-Chain-Based Clocking Architecture and {IO} Circuitry for Low-Noise Operation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {344--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365844}, doi = {10.1109/ISSCC42613.2021.9365844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCYKLBKKKCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCALCPPJKCYJ21, author = {Yong{-}Hun Kim and Hyung{-}Jin Kim and Jaemin Choi and Min{-}Su Ahn and Dongkeon Lee and Seung{-}Hyun Cho and Dong{-}Yeon Park and Young{-}Jae Park and Min{-}Soo Jang and Yong{-}Jun Kim and Jinyong Choi and Sung{-}Woo Yoon and Jae{-}Woo Jung and Jae{-}Koo Park and Jae{-}Woo Lee and Dae{-}Hyun Kwon and Hyung{-}Seok Cha and Si{-}Hyeong Cho and Seong{-}Hoon Kim and Jihwa You and Kyoung{-}Ho Kim and Dae{-}Hyun Kim and Byung{-}Cheol Kim and Young{-}Kwan Kim and Jun{-}Ho Kim and Seouk{-}Kyu Choi and Chanyoung Kim and Byongwook Na and Hye{-}In Choi and Reum Oh and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {25.2 {A} 16Gb Sub-1V 7.14Gb/s/pin {LPDDR5} {SDRAM} Applying a Mosaic Architecture with a Short-Feedback 1-Tap DFE, an {FSS} Bus with Low-Level Swing and an Adaptively Controlled Body Biasing in a 3\({}^{\mbox{rd}}\)-Generation 10nm {DRAM}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {346--348}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366050}, doi = {10.1109/ISSCC42613.2021.9366050}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCALCPPJKCYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/ChoiPPK21, author = {Young Ah Choi and Kyung Ho Park and Eunji Park and Huy Kang Kim}, editor = {Hyoungshick Kim}, title = {Unsupervised Driver Behavior Profiling Leveraging Recurrent Neural Networks}, booktitle = {Information Security Applications - 22nd International Conference, {WISA} 2021, Jeju Island, South Korea, August 11-13, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13009}, pages = {28--38}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89432-0\_3}, doi = {10.1007/978-3-030-89432-0\_3}, timestamp = {Fri, 29 Oct 2021 16:42:38 +0200}, biburl = {https://dblp.org/rec/conf/wisa/ChoiPPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-05931, author = {Taeyoung Kim and Luiz Felipe Vecchietti and Kyujin Choi and Sanem Sariel and Dongsoo Har}, title = {Two-stage training algorithm for {AI} robot soccer}, journal = {CoRR}, volume = {abs/2104.05931}, year = {2021}, url = {https://arxiv.org/abs/2104.05931}, eprinttype = {arXiv}, eprint = {2104.05931}, timestamp = {Mon, 19 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-05931.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-11426, author = {Kyubaek Yoon and Hojun You and Wei{-}Ying Wu and Chae Young Lim and Jongeun Choi and Connor Boss and Ahmed Ramadan and John M. Popovich Jr. and Jacek Cholewicki and N. Peter Reeves and Clark J. Radcliffe}, title = {Regularized Nonlinear Regression for Simultaneously Selecting and Estimating Key Model Parameters}, journal = {CoRR}, volume = {abs/2104.11426}, year = {2021}, url = {https://arxiv.org/abs/2104.11426}, eprinttype = {arXiv}, eprint = {2104.11426}, timestamp = {Tue, 27 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-11426.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-03625, author = {Kyunghoon Hur and Jiyoung Lee and Jungwoo Oh and Wesley Price and Young{-}Hak Kim and Edward Choi}, title = {Unifying Heterogenous Electronic Health Records Systems via Text-Based Code Embedding}, journal = {CoRR}, volume = {abs/2108.03625}, year = {2021}, url = {https://arxiv.org/abs/2108.03625}, eprinttype = {arXiv}, eprint = {2108.03625}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-03625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-05079, author = {Young Ah Choi and Kyung Ho Park and Eunji Park and Huy Kang Kim}, title = {Unsupervised Driver Behavior Profiling leveraging Recurrent Neural Networks}, journal = {CoRR}, volume = {abs/2108.05079}, year = {2021}, url = {https://arxiv.org/abs/2108.05079}, eprinttype = {arXiv}, eprint = {2108.05079}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-05079.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-11081, author = {Linghao Song and Yuze Chi and Atefeh Sohrabizadeh and Young{-}kyu Choi and Jason Lau and Jason Cong}, title = {Sextans: {A} Streaming Accelerator for General-Purpose Sparse-Matrix Dense-Matrix Multiplication}, journal = {CoRR}, volume = {abs/2109.11081}, year = {2021}, url = {https://arxiv.org/abs/2109.11081}, eprinttype = {arXiv}, eprint = {2109.11081}, timestamp = {Mon, 27 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-11081.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01840, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep reinforcement learning for guidewire navigation in coronary artery phantom}, journal = {CoRR}, volume = {abs/2110.01840}, year = {2021}, url = {https://arxiv.org/abs/2110.01840}, eprinttype = {arXiv}, eprint = {2110.01840}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-09098, author = {Kyunghoon Hur and Jiyoung Lee and Jungwoo Oh and Wesley Price and Young{-}Hak Kim and Edward Choi}, title = {Unifying Heterogenous Electronic Health Records Systems via Text-Based Code Embedding}, journal = {CoRR}, volume = {abs/2111.09098}, year = {2021}, url = {https://arxiv.org/abs/2111.09098}, eprinttype = {arXiv}, eprint = {2111.09098}, timestamp = {Mon, 22 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-09098.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKLCH20, author = {Moon Hwan Lee and Jun{-}Young Kim and Kyungsu Lee and Chang{-}Hyuk Choi and Jae Youn Hwang}, title = {Wide-Field 3D Ultrasound Imaging Platform With a Semi-Automatic 3D Segmentation Algorithm for Quantitative Analysis of Rotator Cuff Tears}, journal = {{IEEE} Access}, volume = {8}, pages = {65472--65487}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2985858}, doi = {10.1109/ACCESS.2020.2985858}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKLCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/VecchiettiKCHH20, author = {Luiz Felipe Vecchietti and Taeyoung Kim and Kyujin Choi and Junhee Hong and Dongsoo Har}, title = {Batch Prioritization in Multigoal Reinforcement Learning}, journal = {{IEEE} Access}, volume = {8}, pages = {137449--137461}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3012204}, doi = {10.1109/ACCESS.2020.3012204}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/VecchiettiKCHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WooKCKSPBPL20, author = {Sung Yun Woo and Dongseok Kwon and Nagyong Choi and Won{-}Mook Kang and Young{-}Tak Seo and Min Kyu Park and Jong{-}Ho Bae and Byung{-}Gook Park and Jong{-}Ho Lee}, title = {Low-Power and High-Density Neuron Device for Simultaneous Processing of Excitatory and Inhibitory Signals in Neuromorphic Systems}, journal = {{IEEE} Access}, volume = {8}, pages = {202639--202647}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3036088}, doi = {10.1109/ACCESS.2020.3036088}, timestamp = {Thu, 03 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WooKCKSPBPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/KimCLLPYR20, author = {Jin Hwan Kim and Kyu Young Choi and Sang{-}Hyo Lee and Dong Jin Lee and Bum Jung Park and Dae Young Yoon and Young{-}Soo Rho}, title = {The value of CT, MRI, and {PET-CT} in detecting retropharyngeal lymph node metastasis of head and neck squamous cell carcinoma}, journal = {{BMC} Medical Imaging}, volume = {20}, number = {1}, pages = {88}, year = {2020}, url = {https://doi.org/10.1186/s12880-020-00487-y}, doi = {10.1186/S12880-020-00487-Y}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/KimCLLPYR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/OhCSPKK20, author = {Jooseon Oh and Kyujeong Choi and Gwan{-}hee Son and Young{-}Jun Park and Young{-}Sun Kang and Young{-}Joo Kim}, title = {Flow analysis inside tractor cabin for determining air conditioner vent location}, journal = {Comput. Electron. Agric.}, volume = {169}, pages = {105199}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2019.105199}, doi = {10.1016/J.COMPAG.2019.105199}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cea/OhCSPKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/LeeKKCCHPKL20, author = {Songil Lee and Gyouhyung Kyung and Minjoong Kim and Donghee Choi and Hyeeun Choi and Kitae Hwang and Seonghyeok Park and Su Young Kim and Seungbae Lee}, title = {Shaping Rollable Display Devices: Effects of Gripping Condition, Device Thickness, and Hand Length on Bimanual Perceived Grip Comfort}, journal = {Hum. Factors}, volume = {62}, number = {5}, year = {2020}, url = {https://doi.org/10.1177/0018720819855225}, doi = {10.1177/0018720819855225}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/LeeKKCCHPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/MahmoodAC20, author = {Muhammad Tariq Mahmood and Usman Ali and Young{-}Kyu Choi}, title = {Single image defocus blur segmentation using Local Ternary Pattern}, journal = {{ICT} Express}, volume = {6}, number = {2}, pages = {113--116}, year = {2020}, url = {https://doi.org/10.1016/j.icte.2019.10.003}, doi = {10.1016/J.ICTE.2019.10.003}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/MahmoodAC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jid/LeeCCHKKLLLOPPP20, author = {Hyun{-}Kyung Lee and Sue{-}Yeon Chae and Seung{-}Yeon Choi and Dong{-}Hwan Hong and Sang{-}Gu Kang and Gyomin Koo and Seo{-}Hyeon Lee and Sun{-}Woo Lee and Young{-}Seo Lee and Myung{-}Woo Oh and Geena Park and Ji{-}Hyun Park and Sangha Park}, title = {Design Thinking with Appropriate Technology for Improving Social Sustainability: Critical and Comprehensive Criteria}, journal = {J. Integr. Des. Process. Sci.}, volume = {24}, number = {2}, pages = {29--51}, year = {2020}, url = {https://doi.org/10.3233/JID200012}, doi = {10.3233/JID200012}, timestamp = {Thu, 24 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jid/LeeCCHKKLLLOPPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/KimLSLKLSOLJLHA20, author = {Hyungtai Kim and Minhee Lee and Min Kyun Sohn and Jongmin Lee and Deog Young Kim and Sam{-}Gyu Lee and Yong{-}Il Shin and Gyung{-}Jae Oh and Yang{-}Soo Lee and Cheol Min Joo and So Young Lee and Junhee Han and Jeonghoon Ahn and Won Hyuk Chang and Ji Yoo Choi and Sung Hyun Kang and Dong Han Lee and Young Taek Kim and Mun{-}Taek Choi and Yun{-}Hee Kim}, title = {Simultaneous Clustering and Classification of Function Recovery Patterns of Ischemic Stroke}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {6}, pages = {1401--1407}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3061}, doi = {10.1166/JMIHI.2020.3061}, timestamp = {Mon, 12 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/KimLSLKLSOLJLHA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/KimYSCL20, author = {Sun Kyung Kim and Hyoseok Yoon and Choonsung Shin and Jongmyung Choi and Youngho Lee}, title = {Brief Paper: Design and Implementation of a Smart Glass Application for {XR} Assisted Training of Core Nursing Skills}, journal = {J. Multim. Inf. Syst.}, volume = {7}, number = {4}, pages = {277--280}, year = {2020}, url = {https://doi.org/10.33851/jmis.2020.7.4.277}, doi = {10.33851/JMIS.2020.7.4.277}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmmis/KimYSCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/ChoiC20, author = {So{-}Young Choi and Kyungyong Chung}, title = {Knowledge process of health big data using MapReduce-based associative mining}, journal = {Pers. Ubiquitous Comput.}, volume = {24}, number = {5}, pages = {571--581}, year = {2020}, url = {https://doi.org/10.1007/s00779-019-01230-3}, doi = {10.1007/S00779-019-01230-3}, timestamp = {Mon, 28 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/ChoiC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimCL20, author = {Kyuseok Kim and Jaegu Choi and Youngjin Lee}, title = {Effectiveness of Non-Local Means Algorithm with an Industrial 3 MeV {LINAC} High-Energy X-ray System for Non-Destructive Testing}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2634}, year = {2020}, url = {https://doi.org/10.3390/s20092634}, doi = {10.3390/S20092634}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeSYCACHC20, author = {Yong{-}Woo Lee and Joon{-}Young Soh and Il{-}Ryeol Yoo and Jiung Cho and Cheol{-}Woo Ahn and Jong{-}Jin Choi and Byung{-}Dong Hahn and Kyung{-}Hoon Cho}, title = {High Magnetic Field Sensitivity in Ferromagnetic-Ferroelectric Composite with High Mechanical Quality Factor}, journal = {Sensors}, volume = {20}, number = {22}, pages = {6635}, year = {2020}, url = {https://doi.org/10.3390/s20226635}, doi = {10.3390/S20226635}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeSYCACHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PyunKKCP20, author = {Joo Young Pyun and Young Hun Kim and Soo Won Kwon and Won Young Choi and Kwan Kyu Park}, title = {Comparison between Resonance and Non-Resonance Type Piezoelectric Acoustic Absorbers}, journal = {Sensors}, volume = {20}, number = {1}, pages = {47}, year = {2020}, url = {https://doi.org/10.3390/s20010047}, doi = {10.3390/S20010047}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PyunKKCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/ChoiCWC20, author = {Young{-}kyu Choi and Yuze Chi and Jie Wang and Jason Cong}, title = {{FLASH:} Fast, Parallel, and Accurate Simulator for {HLS}}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {39}, number = {12}, pages = {4828--4841}, year = {2020}, url = {https://doi.org/10.1109/TCAD.2020.2970597}, doi = {10.1109/TCAD.2020.2970597}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/ChoiCWC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChhayaCLCL20, author = {PhalPheaktra Chhaya and Chi{-}Hwan Choi and Kyung{-}Hee Lee and Wan{-}Sup Cho and Young{-}Sung Lee}, title = {{KMLOD:} linked open data service for Korean medical database}, journal = {J. Supercomput.}, volume = {76}, number = {10}, pages = {7758--7776}, year = {2020}, url = {https://doi.org/10.1007/s11227-017-1970-5}, doi = {10.1007/S11227-017-1970-5}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChhayaCLCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedATBCZ20, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yue Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2077--2088}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Abdelhamed\_NTIRE\_2020\_Challenge\_on\_Real\_Image\_Denoising\_Dataset\_Methods\_and\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedATBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimRCH20, author = {Woojeong Kim and So Young Rhim and John Y. J. Choi and Kyungsik Han}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa}, title = {Modeling Learners' Programming Skills and Question Levels Through Machine Learning}, booktitle = {{HCI} International 2020 - Late Breaking Posters - 22nd International Conference, {HCII} 2020, Copenhagen, Denmark, July 19-24, 2020, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {1294}, pages = {281--288}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-60703-6\_36}, doi = {10.1007/978-3-030-60703-6\_36}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/KimRCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icccn/HanC20, author = {Yull Kyu Han and Young Bok Choi}, title = {Human Action Recognition for Disaster Detection}, booktitle = {29th International Conference on Computer Communications and Networks, {ICCCN} 2020, Honolulu, HI, USA, August 3-6, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCCN49398.2020.9209749}, doi = {10.1109/ICCCN49398.2020.9209749}, timestamp = {Tue, 06 Oct 2020 16:10:07 +0200}, biburl = {https://dblp.org/rec/conf/icccn/HanC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/DoKLKC020, author = {Hoseok Do and Jihyun Kim and Kwon Lee and Deukhyeon Kim and Kyuyeol Chae and Jin Young Choi}, title = {Implementation of CNN-based parking slot type classification using around view images}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9212312}, doi = {10.1109/ICCE46568.2020.9212312}, timestamp = {Wed, 23 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/DoKLKC020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPLCH20, author = {Jangkyum Kim and Hyunseo Park and Gyeong Ho Lee and Jun Kyun Choi and Youngjoo Heo}, title = {Seal-bid renewable energy certification trading in power system using blockchain technology}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1752--1756}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289395}, doi = {10.1109/ICTC49870.2020.9289395}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimPLCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkCSNS20, author = {Hyeong{-}Sook Park and Eun{-}Young Choi and Young Seog Song and Song Noh and Kyungsik Seo}, title = {DNN-based Phase Noise Compensation for Sub-THz Communications}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {866--868}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289411}, doi = {10.1109/ICTC49870.2020.9289411}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkCSNS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonLLHKSLCKLKP20, author = {Minho Kwon and Seunghyun Lim and Hyeokjong Lee and Il{-}Seon Ha and Moo{-}Young Kim and Il{-}Jin Seo and Suho Lee and Yongsuk Choi and Kyunghoon Kim and Hansoo Lee and Won{-}Woong Kim and Seonghye Park and Kyongmin Koh and Jesuk Lee and Yongin Park}, title = {A Low-Power 65/14nm Stacked {CMOS} Image Sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180435}, doi = {10.1109/ISCAS45731.2020.9180435}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KwonLLHKSLCKLKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/Rho0KC20, author = {Daewon Rho and Minkyu Kim and Hyun{-}Kyu Kim and Woo{-}Young Choi}, title = {Performance Optimization of Silicon Photonic Ring Switch with {CMOS} Driver}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {49--50}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9332951}, doi = {10.1109/ISOCC50952.2020.9332951}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/Rho0KC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiUKYLL20, author = {Kyung{-}Sik Choi and Dzuhri Radityo Utomo and Keun{-}Mok Kim and Byeonghun Yun and Sang{-}Gug Lee and In{-}Young Lee}, title = {29.7 {A} 490GHz 32mW Fully Integrated {CMOS} Receiver Adopting Dual-Locking {FLL}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {452--454}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062916}, doi = {10.1109/ISSCC19947.2020.9062916}, timestamp = {Wed, 02 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiUKYLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCBKKRPKCSLSHC20, author = {Chi{-}Sung Oh and Ki Chul Chun and Young{-}Yong Byun and Yong{-}Ki Kim and So{-}Young Kim and Yesin Ryu and Jaewon Park and Sinho Kim and Sang{-}uhn Cha and Dong{-}Hak Shin and Jungyu Lee and Jong{-}Pil Son and Byung{-}Kyu Ho and Seong{-}Jin Cho and Beomyong Kil and Sungoh Ahn and Baekmin Lim and Yong{-}Sik Park and Kijun Lee and Myung{-}Kyu Lee and Seungduk Baek and Junyong Noh and Jae{-}Wook Lee and Seungseob Lee and Sooyoung Kim and Bo{-}Tak Lim and Seouk{-}Kyu Choi and Jin{-}Guk Kim and Hye{-}In Choi and Hyuk{-}Jun Kwon and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.1 {A} 1.1V 16GB 640GB/s {HBM2E} {DRAM} with a Data-Bus Window-Extension Technique and a Synergetic On-Die {ECC} Scheme}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {330--332}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063110}, doi = {10.1109/ISSCC19947.2020.9063110}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCBKKRPKCSLSHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YooLPLBKCCYYCKJ20, author = {Byoung{-}Joo Yoo and Dong{-}Hyuk Lim and Hyonguk Pang and June{-}Hee Lee and Seung{-}Yeob Baek and Naxin Kim and Dong{-}Ho Choi and Young{-}Ho Choi and Hyeyeon Yang and Taehun Yoon and Sang{-}Hyeok Chu and Kangjik Kim and Woochul Jung and Bong{-}Kyu Kim and Jaechol Lee and Gunil Kang and Sang{-}Hune Park and Michael Choi and Jongshin Shin}, title = {6.4 {A} 56Gb/s 7.7mW/Gb/s {PAM-4} Wireline Transceiver in 10nm FinFET Using MM-CDR-Based {ADC} Timing Skew Control and Low-Power {DSP} with Approximate Multiplier}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {122--124}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062964}, doi = {10.1109/ISSCC19947.2020.9062964}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YooLPLBKCCYYCKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobicom/LeeYLCK20, author = {Kyungjin Lee and Juheon Yi and Youngki Lee and Sunghyun Choi and Young Min Kim}, title = {{GROOT:} a real-time streaming system of high-fidelity volumetric videos}, booktitle = {MobiCom '20: The 26th Annual International Conference on Mobile Computing and Networking, London, United Kingdom, September 21-25, 2020}, pages = {57:1--57:14}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3372224.3419214}, doi = {10.1145/3372224.3419214}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobicom/LeeYLCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/0003KJKLMZC30, author = {Minkyu Kim and Min{-}Hyeong Kim and Youngkwan Jo and Hyun{-}Kyu Kim and Stefan Lischke and Christian Mai and Lars Zimmermann and Woo{-}Young Choi}, title = {A Fully Integrated 25 Gb/s Si Ring Modulator Transmitter with a Temperature Controller}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2020, San Diego, CA, USA, March 8-12, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://ieeexplore.ieee.org/document/9083345}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/0003KJKLMZC30.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sma2/ChoiCPJLS20, author = {Han{-}Suk Choi and Jeong{-}Hyeon Choi and Kyung{-}Woo Park and Seok Won Jung and Han Kyu Lim and Younghak Shin}, title = {Fish Activity State and Fuzzy Inference based an Intelligence Fish Feeding Model}, booktitle = {{SMA} 2020: The 9th International Conference on Smart Media and Applications, Jeju, Republic of Korea, September 17 - 19, 2020}, pages = {405--406}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3426020.3426146}, doi = {10.1145/3426020.3426146}, timestamp = {Tue, 09 Nov 2021 11:47:09 +0100}, biburl = {https://dblp.org/rec/conf/sma2/ChoiCPJLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-11819, author = {Younghwan Na and Jun Hee Kim and Kyungsu Lee and Juhum Park and Jae Youn Hwang and Jihwan P. Choi}, title = {Domain Adaptive Transfer Attack (DATA)-based Segmentation Networks for Building Extraction from Aerial Images}, journal = {CoRR}, volume = {abs/2004.11819}, year = {2020}, url = {https://arxiv.org/abs/2004.11819}, eprinttype = {arXiv}, eprint = {2004.11819}, timestamp = {Tue, 28 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-11819.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04117, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yun Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2005.04117}, year = {2020}, url = {https://arxiv.org/abs/2005.04117}, eprinttype = {arXiv}, eprint = {2005.04117}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-06500, author = {Kyungjune Baek and Yunjey Choi and Youngjung Uh and Jaejun Yoo and Hyunjung Shim}, title = {Rethinking the Truly Unsupervised Image-to-Image Translation}, journal = {CoRR}, volume = {abs/2006.06500}, year = {2020}, url = {https://arxiv.org/abs/2006.06500}, eprinttype = {arXiv}, eprint = {2006.06500}, timestamp = {Sat, 13 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-06500.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-11389, author = {Yuze Chi and Licheng Guo and Young{-}kyu Choi and Jie Wang and Jason Cong}, title = {Extending High-Level Synthesis for Task-Parallel Programs}, journal = {CoRR}, volume = {abs/2009.11389}, year = {2020}, url = {https://arxiv.org/abs/2009.11389}, eprinttype = {arXiv}, eprint = {2009.11389}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-11389.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-06075, author = {Young{-}kyu Choi and Yuze Chi and Jie Wang and Licheng Guo and Jason Cong}, title = {When {HLS} Meets {FPGA} {HBM:} Benchmarking and Bandwidth Optimization}, journal = {CoRR}, volume = {abs/2010.06075}, year = {2020}, url = {https://arxiv.org/abs/2010.06075}, eprinttype = {arXiv}, eprint = {2010.06075}, timestamp = {Tue, 20 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-06075.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@phdthesis{DBLP:phd/us/Choi19a, author = {Young{-}kyu Choi}, title = {Performance Debugging Frameworks for {FPGA} High-Level Synthesis}, school = {University of California, Los Angeles, {USA}}, year = {2019}, url = {https://www.escholarship.org/uc/item/1f19x5nc}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/phd/us/Choi19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKBJ19, author = {Hongjin Choi and Yeonhwa Kim and Jae{-}Woo Baek and Kyung{-}Young Jung}, title = {Accurate and Efficient Finite-Difference Time-Domain Simulation Compared With {CCPR} Model for Complex Dispersive Media}, journal = {{IEEE} Access}, volume = {7}, pages = {160498--160505}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2951173}, doi = {10.1109/ACCESS.2019.2951173}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiKBJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiSYCS19, author = {Seungjin Choi and Seong{-}Joon Shim and Young{-}Hwan You and Jaesang Cha and Hyoung{-}Kyu Song}, title = {Novel {MIMO} Detection With Improved Complexity for Near-ML Detection in {MIMO-OFDM} Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {60389--60398}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2914707}, doi = {10.1109/ACCESS.2019.2914707}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiSYCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangMPJKC19, author = {Young Rok Jang and Kyungsik Min and Sangjoon Park and Minchae Jung and Kyeongjun Ko and Sooyong Choi}, title = {Dispersed Signal Transmission and Reception Scheme for Full-Duplex Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {138771--138778}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2941139}, doi = {10.1109/ACCESS.2019.2941139}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JangMPJKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JoKKC19, author = {Kyungmin Jo and Jihoon Kweon and Young{-}Hak Kim and Jaesoon Choi}, title = {Segmentation of the Main Vessel of the Left Anterior Descending Artery Using Selective Feature Mapping in Coronary Angiography}, journal = {{IEEE} Access}, volume = {7}, pages = {919--930}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2018.2886009}, doi = {10.1109/ACCESS.2018.2886009}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JoKKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/HuhHCPKWCWK19, author = {Jimi Huh and Su Jung Ham and Young Chul Cho and Bumwoo Park and Bohyun Kim and Chul{-}Woong Woo and Yoonseok Choi and Dong{-}Cheol Woo and Kyung Won Kim}, title = {Gadoxetate-enhanced dynamic contrast-enhanced {MRI} for evaluation of liver function and liver fibrosis in preclinical trials}, journal = {{BMC} Medical Imaging}, volume = {19}, number = {1}, pages = {89:1--89:9}, year = {2019}, url = {https://doi.org/10.1186/s12880-019-0378-5}, doi = {10.1186/S12880-019-0378-5}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/HuhHCPKWCWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/YeomCKCSC19, author = {Yoo Kyung Yeom and Eun Young Chae and Hak Hee Kim and Joo Hee Cha and Hee Jung Shin and Woo Jung Choi}, title = {Screening mammography for second breast cancers in women with history of early-stage breast cancer: factors and causes associated with non-detection}, journal = {{BMC} Medical Imaging}, volume = {19}, number = {1}, pages = {2:1--2:9}, year = {2019}, url = {https://doi.org/10.1186/s12880-018-0303-3}, doi = {10.1186/S12880-018-0303-3}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/YeomCKCSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LeeC19, author = {Hyeon Kyu Lee and Young{-}Seok Choi}, title = {Application of Continuous Wavelet Transform and Convolutional Neural Network in Decoding Motor Imagery Brain-Computer Interface}, journal = {Entropy}, volume = {21}, number = {12}, pages = {1199}, year = {2019}, url = {https://doi.org/10.3390/e21121199}, doi = {10.3390/E21121199}, timestamp = {Wed, 15 Jan 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/LeeC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iahe/LeeCC19, author = {Kyungmee Lee and Hyoseon Choi and Young Hoan Cho}, title = {Becoming a competent self: {A} developmental process of adult distance learning}, journal = {Internet High. Educ.}, volume = {41}, pages = {25--33}, year = {2019}, url = {https://doi.org/10.1016/j.iheduc.2018.12.001}, doi = {10.1016/J.IHEDUC.2018.12.001}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iahe/LeeCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/YouLKOSC19, author = {Won Suk You and Young Hun Lee and Gitae Kang and Hyun Seok Oh and Joon Kyue Seo and Hyouk Ryeol Choi}, title = {Kinematic design optimization for anthropomorphic robot hand based on interactivity of fingers}, journal = {Intell. Serv. Robotics}, volume = {12}, number = {2}, pages = {197--208}, year = {2019}, url = {https://doi.org/10.1007/s11370-019-00274-x}, doi = {10.1007/S11370-019-00274-X}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/YouLKOSC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/YouLKOSC19a, author = {Won Suk You and Young Hun Lee and Gitae Kang and Hyun Seok Oh and Joon Kyue Seo and Hyouk Ryeol Choi}, title = {Correction to: Kinematic design optimization for anthropomorphic robot hand based on interactivity of fingers}, journal = {Intell. Serv. Robotics}, volume = {12}, number = {3}, pages = {275}, year = {2019}, url = {https://doi.org/10.1007/s11370-019-00276-9}, doi = {10.1007/S11370-019-00276-9}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/YouLKOSC19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/NohPCKR19, author = {Yung{-}Kyun Noh and Ji Young Park and Byoung Geol Choi and Kee{-}Eung Kim and Seung{-}Woon Rha}, title = {A Machine Learning-Based Approach for the Prediction of Acute Coronary Syndrome Requiring Revascularization}, journal = {J. Medical Syst.}, volume = {43}, number = {8}, pages = {253:1--253:8}, year = {2019}, url = {https://doi.org/10.1007/s10916-019-1359-5}, doi = {10.1007/S10916-019-1359-5}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/NohPCKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/KimKCCKKLYKLLR19, author = {Kwang Soon Kim and Dong Ku Kim and Chan{-}Byoung Chae and Sunghyun Choi and Young{-}Chai Ko and Jonghyun Kim and Yeon{-}Geun Lim and Minho Yang and Sundo Kim and Byungju Lim and Kwanghoon Lee and Kyung Lin Ryu}, title = {Ultrareliable and Low-Latency Communication Techniques for Tactile Internet Services}, journal = {Proc. {IEEE}}, volume = {107}, number = {2}, pages = {376--393}, year = {2019}, url = {https://doi.org/10.1109/JPROC.2018.2868995}, doi = {10.1109/JPROC.2018.2868995}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pieee/KimKCCKKLYKLLR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/KimBKCJJLC19, author = {Woongbae Kim and Junghwan Byun and Jae{-}Kyeong Kim and Woo{-}Young Choi and Kirsten Jakobsen and Joachim Jakobsen and Dae{-}Young Lee and Kyu{-}Jin Cho}, title = {Bioinspired dual-morphing stretchable origami}, journal = {Sci. Robotics}, volume = {4}, number = {36}, year = {2019}, url = {https://doi.org/10.1126/scirobotics.aay3493}, doi = {10.1126/SCIROBOTICS.AAY3493}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/KimBKCJJLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiJKKPP19, author = {Won Young Choi and Hyeong Geun Jo and Soo Won Kwon and Young Hun Kim and Joo Young Pyun and Kwan Kyu Park}, title = {Multipoint-Detection Strain Sensor with a Single Electrode Using Optical Ultrasound Generated by Carbon Nanotubes}, journal = {Sensors}, volume = {19}, number = {18}, pages = {3877}, year = {2019}, url = {https://doi.org/10.3390/s19183877}, doi = {10.3390/S19183877}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiJKKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKJPKP19, author = {Won Young Choi and Young Hun Kim and Hyeong Geun Jo and Joo Young Pyun and Soo Won Kwon and Kwan Kyu Park}, title = {Single-Shot Waterless Low-Profile Photoacoustic System: Near-Field Volumetric Imaging In Vivo for Blood Vessels Based on Capacitive Micromachined Ultrasonic Transducer {(CMUT)}}, journal = {Sensors}, volume = {19}, number = {5}, pages = {995}, year = {2019}, url = {https://doi.org/10.3390/s19050995}, doi = {10.3390/S19050995}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKJPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tches/SimKCCPH19, author = {Bo{-}Yeon Sim and Jihoon Kwon and Kyu Young Choi and Jihoon Cho and Aesun Park and Dong{-}Guk Han}, title = {Novel Side-Channel Attacks on Quasi-Cyclic Code-Based Cryptography}, journal = {{IACR} Trans. Cryptogr. Hardw. Embed. Syst.}, volume = {2019}, number = {4}, pages = {180--212}, year = {2019}, url = {https://doi.org/10.13154/tches.v2019.i4.180-212}, doi = {10.13154/TCHES.V2019.I4.180-212}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tches/SimKCCPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KhanKLHCYLKPK19, author = {Muhammad Umar Karim Khan and Asim Khan and Jinyeon Lim and Said Hamidov and Won{-}Seok Choi and Woojin Yun and Yeongmin Lee and Young{-}Gyu Kim and Hyun Sang Park and Chong{-}Min Kyung}, title = {Offset Aperture: {A} Passive Single-Lens Camera for Depth Sensing}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {29}, number = {5}, pages = {1380--1393}, year = {2019}, url = {https://doi.org/10.1109/TCSVT.2018.2840053}, doi = {10.1109/TCSVT.2018.2840053}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KhanKLHCYLKPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trets/ChoiCFHRW18, author = {Young{-}kyu Choi and Jason Cong and Zhenman Fang and Yuchen Hao and Glenn Reinman and Peng Wei}, title = {In-Depth Analysis on Microarchitectures of Modern Heterogeneous {CPU-FPGA} Platforms}, journal = {{ACM} Trans. Reconfigurable Technol. Syst.}, volume = {12}, number = {1}, pages = {4:1--4:20}, year = {2019}, url = {https://doi.org/10.1145/3294054}, doi = {10.1145/3294054}, timestamp = {Fri, 24 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trets/ChoiCFHRW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/KimCKKC19, author = {Jongwoo Kim and Woo{-}Young Choi and Sungchul Kang and Chunwoo Kim and Kyu{-}Jin Cho}, title = {Continuously Variable Stiffness Mechanism Using Nonuniform Patterns on Coaxial Tubes for Continuum Microsurgical Robot}, journal = {{IEEE} Trans. Robotics}, volume = {35}, number = {6}, pages = {1475--1487}, year = {2019}, url = {https://doi.org/10.1109/TRO.2019.2931480}, doi = {10.1109/TRO.2019.2931480}, timestamp = {Thu, 19 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/KimCKKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChangSKLOPKPCKK19, author = {Jooyoung Chang and Joo Young Shin and Taehoon Ko and Jinho Lee and Baek{-}Lok Oh and Ki Ho Park and Ahryoung Ko and Sang Min Park and Seulggie Choi and Kyuwoong Kim and Sung Min Kim and Jae Moon Yun and Uk Kang and Il Hyung Shin}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Association of DeepLearning-Based Fundus Age Difference with Carotid Atherosclerosis and Mortality}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1179--1181}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983160}, doi = {10.1109/BIBM47256.2019.8983160}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ChangSKLOPKPCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cans/ChoiKYMC19, author = {Kyu Young Choi and Eunkyung Kim and Hyo Jin Yoon and Dukjae Moon and Jihoon Cho}, editor = {Yi Mu and Robert H. Deng and Xinyi Huang}, title = {Generic Construction of Bounded-Collusion {IBE} via Table-Based ID-to-Key Map}, booktitle = {Cryptology and Network Security - 18th International Conference, {CANS} 2019, Fuzhou, China, October 25-27, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11829}, pages = {457--469}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-31578-8\_25}, doi = {10.1007/978-3-030-31578-8\_25}, timestamp = {Thu, 17 Mar 2022 14:41:28 +0100}, biburl = {https://dblp.org/rec/conf/cans/ChoiKYMC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiKJKCJ19, author = {Jin{-}Kyu Choi and Young{-}Jin Kwon and Juil Jeon and Kyongho Kim and Hyunkyun Choi and Byungtae Jang}, title = {Configurable Automotive Cluster Display Considering Driver's Cognitive Characteristics}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706469}, doi = {10.23919/ELINFOCOM.2019.8706469}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiKJKCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimLCLLCLSK19, author = {Sang{-}Hyo Kim and Eun{-}Gyu Lee and Sun{-}Kyu Choi and Jung{-}Taek Lim and Jae{-}Eun Lee and Han{-}Woong Choi and Kyung{-}Hyeok Lee and Jae{-}Hyeok Song and Choul{-}Young Kim}, title = {Design and Analyze of Compact Ku-Band Wilkinson Power Combiner in 65 nm {CMOS} Technology}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706442}, doi = {10.23919/ELINFOCOM.2019.8706442}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KimLCLLCLSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/SongLCLLCLKK19, author = {Jae{-}Hyeok Song and Eun{-}Gyu Lee and Sun{-}Kyu Choi and Jung{-}Taek Lim and Jae{-}Eun Lee and Han{-}Woong Choi and Kyung{-}Hyeok Lee and Sang{-}Hyo Kim and Choul{-}Young Kim}, title = {Wireless {DC} power Generator for Passive {RFID} by using Cockcroft-Walton Voltage Multiplier in {CMOS} 0.18um Technology}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706387}, doi = {10.23919/ELINFOCOM.2019.8706387}, timestamp = {Thu, 05 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/SongLCLLCLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChoiJKBYSSJSSSK19, author = {Gwang Jin Choi and Jungwoo Jang and Sunhyo Kim and Changhoon Baek and Seunghyeon Yun and Shinyong Shim and Jungmin Seo and Younginha Jung and Kangmoon Seo and Jong{-}Mo Seo and Yoon{-}Kyu Song and Sung June Kim}, title = {A Fully Implantable Wireless Stimulation System for Pigeon Navigation}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {5310--5313}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857039}, doi = {10.1109/EMBC.2019.8857039}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChoiJKBYSSJSSSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fpga/ChiCCW19, author = {Yuze Chi and Young{-}kyu Choi and Jason Cong and Jie Wang}, editor = {Kia Bazargan and Stephen Neuendorffer}, title = {Rapid Cycle-Accurate Simulator for High-Level Synthesis}, booktitle = {Proceedings of the 2019 {ACM/SIGDA} International Symposium on Field-Programmable Gate Arrays, {FPGA} 2019, Seaside, CA, USA, February 24-26, 2019}, pages = {178--183}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3289602.3293918}, doi = {10.1145/3289602.3293918}, timestamp = {Wed, 05 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fpga/ChiCCW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/LeeKLCC19, author = {Young Seo Lee and Kyung Min Kim and Ji Heon Lee and Jeong Hwan Choi and Sung Woo Chung}, title = {A High-Performance Processing-in-Memory Accelerator for Inline Data Deduplication}, booktitle = {37th {IEEE} International Conference on Computer Design, {ICCD} 2019, Abu Dhabi, United Arab Emirates, November 17-20, 2019}, pages = {515--523}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCD46524.2019.00077}, doi = {10.1109/ICCD46524.2019.00077}, timestamp = {Tue, 18 Feb 2020 15:29:20 +0100}, biburl = {https://dblp.org/rec/conf/iccd/LeeKLCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ParkLCLC19, author = {Jiwoong Park and Minsik Lee and Hyung Jin Chang and Kyuewang Lee and Jin Young Choi}, title = {Symmetric Graph Convolutional Autoencoder for Unsupervised Graph Representation Learning}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {6518--6527}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00662}, doi = {10.1109/ICCV.2019.00662}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/ParkLCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKKJJ19, author = {Jin{-}Kyu Choi and Young{-}Jin Kwon and Kyongho Kim and Juil Jeon and Byungtae Jang}, title = {Driver Behavior Analysis and Warning System for Digital Cockpit Based on Driving Data}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1397--1399}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939875}, doi = {10.1109/ICTC46691.2019.8939875}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKKJJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimCHSH19, author = {Jangkyum Kim and Jun Kyun Choi and Youngjoo Heo and Hyunseok Seo and Jaeseob Han}, title = {Prediction of power consumption in the factory using long-short term memory}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {1211--1214}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939977}, doi = {10.1109/ICTC46691.2019.8939977}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimCHSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKJCCJ19, author = {Kyongho Kim and Young{-}Jin Kwon and Juil Jeon and Jin{-}Kyu Choi and Hyunkyun Choi and Byungtae Jang}, title = {Vehicle Interaction Engine Adaptive to Driver Characteristics and Driving Situations}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {737--739}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8940009}, doi = {10.1109/ICTC46691.2019.8940009}, timestamp = {Sat, 06 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimKJCCJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KwonCJKJ19, author = {Young{-}Jin Kwon and Jin{-}Kyu Choi and Juil Jeon and Kyongho Kim and Byungtae Jang}, title = {Design of Automotive Digital Instrument Cluster Adjustable to Driver's Cognitive Characteristics}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {461--463}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939993}, doi = {10.1109/ICTC46691.2019.8939993}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KwonCJKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/HanC19, author = {Yull Kyu Han and Young Bok Choi}, title = {Human Action Recognition based on {LSTM} Model using Smartphone Sensor}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {748--750}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806065}, doi = {10.1109/ICUFN.2019.8806065}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/HanC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiLLLLPKKS19, author = {Byungjune Choi and Younbaek Lee and Jongwon Lee and Minhyung Lee and Bokman Lim and Young Jin Park and Kyungrock Kim and Yong{-}Jae Kim and Youngbo Shim}, title = {Development of Adjustable Knee Assist Device for Wearable Robot based on Linkage and Rolling Joint}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {4043--4050}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967826}, doi = {10.1109/IROS40897.2019.8967826}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ChoiLLLLPKKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLMJHCPSPKLPC19, author = {Kyung{-}Soo Ha and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Jin{-}Hun Jang and Hyong{-}Ryol Hwang and Hyung{-}Joon Chi and Junghwan Park and Seungjun Shin and Dukha Park and Sang{-}Yun Kim and Sukhyun Lim and Kiwon Park and YeonKyu Choi and Young{-}Hwa Kim and Younghoon Son and Hyunyoon Cho and Byongwook Na and Hyo{-}Joo Ahn and Seungseob Lee and Seouk{-}Kyu Choi and Youn{-}Sik Park and Seok{-}Hun Hyun and Soobong Chang and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Tae{-}Young Oh and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 7.5Gb/s/pin {LPDDR5} {SDRAM} With {WCK} Clocking and Non-Target {ODT} for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {378--380}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662509}, doi = {10.1109/ISSCC.2019.8662509}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaLLMJHCPSPKLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/HaKLKCLK19, author = {Ji Su Ha and Su Hyun Kim and Sang Hee Lim and Taehoon Ko and Sae Won Choi and Hae Young Lee and Kyung Hwan Kim}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Introduction of a Program to Improve the Information Sharing System of Food Allergy Patients}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1674--1675}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190591}, doi = {10.3233/SHTI190591}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/HaKLKCLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/LeeJLLKLCCK19, author = {Hye Sook Lee and Da Na Jeong and Su In Lee and Shin Hae Lee and Kyung Hwan Kim and Hae Young Lee and Hyun Jai Cho and Sae Won Choi and Taehoon Ko}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Result and Effectiveness of Malicious E-mail Response Training in a Hospital}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1957}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190732}, doi = {10.3233/SHTI190732}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/LeeJLLKLCCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HeoKLLMKBYYKKPP19, author = {Jin{-}Seok Heo and Kihan Kim and Dong{-}Hoon Lee and Chang{-}Kyo Lee and Daesik Moon and Kiho Kim and Jin{-}Hyeok Baek and Sung{-}Woo Yoon and Hui{-}Kap Yang and Kyungryun Kim and Youngjae Kim and Bokgue Park and Su{-}Jin Park and Joung{-}Wook Moon and Jae{-}Hyung Lee and Yun{-}Sik Park and Soobong Jang and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {A 5Gb/s/pin 16Gb {LPDDR4/4X} Reconfigurable {SDRAM} with Voltage-High Keeper and a Prediction-based Fast-tracking {ZQ} Calibration}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {114}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778102}, doi = {10.23919/VLSIC.2019.8778102}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HeoKLLMKBYYKKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1907-04474, author = {Kwang Soon Kim and Dong Ku Kim and Chan{-}Byoung Chae and Sunghyun Choi and Young{-}Chai Ko and Jonghyun Kim and Yeon{-}Geun Lim and Minho Yang and Sundo Kim and Byungju Lim and Kwanghoon Lee and Kyung Lin Ryu}, title = {Ultrareliable and Low-Latency Communication Techniques for Tactile Internet Services}, journal = {CoRR}, volume = {abs/1907.04474}, year = {2019}, url = {http://arxiv.org/abs/1907.04474}, eprinttype = {arXiv}, eprint = {1907.04474}, timestamp = {Thu, 04 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1907-04474.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-02441, author = {Jiwoong Park and Minsik Lee and Hyung Jin Chang and Kyuewang Lee and Jin Young Choi}, title = {Symmetric Graph Convolutional Autoencoder for Unsupervised Graph Representation Learning}, journal = {CoRR}, volume = {abs/1908.02441}, year = {2019}, url = {http://arxiv.org/abs/1908.02441}, eprinttype = {arXiv}, eprint = {1908.02441}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-02441.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/JungCJCKBK18, author = {Chai Young Jung and Jong{-}Ye Choi and Seong Jik Jeong and Kyunghee Cho and Yong Duk Koo and Jin Hee Bae and Sukil Kim}, title = {Transformation of Arden Syntax's medical logic modules into ArdenML for a business rules management system}, journal = {Artif. Intell. Medicine}, volume = {92}, pages = {82--87}, year = {2018}, url = {https://doi.org/10.1016/j.artmed.2016.03.005}, doi = {10.1016/J.ARTMED.2016.03.005}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/artmed/JungCJCKBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LeeKLC18, author = {Wangjin Lee and Kyungmo Kim and Eun Young Lee and Jinwook Choi}, title = {Conditional random fields for clinical named entity recognition: {A} comparative study using Korean clinical texts}, journal = {Comput. Biol. Medicine}, volume = {101}, pages = {7--14}, year = {2018}, url = {https://doi.org/10.1016/j.compbiomed.2018.07.019}, doi = {10.1016/J.COMPBIOMED.2018.07.019}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LeeKLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/KimKMLKCKK18, author = {Hyungseup Kim and Youngwoon Ko and Yeongjin Mun and Byeoncheol Lee and Dong Kyue Kim and Byong{-}Deok Choi and Ji{-}Hoon Kim and Hyoungho Ko}, title = {Secure circuit with optical energy harvesting against unpowered physical attacks}, journal = {Comput. Electr. Eng.}, volume = {70}, pages = {74--82}, year = {2018}, url = {https://doi.org/10.1016/j.compeleceng.2018.06.005}, doi = {10.1016/J.COMPELECENG.2018.06.005}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/KimKMLKCKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/JangKMJC18, author = {Young Rok Jang and Taehyoung Kim and Kyungsik Min and Minchae Jung and Sooyong Choi}, title = {Statistical Beamforming Based on Effective Channel Gain for Spatially Correlated Massive {MIMO} Systems}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {1}, pages = {197--200}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2017.2740919}, doi = {10.1109/LCOMM.2017.2740919}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/JangKMJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimCYS18, author = {Jong{-}Kwang Kim and Seungjin Choi and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Selectively Iterative Detection Scheme Based on the Residual Power in {MIMO-OFDM}}, journal = {{IEICE} Trans. Commun.}, volume = {101-B}, number = {12}, pages = {2445--2452}, year = {2018}, url = {https://doi.org/10.1587/transcom.2018EBP3007}, doi = {10.1587/TRANSCOM.2018EBP3007}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimCYS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/LeePKC18, author = {Kyuhan Lee and Jinsoo Park and Iljoo Kim and Youngseok Choi}, title = {Predicting movie success with machine learning techniques: ways to improve accuracy}, journal = {Inf. Syst. Frontiers}, volume = {20}, number = {3}, pages = {577--588}, year = {2018}, url = {https://doi.org/10.1007/s10796-016-9689-z}, doi = {10.1007/S10796-016-9689-Z}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/LeePKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/HaLPPCCK18, author = {Jonghyun Ha and Minhee Lee and Keunhwan Park and Gee Ho Park and Tae Hyun Choi and Kyu{-}Jin Cho and Ho{-}Young Kim}, title = {Hygrobot: {A} self-locomotive ratcheted actuator powered by environmental humidity}, journal = {Sci. Robotics}, volume = {3}, number = {14}, year = {2018}, url = {https://doi.org/10.1126/scirobotics.aar2629}, doi = {10.1126/SCIROBOTICS.AAR2629}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/HaLPPCCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KimSC18, author = {Young{-}bin Kim and Dae Kyu Shin and Wan Choi}, title = {Rate-Energy Region in Wireless Information and Power Transfer: New Receiver Architecture and Practical Modulation}, journal = {{IEEE} Trans. Commun.}, volume = {66}, number = {6}, pages = {2751--2761}, year = {2018}, url = {https://doi.org/10.1109/TCOMM.2018.2805348}, doi = {10.1109/TCOMM.2018.2805348}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KimSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/SongKCKC18, author = {Seung{-}Min Song and Jin{-}Young Kim and Seung{-}Soo Choi and In{-}Dong Kim and Sun{-}Kyu Choi}, title = {New Simple-Structured {AC} Solid-State Circuit Breaker}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {65}, number = {11}, pages = {8455--8463}, year = {2018}, url = {https://doi.org/10.1109/TIE.2018.2809674}, doi = {10.1109/TIE.2018.2809674}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/SongKCKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiYKSK18, author = {Younggeun Choi and Kyounghwan Yoo and Shin{-}Jin Kang and Beomjoo Seo and Soo Kyun Kim}, title = {Development of a low-cost wearable sensing glove with multiple inertial sensors and a light and fast orientation estimation algorithm}, journal = {J. Supercomput.}, volume = {74}, number = {8}, pages = {3639--3652}, year = {2018}, url = {https://doi.org/10.1007/s11227-016-1833-5}, doi = {10.1007/S11227-016-1833-5}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiYKSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiYKSK18a, author = {Younggeun Choi and Kyounghwan Yoo and Shin{-}Jin Kang and Beomjoo Seo and Soo Kyun Kim}, title = {Erratum to: Development of a low-cost wearable sensing glove with multiple inertial sensors and a light and fast orientation estimation algorithm}, journal = {J. Supercomput.}, volume = {74}, number = {8}, pages = {3653}, year = {2018}, url = {https://doi.org/10.1007/s11227-017-2021-y}, doi = {10.1007/S11227-017-2021-Y}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiYKSK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ParkPC18, author = {Je{-}Ho Park and Young Bom Park and Soo{-}Kyung Choi}, title = {Representation and automatic generation of state-transition mapping tree}, journal = {J. Supercomput.}, volume = {74}, number = {8}, pages = {3855--3874}, year = {2018}, url = {https://doi.org/10.1007/s11227-018-2393-7}, doi = {10.1007/S11227-018-2393-7}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ParkPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/JunCKYKH18, author = {Jaeyung Jun and Kyu Hyun Choi and Hokwon Kim and Sang Ho Yu and Seon Wook Kim and Youngsun Han}, title = {Recovering from Biased Distribution of Faulty Cells in Memory by Reorganizing Replacement Regions through Universal Hashing}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {23}, number = {2}, pages = {16:1--16:21}, year = {2018}, url = {https://doi.org/10.1145/3131241}, doi = {10.1145/3131241}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/todaes/JunCKYKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/YangJCKK18, author = {MyungSeok Yang and Won{-}Kyun Joo and Kiseok Choi and Young{-}Kuk Kim and Yunjeong Kim}, title = {Development of Platform-Based Knowledge Map Service to get Data Insights of R{\&}D Institution on User-Interested Subjects}, journal = {Wirel. Pers. Commun.}, volume = {98}, number = {4}, pages = {3265--3285}, year = {2018}, url = {https://doi.org/10.1007/s11277-017-5097-z}, doi = {10.1007/S11277-017-5097-Z}, timestamp = {Wed, 01 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/YangJCKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiahaptics/YounJCK18, author = {Jung{-}Hwan Youn and Seung{-}Mo Jeong and Young{-}Seok Choi and Ki{-}Uk Kyung}, editor = {Hiroyuki Kajimoto and Dongjun Lee and Sang{-}Youn Kim and Masashi Konyo and Ki{-}Uk Kyung}, title = {A Soft Tactile Display Using Dielectric Elastomer Actuator for Fingertip Interaction}, booktitle = {Haptic Interaction - Perception, Devices and Algorithms, AsiaHaptics 2018, Incheon, Korea, 14-16 November 2018}, series = {Lecture Notes in Electrical Engineering}, volume = {535}, pages = {15--17}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-3194-7\_4}, doi = {10.1007/978-981-13-3194-7\_4}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asiahaptics/YounJCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimLLYKCKKHPK18, author = {Hyeji Kim and Jinyeon Lim and Yeongmin Lee and Woojin Yun and Young{-}Gyu Kim and Wonseok Choi and Asim Khan and Muhammad Umar Karim Khan and Said Homidov and Hyun Sang Park and Chong{-}Min Kyung}, editor = {Youngsoo Shin}, title = {Real-time depth map processor for offset aperture based single camera system}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {293--294}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297326}, doi = {10.1109/ASPDAC.2018.8297326}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KimLLYKCKKHPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiC0YLJD018, author = {Jongwon Choi and Hyung Jin Chang and Tobias Fischer and Sangdoo Yun and Kyuewang Lee and Jiyeoup Jeong and Yiannis Demiris and Jin Young Choi}, title = {Context-Aware Deep Feature Compression for High-Speed Visual Tracking}, booktitle = {2018 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2018, Salt Lake City, UT, USA, June 18-22, 2018}, pages = {479--488}, publisher = {Computer Vision Foundation / {IEEE} Computer Society}, year = {2018}, url = {http://openaccess.thecvf.com/content\_cvpr\_2018/html/Choi\_Context-Aware\_Deep\_Feature\_CVPR\_2018\_paper.html}, doi = {10.1109/CVPR.2018.00057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiC0YLJD018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/WenZDBLHLCLMNWW18, author = {Longyin Wen and Pengfei Zhu and Dawei Du and Xiao Bian and Haibin Ling and Qinghua Hu and Chenfeng Liu and Hao Cheng and Xiaoyu Liu and Wenya Ma and Qinqin Nie and Haotian Wu and Lianjie Wang and Asanka G. Perera and Baochang Zhang and Byeongho Heo and Chunlei Liu and Dongdong Li and Emmanouil Michail and Hanlin Chen and Hao Liu and Haojie Li and Ioannis Kompatsiaris and Jian Cheng and Jiaqing Fan and Jie Zhang and Jin Young Choi and Jing Li and Jinyu Yang and Jongwon Choi and Juanping Zhao and Jungong Han and Kaihua Zhang and Kaiwen Duan and Ke Song and Konstantinos Avgerinakis and Kyuewang Lee and Lu Ding and Martin Lauer and Panagiotis Giannakeris and Peizhen Zhang and Qiang Wang and Qianqian Xu and Qingming Huang and Qingshan Liu and Robert Lagani{\`{e}}re and Ruixin Zhang and Sangdoo Yun and Shengyin Zhu and Sihang Wu and Stefanos Vrochidis and Wei Tian and Wei Zhang and Weidong Chen and Weiming Hu and Wenhao Wang and Wenhua Zhang and Wenrui Ding and Xiaohao He and Xiaotong Li and Xin Zhang and Xinbin Luo and Xixi Hu and Yang Meng and Yangliu Kuai and Yanyun Zhao and Yaxuan Li and Yifan Yang and Yifan Zhang and Yong Wang and Yuankai Qi and Zhipeng Deng and Zhiqun He}, editor = {Laura Leal{-}Taix{\'{e}} and Stefan Roth}, title = {VisDrone-SOT2018: The Vision Meets Drone Single-Object Tracking Challenge Results}, booktitle = {Computer Vision - {ECCV} 2018 Workshops - Munich, Germany, September 8-14, 2018, Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {11133}, pages = {469--495}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-11021-5\_28}, doi = {10.1007/978-3-030-11021-5\_28}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eccv/WenZDBLHLCLMNWW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChoiJKSBKPKJSSS18, author = {Gwang Jin Choi and Jungwoo Jang and Seonmi Kang and Shinyong Shim and Changhoon Baek and Boyoon Kim and Yoonji Park and Sunhyo Kim and Younginha Jung and Kangmoon Seo and Jong{-}Mo Seo and Yoon{-}Kyu Song}, title = {Locomotion Control of Pigeons using Polymer-based Deep Brain Electrodes}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {1871--1874}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8512684}, doi = {10.1109/EMBC.2018.8512684}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChoiJKSBKPKJSSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gcce/KimHLLOC18, author = {Jangkyum Kim and Youngjoo Heo and Gyeong Ho Lee and Joohyung Lee and Hyeontaek Oh and Jun Kyun Choi}, title = {Two stage market model in microgrid using cooperative game theory}, booktitle = {{IEEE} 7th Global Conference on Consumer Electronics, {GCCE} 2018, Nara, Japan, October 9-12, 2018}, pages = {138--140}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GCCE.2018.8574874}, doi = {10.1109/GCCE.2018.8574874}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/gcce/KimHLLOC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/his/ChoiKJKK18, author = {Min{-}Gi Choi and Jae{-}Young Kim and In{-}Kyu Jeong and Yu{-}Hyun Kim and Jong{-}Myon Kim}, editor = {Ana Maria Madureira and Ajith Abraham and Niketa Gandhi and Maria Leonilde Varela}, title = {A Real-Time Monitoring System for Boiler Tube Leakage Detection}, booktitle = {Hybrid Intelligent Systems - 18th International Conference on Hybrid Intelligent Systems, {HIS} 2018, Porto, Portugal, December 13-15, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {923}, pages = {106--114}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-14347-3\_11}, doi = {10.1007/978-3-030-14347-3\_11}, timestamp = {Tue, 07 May 2024 20:12:43 +0200}, biburl = {https://dblp.org/rec/conf/his/ChoiKJKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/LeeYPLC18a, author = {Jae Kyu Lee and Hyeon Yang and Kyeong Ho Park and Si Young Lee and Seong Gon Choi}, title = {The flow-reduced malware detection system by controlling inactive/active timeout}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {369--372}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICACT.2018.8323760}, doi = {10.23919/ICACT.2018.8323760}, timestamp = {Tue, 22 Mar 2022 11:28:01 +0100}, biburl = {https://dblp.org/rec/conf/icact/LeeYPLC18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoiC18, author = {Young{-}kyu Choi and Jason Cong}, editor = {Iris Bahar}, title = {HLS-based optimization and design space exploration for applications with variable loop bounds}, booktitle = {Proceedings of the International Conference on Computer-Aided Design, {ICCAD} 2018, San Diego, CA, USA, November 05-08, 2018}, pages = {103}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3240765.3240815}, doi = {10.1145/3240765.3240815}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChoiC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeC18, author = {Hyeon Kyu Lee and Young{-}Seok Choi}, title = {A convolution neural networks scheme for classification of motor imagery {EEG} based on wavelet time-frequecy image}, booktitle = {2018 International Conference on Information Networking, {ICOIN} 2018, Chiang Mai, Thailand, January 10-12, 2018}, pages = {906--909}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICOIN.2018.8343254}, doi = {10.1109/ICOIN.2018.8343254}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SeoKPCLCLLS18, author = {Keehong Seo and Kyungrock Kim and Young Jin Park and Joon{-}Kee Cho and Jongwon Lee and Byungjune Choi and Bokman Lim and Younbaek Lee and Youngbo Shim}, title = {Adaptive Oscillator-Based Control for Active Lower-Limb Exoskeleton and its Metabolic Impact}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {6752--6758}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8460841}, doi = {10.1109/ICRA.2018.8460841}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/SeoKPCLCLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKJKCJ18, author = {Jin{-}Kyu Choi and Young{-}Jin Kwon and Juil Jeon and Kyongho Kim and Hyun Kyun Choi and Byungtae Jang}, title = {Conceptual Design of Driver-Adaptive Human-Machine Interface for Digital Cockpit}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1005--1007}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539644}, doi = {10.1109/ICTC.2018.8539644}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKJKCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeonCKKCJ18, author = {Juil Jeon and Jin{-}Kyu Choi and Young{-}Jin Kwon and Kyongho Kim and Hyunkyun Choi and Byungtae Jang}, title = {On-road Reconfigurable Instrument Cluster: Concept and Contexts of Application}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1510--1513}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539675}, doi = {10.1109/ICTC.2018.8539675}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/JeonCKKCJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip11-10/SongLYPKC18, author = {Joo{-}Yeop Song and Woomyo Lee and Jeong{-}Han Yun and Hyunjae Park and Sin{-}Kyu Kim and Young{-}June Choi}, editor = {Jason Staggs and Sujeet Shenoi}, title = {Generating Abnormal Industrial Control Network Traffic for Intrusion Detection System Testing}, booktitle = {Critical Infrastructure Protection {XII} - 12th {IFIP} {WG} 11.10 International Conference, {ICCIP} 2018, Arlington, VA, USA, March 12-14, 2018, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {542}, pages = {265--281}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04537-1\_14}, doi = {10.1007/978-3-030-04537-1\_14}, timestamp = {Fri, 21 Dec 2018 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/ifip11-10/SongLYPKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimCKLLMCYKKLPC18, author = {Jhoon Kim and Myungje Choi and Mijin Kim and Hyungwang Lim and Seovouna Lee and Kyung Jung Moon and Won Joon Choi and Jong Min Yoon and Sang{-}Kyoon Kim and Dai Hn Ko and Seung Hoon Lee and Youngje Park and Chu{-}Yong Chung}, title = {Monitoring Atmospheric Composition by Geo-Kompsat-2: Goci-2, Ami and Gems}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {7750--7752}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8518713}, doi = {10.1109/IGARSS.2018.8518713}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimCKLLMCYKKLPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeECC18, author = {Jun{-}Young Lee and Jaemin Eom and Woo{-}Young Choi and Kyu{-}Jin Cho}, title = {Soft {LEGO:} Bottom-Up Design Platform for Soft Robotics}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {7513--7520}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8593546}, doi = {10.1109/IROS.2018.8593546}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/LeeECC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispec/SimCMYCH18, author = {Bo{-}Yeon Sim and Kyu Young Choi and Dukjae Moon and Hyo Jin Yoon and Jihoon Cho and Dong{-}Guk Han}, editor = {Chunhua Su and Hiroaki Kikuchi}, title = {T{\_}SM: Elliptic Curve Scalar Multiplication Algorithm Secure Against Single-Trace Attacks}, booktitle = {Information Security Practice and Experience - 14th International Conference, {ISPEC} 2018, Tokyo, Japan, September 25-27, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11125}, pages = {407--423}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-99807-7\_25}, doi = {10.1007/978-3-319-99807-7\_25}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispec/SimCMYCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKLLKPJPBCYCL18, author = {Jin{-}Hee Cho and Jihwan Kim and Wooyoung Lee and Dong{-}Uk Lee and Tae{-}Kyun Kim and Heat Bit Park and Chunseok Jeong and Myeong{-}Jae Park and Seung Geun Baek and Seokwoo Choi and Byung Kuk Yoon and Young Jae Choi and Kyo Yun Lee and Daeyong Shim and Jonghoon Oh and Jinkook Kim and Seok{-}Hee Lee}, title = {A 1.2V 64Gb 341GB/S {HBM2} stacked {DRAM} with spiral point-to-point {TSV} structure and improved bank group data control}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {208--210}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310257}, doi = {10.1109/ISSCC.2018.8310257}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKLLKPJPBCYCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yong{-}Gyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Dae{-}Sik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Mon, 28 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/LeeNLC18, author = {Jin Young Lee and Hyunjong Noh and Kyungjun Lee and Jinho Choi}, title = {Comparison of One-Shot and Handshaking Systems for {MTC} in 5G}, booktitle = {87th {IEEE} Vehicular Technology Conference, {VTC} Spring 2018, Porto, Portugal, June 3-6, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VTCSpring.2018.8417629}, doi = {10.1109/VTCSPRING.2018.8417629}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/LeeNLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-01292, author = {Young{-}bin Kim and Dae Kyu Shin and Wan Choi}, title = {Rate-Energy Region in Wireless Information and Power Transfer: New Receiver Architecture and Practical Modulation}, journal = {CoRR}, volume = {abs/1802.01292}, year = {2018}, url = {http://arxiv.org/abs/1802.01292}, eprinttype = {arXiv}, eprint = {1802.01292}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-01292.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1803-10537, author = {Jongwon Choi and Hyung Jin Chang and Tobias Fischer and Sangdoo Yun and Kyuewang Lee and Jiyeoup Jeong and Yiannis Demiris and Jin Young Choi}, title = {Context-aware Deep Feature Compression for High-speed Visual Tracking}, journal = {CoRR}, volume = {abs/1803.10537}, year = {2018}, url = {http://arxiv.org/abs/1803.10537}, eprinttype = {arXiv}, eprint = {1803.10537}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1803-10537.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-06535, author = {Kyudong Park and Jiyoung Kwahk and Sung H. Han and Minseok Song and Dong Gu Choi and Hyeji Jang and Dohyeon Kim and Young Deok Won and In Sub Jeong}, title = {Modelling the Intrusive feelings of advanced driver assistance systems based on vehicle activity log data: a case study for the lane keeping assistance system}, journal = {CoRR}, volume = {abs/1809.06535}, year = {2018}, url = {http://arxiv.org/abs/1809.06535}, eprinttype = {arXiv}, eprint = {1809.06535}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-06535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1812-07012, author = {Yuze Chi and Young{-}kyu Choi and Jason Cong and Jie Wang}, title = {Rapid Cycle-Accurate Simulator for High-Level Synthesis}, journal = {CoRR}, volume = {abs/1812.07012}, year = {2018}, url = {http://arxiv.org/abs/1812.07012}, eprinttype = {arXiv}, eprint = {1812.07012}, timestamp = {Wed, 05 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1812-07012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmmm/KimCLBKS17, author = {Kyung{-}Wuk Kim and Young Ho Choi and Seung Bae Lee and Yasutaka Baba and Hyoung{-}Ho Kim and Sang{-}Ho Suh}, title = {Analysis of Urine Flow in Three Different Ureter Models}, journal = {Comput. Math. Methods Medicine}, volume = {2017}, pages = {5172641:1--5172641:11}, year = {2017}, url = {https://doi.org/10.1155/2017/5172641}, doi = {10.1155/2017/5172641}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmmm/KimCLBKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ChoiJKKH17, author = {Kyu Hyun Choi and Jaeyung Jun and Hokwon Kim and Seon Wook Kim and Youngsun Han}, title = {A decoupled bit shifting technique using data encoding/decoding for {DRAM} redundancy repair}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170385}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170385}, doi = {10.1587/ELEX.14.20170385}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ChoiJKKH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhr/LeeRBC17, author = {Ki Nam Lee and Young{-}Jae Ryoo and Kyung{-}Seok Byun and Jaeyoung Choi}, title = {Omni-Directional Walking Pattern Generator for Child-Sized Humanoid Robot, {CHARLES2}}, journal = {Int. J. Humanoid Robotics}, volume = {14}, number = {2}, pages = {1750004:1--1750004:16}, year = {2017}, url = {https://doi.org/10.1142/S0219843617500049}, doi = {10.1142/S0219843617500049}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhr/LeeRBC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangJKKCKRKLKLY17, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Cheon An Lee and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked {WL} Layers}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {210--217}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2604297}, doi = {10.1109/JSSC.2016.2604297}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangJKKCKRKLKLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimCAMLBLHJK17, author = {Hyun{-}Wook Lim and Sung{-}Won Choi and Jeong{-}Keun Ahn and Woong{-}Ki Min and Sang{-}Kyu Lee and Chang{-}Hoon Baek and Jae{-}Youl Lee and Gyoo{-}Cheol Hwang and Young{-}Hyun Jun and Bai{-}Sun Kong}, title = {A 5.8-Gb/s Adaptive Integrating Duobinary {DFE} Receiver for Multi-Drop Memory Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {6}, pages = {1563--1575}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2017.2675923}, doi = {10.1109/JSSC.2017.2675923}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimCAMLBLHJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeCYKK17, author = {Jae{-}Gu Lee and Kyung{-}Chan Choi and Seung{-}Ho Yeon and Jeong Won Kim and Young Woong Ko}, title = {Enhanced image similarity analysis system in digital pathology}, journal = {Multim. Tools Appl.}, volume = {76}, number = {23}, pages = {25477--25494}, year = {2017}, url = {https://doi.org/10.1007/s11042-017-4773-z}, doi = {10.1007/S11042-017-4773-Z}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeCYKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimSC17, author = {Kyunam Kim and Jungwoo Shin and Jae Young Choi}, title = {Impact Analysis of Economic Contributors on Knowledge Creation Activity by Using the Symmetric Decomposition Method}, journal = {Symmetry}, volume = {9}, number = {11}, pages = {251}, year = {2017}, url = {https://doi.org/10.3390/sym9110251}, doi = {10.3390/SYM9110251}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KimSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ShimGKCK17, author = {Kyu{-}Seok Shim and Young{-}Hoon Goo and Sungyun Kim and Mi{-}Jung Choi and Myung{-}Sup Kim}, title = {SigManager: Automatic payload signature management system for the classification of dynamically changing internet applications}, booktitle = {19th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2017, Seoul, Korea (South), September 27-29, 2017}, pages = {350--353}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APNOMS.2017.8094145}, doi = {10.1109/APNOMS.2017.8094145}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/ShimGKCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/SijaGSKCK17, author = {Baraka D. Sija and Young{-}Hoon Goo and Kyu{-}Seok Shim and Sungyun Kim and Mi{-}Jung Choi and Myung{-}Sup Kim}, title = {Survey on network protocol reverse engineering approaches, methods and tools}, booktitle = {19th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2017, Seoul, Korea (South), September 27-29, 2017}, pages = {271--274}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APNOMS.2017.8094126}, doi = {10.1109/APNOMS.2017.8094126}, timestamp = {Tue, 07 Nov 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/SijaGSKCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ctrsa/ChoCDDKMV17, author = {Jihoon Cho and Kyu Young Choi and Itai Dinur and Orr Dunkelman and Nathan Keller and Dukjae Moon and Aviya Veidberg}, editor = {Helena Handschuh}, title = {{WEM:} {A} New Family of White-Box Block Ciphers Based on the Even-Mansour Construction}, booktitle = {Topics in Cryptology - {CT-RSA} 2017 - The Cryptographers' Track at the {RSA} Conference 2017, San Francisco, CA, USA, February 14-17, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10159}, pages = {293--308}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-52153-4\_17}, doi = {10.1007/978-3-319-52153-4\_17}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ctrsa/ChoCDDKMV17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuCKYLK17, author = {Youngjae Yu and Jongwook Choi and Yeonhwa Kim and Kyung Yoo and Sang{-}Hun Lee and Gunhee Kim}, title = {Supervising Neural Attention Models for Video Captioning by Human Gaze Data}, booktitle = {2017 {IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2017, Honolulu, HI, USA, July 21-26, 2017}, pages = {6119--6127}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/CVPR.2017.648}, doi = {10.1109/CVPR.2017.648}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/YuCKYLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/ChoiC17, author = {Young Kyu Choi and Jason Cong}, title = {HLScope: High-Level Performance Debugging for {FPGA} Designs}, booktitle = {25th {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2017, Napa, CA, USA, April 30 - May 2, 2017}, pages = {125--128}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/FCCM.2017.44}, doi = {10.1109/FCCM.2017.44}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/ChoiC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hicss/ChoiK17, author = {Kyungsub Choi and Youngsoo Kim}, editor = {Tung Bui}, title = {Easing Cross-Border Communication: MOBILE-mediated Communication and Its Framework}, booktitle = {50th Hawaii International Conference on System Sciences, {HICSS} 2017, Hilton Waikoloa Village, Hawaii, USA, January 4-7, 2017}, pages = {1--7}, publisher = {ScholarSpace / {AIS} Electronic Library (AISeL)}, year = {2017}, url = {https://hdl.handle.net/10125/41191}, timestamp = {Wed, 04 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hicss/ChoiK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/ChoiZLC17, author = {Young{-}kyu Choi and Peng Zhang and Peng Li and Jason Cong}, editor = {Sri Parameswaran}, title = {HLScope+, : Fast and accurate performance estimation for {FPGA} {HLS}}, booktitle = {2017 {IEEE/ACM} International Conference on Computer-Aided Design, {ICCAD} 2017, Irvine, CA, USA, November 13-16, 2017}, pages = {691--698}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICCAD.2017.8203844}, doi = {10.1109/ICCAD.2017.8203844}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccad/ChoiZLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YunKLLCKKHKPK17, author = {Woojin Yun and Young{-}Gyu Kim and Yeongmin Lee and Jinyeon Lim and Wonseok Choi and Muhammad Umar Karim Khan and Asim Khan and Said Homidov and Pervaiz Kareem and Hyun Sang Park and Chong{-}Min Kyung}, title = {Offset aperture based hardware architecture for real-time depth extraction}, booktitle = {2017 {IEEE} International Conference on Image Processing, {ICIP} 2017, Beijing, China, September 17-20, 2017}, pages = {4392--4396}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICIP.2017.8297112}, doi = {10.1109/ICIP.2017.8297112}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/YunKLLCKKHKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiLKLLRPKS17, author = {Byungjune Choi and Younbaek Lee and Yong{-}Jae Kim and Jongwon Lee and Minhyung Lee and Se{-}gon Roh and Young Jin Park and Kyungrock Kim and Youngbo Shim}, title = {Development of adjustable knee joint for walking assistance devices}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {1790--1797}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8205993}, doi = {10.1109/IROS.2017.8205993}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ChoiLKLLRPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeLLPKKJC17, author = {Young Hun Lee and Yoon Haeng Lee and Hyunyong Lee and Luong Tin Phan and Hansol Kang and Uikyum Kim and Jeongmin Jeon and Hyouk Ryeol Choi}, title = {Trajectory design and control of quadruped robot for trotting over obstacles}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {4897--4902}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8206368}, doi = {10.1109/IROS.2017.8206368}, timestamp = {Tue, 19 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeLLPKKJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isads/KangCKY17, author = {Jiseok Kang and Kyungsik Choi and Younghoon Kim and Hyunbae Yang}, title = {A Method of Integrating Information for {SWIM}}, booktitle = {13th {IEEE} International Symposium on Autonomous Decentralized System, {ISADS} 2017, Bangkok, Thailand, March 22-24, 2017}, pages = {195--198}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/ISADS.2017.30}, doi = {10.1109/ISADS.2017.30}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isads/KangCKY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/spawc/LeeLC17, author = {Jin Young Lee and Kyungjun Lee and Jinho Choi}, title = {Compressive random access using distance based resource block selection scheme for machine type communications}, booktitle = {18th {IEEE} International Workshop on Signal Processing Advances in Wireless Communications, {SPAWC} 2017, Sapporo, Japan, July 3-6, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/SPAWC.2017.8227705}, doi = {10.1109/SPAWC.2017.8227705}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/spawc/LeeLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiDPKKS17, author = {Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Jin Ho Kyung and Doo{-}Hyung Kim and Youngsoo Son}, title = {Development of a kinematics library creation software for the module based manipulator}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {190--191}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992708}, doi = {10.1109/URAI.2017.7992708}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiDPKKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/SuGC17, author = {Kim Young Su and Jahan Zeb Gul and Kyung{-}Hyun Choi}, title = {A biomimetic jumping locomotion of functionally graded frog soft robot}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {675--676}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992792}, doi = {10.1109/URAI.2017.7992792}, timestamp = {Thu, 21 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/SuGC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/YouLKOSC17, author = {Won Suk You and Young Hun Lee and Gitae Kang and Hyun Seok Oh and Joon Kyue Seo and Hyouk Ryeol Choi}, title = {Kinematic design optimization of anthropomorphic robot hand using a new performance index}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {20--25}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992878}, doi = {10.1109/URAI.2017.7992878}, timestamp = {Mon, 21 Aug 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/YouLKOSC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/YuCKYLK17, author = {Youngjae Yu and Jongwook Choi and Yeonhwa Kim and Kyung Yoo and Sang{-}Hun Lee and Gunhee Kim}, title = {Supervising Neural Attention Models for Video Captioning by Human Gaze Data}, journal = {CoRR}, volume = {abs/1707.06029}, year = {2017}, url = {http://arxiv.org/abs/1707.06029}, eprinttype = {arXiv}, eprint = {1707.06029}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/YuCKYLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/KwonCKC16, author = {Young Min Kwon and Kyung Choi and Mihui Kim and Min Young Chung}, title = {Distributed channel selection scheme based on the number of interfering stations in {WLAN}}, journal = {Ad Hoc Networks}, volume = {39}, pages = {45--55}, year = {2016}, url = {https://doi.org/10.1016/j.adhoc.2015.12.005}, doi = {10.1016/J.ADHOC.2015.12.005}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/KwonCKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/SohnHPCLLJ16, author = {Bo Kyung Sohn and Jae Yeon Hwang and Su Mi Park and Jung{-}Seok Choi and Jun{-}Young Lee and Ji Yeuon Lee and Hee Yeon Jung}, title = {Developing a Virtual Reality-Based Vocational Rehabilitation Training Program for Patients with Schizophrenia}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {19}, number = {11}, pages = {686--691}, year = {2016}, url = {https://doi.org/10.1089/cyber.2016.0215}, doi = {10.1089/CYBER.2016.0215}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/SohnHPCLLJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/BangRPLNSLJKSSC16, author = {Yonghwan Bang and June{-}Koo Kevin Rhee and KyungSoo Park and Kyongchun Lim and Giyoung Nam and John D. Shinn and Jongmin Lee and Sungmin Jo and Ja{-}Ryeong Koo and Jonggyu Sung and Young{-}Il Seo and Taesang Choi and Hong{-}Ik Kim and Junyoung Park and Chang Hee Yun}, title = {{CDN} interconnection service trial: implementation and analysis}, journal = {{IEEE} Commun. Mag.}, volume = {54}, number = {6}, pages = {94--100}, year = {2016}, url = {https://doi.org/10.1109/MCOM.2016.7498094}, doi = {10.1109/MCOM.2016.7498094}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/BangRPLNSLJKSSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cys/HuangLCK16, author = {Jin{-}Xia Huang and Kyung{-}Soon Lee and Key{-}Sun Choi and Young Kil Kim}, title = {Extract Reliable Relations from Wikipedia Texts for Practical Ontology Construction}, journal = {Computaci{\'{o}}n y Sistemas}, volume = {20}, number = {3}, pages = {467--476}, year = {2016}, url = {https://doi.org/10.13053/cys-20-3-2454}, doi = {10.13053/CYS-20-3-2454}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cys/HuangLCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/LeeKLBKCSJPC16, author = {Jun{-}Young Lee and Brian Byunghyun Kang and Dae{-}Young Lee and Sang{-}Min Baek and Woong{-}Bae Kim and Woo{-}Young Choi and Jeong{-}Ryul Song and Hyeong{-}Joon Joo and Daegeun Park and Kyu{-}Jin Cho}, title = {Development of a Multi-functional Soft Robot {(SNUMAX)} and Performance in RoboSoft Grand Challenge}, journal = {Frontiers Robotics {AI}}, volume = {3}, pages = {63}, year = {2016}, url = {https://doi.org/10.3389/frobt.2016.00063}, doi = {10.3389/FROBT.2016.00063}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firai/LeeKLBKCSJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiLLKK16, author = {Ji{-}Hoon Choi and Oh{-}Young Lee and Myong{-}Young Lee and Kyung{-}Jin Kang and Jong{-}Ok Kim}, title = {JND-Based Power Consumption Reduction for {OLED} Displays}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {99-A}, number = {9}, pages = {1691--1699}, year = {2016}, url = {https://doi.org/10.1587/transfun.E99.A.1691}, doi = {10.1587/TRANSFUN.E99.A.1691}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiLLKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JoKC16, author = {Kyung{-}Tae Jo and Young{-}Chai Ko and Seyeong Choi}, title = {Channel Estimation and Performance Evaluation over Ricean Fading for Multiple-Antenna {RF} Beamforming}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {99-A}, number = {1}, pages = {378--384}, year = {2016}, url = {https://doi.org/10.1587/transfun.E99.A.378}, doi = {10.1587/TRANSFUN.E99.A.378}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JoKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijndc/ChaKHLCS16, author = {Moon Soo Cha and So Yeon Kim and Jae Hee Ha and Min{-}June Lee and Young{-}June Choi and Kyung{-}Ah Sohn}, title = {Topic Model based Approach for Improved Indexing in Content based Document Retrieval}, journal = {Int. J. Networked Distributed Comput.}, volume = {4}, number = {1}, pages = {55--64}, year = {2016}, url = {https://doi.org/10.2991/ijndc.2016.4.1.6}, doi = {10.2991/IJNDC.2016.4.1.6}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijndc/ChaKHLCS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/ParkLCB16, author = {Young Kyun Park and Pilgu Lee and Jong Kap Choi and Kyung Seok Byun}, title = {Analysis of factors related to vertical vibration of continuous alternate wheels for omnidirectional mobile robots}, journal = {Intell. Serv. Robotics}, volume = {9}, number = {3}, pages = {207--216}, year = {2016}, url = {https://doi.org/10.1007/s11370-016-0196-3}, doi = {10.1007/S11370-016-0196-3}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/ParkLCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/LeeKCC16, author = {Jun{-}Young Lee and Woong{-}Bae Kim and Woo{-}Young Choi and Kyu{-}Jin Cho}, title = {Soft Robotic Blocks: Introducing SoBL, a Fast-Build Modularized Design Block}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {23}, number = {3}, pages = {30--41}, year = {2016}, url = {https://doi.org/10.1109/MRA.2016.2580479}, doi = {10.1109/MRA.2016.2580479}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/LeeKCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiCSLGK16, author = {Ho{-}Seok Choi and Bomi Choi and Ju Tae Seo and Kyung Jin Lee and Myung Chan Gye and Young{-}Pil Kim}, title = {Rapid Detection of Glycogen Synthase Kinase-3 Activity in Mouse Sperm Using Fluorescent Gel Shift Electrophoresis}, journal = {Sensors}, volume = {16}, number = {4}, pages = {551}, year = {2016}, url = {https://doi.org/10.3390/s16040551}, doi = {10.3390/S16040551}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiCSLGK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/El-GoharyCKB16, author = {Sherif H. El{-}Gohary and Munsik Choi and Young L. Kim and Kyung Min Byun}, title = {Dispersion Curve Engineering of TiO\({}_{\mbox{2}}\)/Silver Hybrid Substrates for Enhanced Surface Plasmon Resonance Detection}, journal = {Sensors}, volume = {16}, number = {9}, pages = {1442}, year = {2016}, url = {https://doi.org/10.3390/s16091442}, doi = {10.3390/S16091442}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/El-GoharyCKB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/YooCJR16, author = {Seok Bong Yoo and Kyuha Choi and Young Woo Jeon and Jong Beom Ra}, title = {Texture enhancement for improving single-image super-resolution performance}, journal = {Signal Process. Image Commun.}, volume = {46}, pages = {29--39}, year = {2016}, url = {https://doi.org/10.1016/j.image.2016.04.007}, doi = {10.1016/J.IMAGE.2016.04.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/YooCJR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/ChoiC16, author = {Young Kyu Choi and Jason Cong}, title = {Acceleration of EM-Based 3D {CT} Reconstruction Using {FPGA}}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {10}, number = {3}, pages = {754--767}, year = {2016}, url = {https://doi.org/10.1109/TBCAS.2015.2471813}, doi = {10.1109/TBCAS.2015.2471813}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/ChoiC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KangCJKB16, author = {Dong{-}oh Kang and Jang{-}Ho Choi and Joonyoung Jung and Kyuchang Kang and Changseok Bae}, title = {{SDIF:} Social device interaction framework for encounter and play in smart home service}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {62}, number = {1}, pages = {85--93}, year = {2016}, url = {https://doi.org/10.1109/TCE.2016.7448567}, doi = {10.1109/TCE.2016.7448567}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/KangCJKB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeLHCB16, author = {Kyung{-}min Lee and Chang{-}Hyuk Lee and Soonwook Hwang and Jiwon Choi and Young{-}Bong Bang}, title = {Power-Assisted Wheelchair With Gravity and Friction Compensation}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {4}, pages = {2203--2211}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2514357}, doi = {10.1109/TIE.2016.2514357}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/LeeLHCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tpds/HwangKYKHC16, author = {Eunji Hwang and Suntae Kim and Tae{-}kyung Yoo and Jik{-}Soo Kim and Soonwook Hwang and Young{-}ri Choi}, title = {Resource Allocation Policies for Loosely Coupled Applications in Heterogeneous Computing Systems}, journal = {{IEEE} Trans. Parallel Distributed Syst.}, volume = {27}, number = {8}, pages = {2349--2362}, year = {2016}, url = {https://doi.org/10.1109/TPDS.2015.2461154}, doi = {10.1109/TPDS.2015.2461154}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tpds/HwangKYKHC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/MinPJKC16, author = {Kyungsik Min and Sangjoon Park and Young Rok Jang and Taehyoung Kim and Sooyong Choi}, title = {Antenna Ratio for Sum-Rate Maximization in Full-Duplex Large-Array Base Station With Half-Duplex Multiantenna Users}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {65}, number = {12}, pages = {10168--10173}, year = {2016}, url = {https://doi.org/10.1109/TVT.2016.2539384}, doi = {10.1109/TVT.2016.2539384}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/MinPJKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/KimCN16, author = {Youngsoo Kim and Kyungsub Choi and Felicia Natali}, title = {Extending the Network: the Influence of Offline Friendship to Twitter Network}, booktitle = {22nd Americas Conference on Information Systems, {AMCIS} 2016, San Diego, CA, USA, August 11-14, 2016}, publisher = {Association for Information Systems}, year = {2016}, url = {http://aisel.aisnet.org/amcis2016/Social/Presentations/9}, timestamp = {Tue, 23 May 2017 14:11:43 +0200}, biburl = {https://dblp.org/rec/conf/amcis/KimCN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKYYJAKKSSPKN16, author = {Hyunui Lee and Sukyong Kang and Hye{-}Seung Yu and Won{-}Joo Yun and Jae{-}Hun Jung and Sungoh Ahn and Wang{-}Soo Kim and Beomyong Kil and Yoo{-}Chang Sung and Sang{-}Hoon Shin and Yong{-}Sik Park and Yong{-}Hwan Kim and Kyung{-}Woo Nam and Indal Song and Kyomin Sohn and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {Design of non-contact 2Gb/s {I/O} test methods for high bandwidth memory {(HBM)}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {169--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844162}, doi = {10.1109/ASSCC.2016.7844162}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeKYYJAKKSSPKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/ParkLKKLCLLS16, author = {Sung Ik Park and Bo{-}Mi Lim and Youngmin Kim and Heung Mook Kim and Sung Ho Lee and Woo{-}Sik Choi and Dongkwan Lee and Sang Kyu Lee and Yong Woo Shin}, title = {{ATSC} 3.0 LDM-based mobile performance under {HPHT} metropolitan environment}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2016, Nara, Japan, June 1-3, 2016}, pages = {1--3}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BMSB.2016.7521966}, doi = {10.1109/BMSB.2016.7521966}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/ParkLKKLCLLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cans/ChoCDKMV16, author = {Jihoon Cho and Kyu Young Choi and Orr Dunkelman and Nathan Keller and Dukjae Moon and Aviya Vaidberg}, editor = {Sara Foresti and Giuseppe Persiano}, title = {Hybrid {WBC:} Secure and Efficient White-Box Encryption Schemes}, booktitle = {Cryptology and Network Security - 15th International Conference, {CANS} 2016, Milan, Italy, November 14-16, 2016, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10052}, pages = {749--754}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-48965-0\_55}, doi = {10.1007/978-3-319-48965-0\_55}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cans/ChoCDKMV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/KwakHYNC16, author = {Jaewon Kwak and Eunji Hwang and Tae{-}kyung Yoo and Beomseok Nam and Young{-}ri Choi}, title = {In-Memory Caching Orchestration for Hadoop}, booktitle = {{IEEE/ACM} 16th International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2016, Cartagena, Colombia, May 16-19, 2016}, pages = {94--97}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/CCGrid.2016.73}, doi = {10.1109/CCGRID.2016.73}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/KwakHYNC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ChoiCFHRW16, author = {Young{-}kyu Choi and Jason Cong and Zhenman Fang and Yuchen Hao and Glenn Reinman and Peng Wei}, title = {A quantitative analysis on microarchitectures of modern {CPU-FPGA} platforms}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {109:1--109:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2897972}, doi = {10.1145/2897937.2897972}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/ChoiCFHRW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/JoCCMC16, author = {Kyungmin Jo and Bareum Choi and Songe Choi and Youngjin Moon and Jaesoon Choi}, title = {Automatic detection of hemorrhage and surgical instrument in laparoscopic surgery image}, booktitle = {38th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2016, Orlando, FL, USA, August 16-20, 2016}, pages = {1260--1263}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/EMBC.2016.7590935}, doi = {10.1109/EMBC.2016.7590935}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/JoCCMC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimLCPPCHCSCC16, author = {Minjun Kim and Woongyong Lee and Jae Yeon Choi and Yong Sik Park and Sung Ho Park and Goo Bong Chung and Kyung{-}Lyong Han and Il Seop Choi and Il Hong Suh and Youngjin Choi and Wan Kyun Chung}, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {Powered upper-limb control using passivity-based nonlinear disturbance observer for unknown payload carrying applications}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {2340--2346}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICRA.2016.7487384}, doi = {10.1109/ICRA.2016.7487384}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimLCPPCHCSCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ShimSBJCLPOK16, author = {Inwook Shim and Seunghak Shin and Yunsu Bok and Kyungdon Joo and Dong{-}Geol Choi and Joon{-}Young Lee and Jaesik Park and Jun{-}Ho Oh and In{-}So Kweon}, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {Vision system and depth processing for {DRC-HUBO+}}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {2456--2463}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICRA.2016.7487398}, doi = {10.1109/ICRA.2016.7487398}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ShimSBJCLPOK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MinJPC16, author = {Kyungsik Min and Young Rok Jang and Sangjoon Park and Sooyong Choi}, title = {Subframe shift for full-duplex base station in {TD-LTE} systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {1000--1005}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763352}, doi = {10.1109/ICTC.2016.7763352}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/MinJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChhayaLSCCL16, author = {PhalPheaktra Chhaya and Kyung{-}Hee Lee and Kwangsoo Shin and Chi{-}Hwan Choi and Wan{-}Sup Cho and Young{-}Sung Lee}, title = {Using {D2RQ} and Ontop to publish relational database as Linked Data}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {694--698}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537125}, doi = {10.1109/ICUFN.2016.7537125}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChhayaLSCCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KimSCKC16, author = {Jin{-}Young Kim and Seung{-}Min Song and Seung{-}Soo Choi and In{-}Dong Kim and Sun{-}Kyu Choi}, title = {New {AC} solid-state ciruit breaker with simple charging and rebreaking capabilites}, booktitle = {{IECON} 2016 - 42nd Annual Conference of the {IEEE} Industrial Electronics Society, Florence, Italy, October 23-26, 2016}, pages = {3866--3871}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IECON.2016.7793130}, doi = {10.1109/IECON.2016.7793130}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/KimSCKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/KyungKHCJP16, author = {Yeunwoong Kyung and Youngjun Kim and Kiwon Hong and Hyungoo Choi and Mingyu Joo and Jinwoo Park}, title = {Mobility-aware load distribution scheme for scalable SDN-based mobile networks}, booktitle = {{IEEE} Symposium on Computers and Communication, {ISCC} 2016, Messina, Italy, June 27-30, 2016}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCC.2016.7543725}, doi = {10.1109/ISCC.2016.7543725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/KyungKHCJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeKKKKJYKCOLKL16, author = {Jong{-}Chern Lee and Jihwan Kim and Kyung Whan Kim and Young Jun Ku and Dae Suk Kim and Chunseok Jeong and Tae Sik Yun and Hongjung Kim and Ho Sung Cho and Sangmuk Oh and Hyun Sung Lee and Ki Hun Kwon and Dong Beom Lee and Young Jae Choi and Jaejin Lee and Hyeon Gon Kim and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {High bandwidth memory(HBM) with {TSV} technique}, booktitle = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea, October 23-26, 2016}, pages = {181--182}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISOCC.2016.7799847}, doi = {10.1109/ISOCC.2016.7799847}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeKKKKJYKCOLKL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JooBSKHA0KKKCSK16, author = {Hye{-}Yoon Joo and Seung{-}Jun Bae and Young{-}Soo Sohn and Young{-}Sik Kim and Kyung{-}Soo Ha and Min{-}Su Ahn and Young{-}Ju Kim and Yong{-}Jun Kim and Ju{-}Hwan Kim and Won{-}Jun Choi and Chang{-}Ho Shin and Soo Hwan Kim and Byeong{-}Cheol Kim and Seung{-}Bum Ko and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.1 {A} 20nm 9Gb/s/pin 8Gb {GDDR5} {DRAM} with an {NBTI} monitor, jitter reduction techniques and improved power distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {314--315}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418033}, doi = {10.1109/ISSCC.2016.7418033}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JooBSKHA0KKKCSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangJKKCKRKLKLY16, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Jeong{-}Don Ihm and Doo{-}Gon Kim and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.1 256Gb 3b/cell {V-NAND} flash memory with 48 stacked {WL} layers}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {130--131}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417941}, doi = {10.1109/ISSCC.2016.7417941}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangJKKCKRKLKLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKKKJYKCKKKO16, author = {Jong{-}Chern Lee and Jihwan Kim and Kyung Whan Kim and Young Jun Ku and Dae Suk Kim and Chunseok Jeong and Tae Sik Yun and Hongjung Kim and Ho Sung Cho and Yeon Ok Kim and Jae{-}Hwan Kim and Jin Ho Kim and Sangmuk Oh and Hyun Sung Lee and Ki Hun Kwon and Dong Beom Lee and Young Jae Choi and Jeajin Lee and Hyeon Gon Kim and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {18.3 {A} 1.2V 64Gb 8-channel 256GB/s {HBM} {DRAM} with peripheral-base-die architecture and small-swing technique on heavy load interface}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {318--319}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418035}, doi = {10.1109/ISSCC.2016.7418035}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKKKJYKCKKKO16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnYOOSPSJSRYJ16, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Kyung{-}Woo Nam and Seouk{-}Kyu Choi and Jaewook Lee and Uksong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Chi{-}Wook Kim and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.2 {A} 1.2V 20nm 307GB/s {HBM} {DRAM} with at-speed wafer-level {I/O} test scheme and adaptive refresh considering temperature distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {316--317}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418034}, doi = {10.1109/ISSCC.2016.7418034}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnYOOSPSJSRYJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiCKD16, author = {Youngwoo Choi and Joono Cheong and Jin Ho Kyung and Hyunmin Do}, title = {Zero-offset calibration using a screw theory}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {526--528}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7625770}, doi = {10.1109/URAI.2016.7625770}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiCKD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeGCKC16, author = {Jun{-}Young Lee and Eun{-}Yeong Go and Woo{-}Young Choi and Woong{-}Bae Kim and Kyu{-}Jin Cho}, title = {Development of soft continuum manipulator with pneumatic and tendon driven actuations}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {377--379}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734064}, doi = {10.1109/URAI.2016.7734064}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeGCKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YiBCLJCSCJKSP16, author = {Il{-}Min Yi and Seung{-}Jun Bae and Min{-}Kyun Chae and Soo{-}Min Lee and Young Jae Jang and Young{-}Chul Cho and Young{-}Soo Sohn and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A low-EMI four-bit four-wire single-ended {DRAM} interface by using a three-level balanced coding scheme}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573524}, doi = {10.1109/VLSIC.2016.7573524}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YiBCLJCSCJKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/KownJLDBC16, author = {Su{-}kyung Kown and Bongsoo Jang and Byoung{-}Dai Lee and Younghae Do and Hunki Baek and Yoon{-}Ho Choi}, editor = {Dooho Choi and Sylvain Guilley}, title = {Influence Evaluation of Centrality-Based Random Scanning Strategy on Early Worm Propagation Rate}, booktitle = {Information Security Applications - 17th International Workshop, {WISA} 2016, Jeju Island, Korea, August 25-27, 2016, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {10144}, pages = {90--101}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-56549-1\_8}, doi = {10.1007/978-3-319-56549-1\_8}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wisa/KownJLDBC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChoCDKMV16, author = {Jihoon Cho and Kyu Young Choi and Orr Dunkelman and Nathan Keller and Dukjae Moon and Aviya Vaidberg}, title = {Hybrid {WBC:} Secure and Efficient White-Box Encryption Schemes}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {679}, year = {2016}, url = {http://eprint.iacr.org/2016/679}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/ChoCDKMV16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KimLKCYKGNHJKPK15, author = {Dae{-}Kyum Kim and Jaewook Lee and Sae Rom Kim and Dong{-}Sic Choi and Yae Jin Yoon and Ji Hyun Kim and Gyeongyun Go and Dinh Nhung and Kahye Hong and Su Chul Jang and Si{-}Hyun Kim and Kyong{-}Su Park and Oh Youn Kim and Hyun Taek Park and Ji Hye Seo and Elena Aikawa and Monika Baj{-}Krzyworzeka and Bas W. M. van Balkom and Mattias Belting and Lionel Blanc and Vincent Bond and Antonella Bongiovanni and Francesc E. Borr{\`{a}}s and Luc Bu{\'{e}}e and Edit I. Buz{\'{a}}s and Lesley Cheng and Aled Clayton and Emanuele Cocucci and Charles S. Dela Cruz and Dominic M. Desiderio and Dolores Di Vizio and Karin Ekstr{\"{o}}m and Juan M. Falcon{-}Perez and Chris Gardiner and Bernd Giebel and David W. Greening and Julia Christina Gross and Dwijendra Gupta and An Hendrix and Andrew F. Hill and Michelle M. Hill and Esther Nolte{-}'t Hoen and Do Won Hwang and Jameel Inal and Medicharla V. Jagannadham and Muthuvel Jayachandran and Young{-}Koo Jee and Malene J{\o}rgensen and Kwang Pyo Kim and Yoon{-}Keun Kim and Thomas Kislinger and Cecilia L{\"{a}}sser and Dong Soo Lee and Hakmo Lee and Johannes van Leeuwen and Thomas Lener and Ming{-}Lin Liu and Jan L{\"{o}}tvall and Antonio Marcilla and Suresh Mathivanan and Andreas M{\"{o}}ller and Jess Morhayim and Fran{\c{c}}ois Mullier and Irina Nazarenko and Rienk Nieuwland and Diana N. Nunes and Ken C. Pang and Jaesung Park and Tushar Patel and Gabriella Pocsfalvi and Hernando del Portillo and Ulrich Putz and Marcel I. Ramirez and Marcio L. Rodrigues and Tae{-}Young Roh and Felix Royo and Susmita Sahoo and Raymond Schiffelers and Shivani Sharma and Pia Siljander and Richard J. Simpson and Carolina Soekmadji and Philip Stahl and Allan Stensballe and Ewa L. Stepien and Hidetoshi Tahara and Arne Trummer and Hadi Valadi and Laura J. Vella and Sun Nyunt Wai and Kenneth W. Witwer and Mar{\'{\i}}a Y{\'{a}}{\~{n}}ez{-}M{\'{o}} and Hyewon Youn and Reinhard Zeidler and Yong Song Gho}, title = {EVpedia: a community web portal for extracellular vesicles research}, journal = {Bioinform.}, volume = {31}, number = {6}, pages = {933--939}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btu741}, doi = {10.1093/BIOINFORMATICS/BTU741}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KimLKCYKGNHJKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LimGPKLJSKKC15, author = {Jae{-}A. Lim and Ah Reum Gwak and Su Mi Park and Jun{-}Gun Kwon and Jun{-}Young Lee and Hee Yeon Jung and Bo Kyung Sohn and Jae{-}Won Kim and Dai Jin Kim and Jung{-}Seok Choi}, title = {Are Adolescents with Internet Addiction Prone to Aggressive Behavior? The Mediating Effect of Clinical Comorbidities on the Predictability of Aggression in Adolescents with Internet Addiction}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {18}, number = {5}, pages = {260--267}, year = {2015}, url = {https://doi.org/10.1089/cyber.2014.0568}, doi = {10.1089/CYBER.2014.0568}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LimGPKLJSKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ChungL015, author = {Sunghun Chung and Kyung Young Lee and Jinho Choi}, title = {Exploring digital creativity in the workspace: The role of enterprise mobile applications on perceived job performance and creativity}, journal = {Comput. Hum. Behav.}, volume = {42}, pages = {93--109}, year = {2015}, url = {https://doi.org/10.1016/j.chb.2014.03.055}, doi = {10.1016/J.CHB.2014.03.055}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/ChungL015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ChungL015a, author = {Sunghun Chung and Kyung Young Lee and Jinho Choi}, title = {Corrigendum to "Exploring digital creativity in the workplace: The role of enterprise mobile applications on perceived job performance and creativity" [Comput. Human Behav. 42(2015) 93-109]}, journal = {Comput. Hum. Behav.}, volume = {49}, pages = {679}, year = {2015}, url = {https://doi.org/10.1016/j.chb.2015.02.046}, doi = {10.1016/J.CHB.2015.02.046}, timestamp = {Tue, 18 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/chb/ChungL015a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/RhoKCC15, author = {Mi Jung Rho and Hun{-}Sung Kim and Kyungyong Chung and In Young Choi}, title = {Factors influencing the acceptance of telemedicine for diabetes management}, journal = {Clust. Comput.}, volume = {18}, number = {1}, pages = {321--331}, year = {2015}, url = {https://doi.org/10.1007/s10586-014-0356-1}, doi = {10.1007/S10586-014-0356-1}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/RhoKCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/SeoKKCL15, author = {Jang{-}Won Seo and Yoon{-}Ho Kim and Dongseon Kim and Young{-}Don Choi and Kyu{-}Jung Lee}, title = {Heat Transfer and Pressure Drop Characteristics in Straight Microchannel of Printed Circuit Heat Exchangers}, journal = {Entropy}, volume = {17}, number = {5}, pages = {3438--3457}, year = {2015}, url = {https://doi.org/10.3390/e17053438}, doi = {10.3390/E17053438}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/SeoKKCL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiLBYS15, author = {Sung{-}Bok Choi and Eui{-}Hak Lee and Jung{-}In Baik and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Cooperative Communication Using the {DF} Protocol in the Hierarchical Modulation}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {98-A}, number = {9}, pages = {1990--1994}, year = {2015}, url = {https://doi.org/10.1587/transfun.E98.A.1990}, doi = {10.1587/TRANSFUN.E98.A.1990}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiLBYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiYS15, author = {Sung{-}Bok Choi and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Efficient Partial Single Relay Selection Scheme for Wireless Communications}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {98-A}, number = {3}, pages = {923--926}, year = {2015}, url = {https://doi.org/10.1587/transfun.E98.A.923}, doi = {10.1587/TRANSFUN.E98.A.923}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiYS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiYS15a, author = {Hwan{-}Jun Choi and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Extended {DFE} Detection Scheme in {MIMO-OFDM} System}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {98-A}, number = {7}, pages = {1549--1552}, year = {2015}, url = {https://doi.org/10.1587/transfun.E98.A.1549}, doi = {10.1587/TRANSFUN.E98.A.1549}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiYS15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimKYKYLLMKC15, author = {Yong Bum Kim and Gitae Kang and Gun Kyu Yee and Anna Kim and Won Suk You and Young Hun Lee and Fengyi Liu and Hyungpil Moon and Jachoon Koo and Hyoukryeol Choi}, title = {Exploration and reconstruction of unknown object by active touch of robot hand}, journal = {Intell. Serv. Robotics}, volume = {8}, number = {3}, pages = {141--149}, year = {2015}, url = {https://doi.org/10.1007/s11370-015-0174-1}, doi = {10.1007/S11370-015-0174-1}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/KimKYKYLLMKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/LiuKYYKKLMKC15, author = {Fengyi Liu and Yong Bum Kim and Gun Kyu Yee and Won Suk You and Gitae Kang and Anna Kim and Young Hun Lee and Hyungpil Moon and Jachoon Koo and Hyoukryeol Choi}, title = {Computation of minimum contact forces of multifingered robot hand with soft fingertips}, journal = {Intell. Serv. Robotics}, volume = {8}, number = {4}, pages = {225--232}, year = {2015}, url = {https://doi.org/10.1007/s11370-015-0178-x}, doi = {10.1007/S11370-015-0178-X}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/LiuKYYKKLMKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itsm/MunCKBSK15, author = {Cheol Mun and Jooyoung Choi and Yeona Kim and Min Baek and Gilwon Seo and Kyunbyoung Ko}, title = {Cell Planning and Deployment for {IEEE} 802.11p{\textbackslash}/WAVE Network}, journal = {{IEEE} Intell. Transp. Syst. Mag.}, volume = {7}, number = {4}, pages = {49--57}, year = {2015}, url = {https://doi.org/10.1109/MITS.2015.2474976}, doi = {10.1109/MITS.2015.2474976}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itsm/MunCKBSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhCPLODKLKLLHCCBJPPJC15, author = {Tae{-}Young Oh and Hoeju Chung and Jun{-}Young Park and Ki{-}Won Lee and Seung{-}Hoon Oh and Su{-}Yeon Doo and Hyoung{-}Joo Kim and ChangYong Lee and Hye{-}Ran Kim and Jong{-}Ho Lee and Jin{-}Il Lee and Kyung{-}Soo Ha and Young{-}Ryeol Choi and Young{-}Chul Cho and Yong{-}Cheol Bae and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi}, title = {A 3.2 Gbps/pin 8 Gbit 1.0 {V} {LPDDR4} {SDRAM} With Integrated {ECC} Engine for Sub-1 {V} {DRAM} Core Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {178--190}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2353799}, doi = {10.1109/JSSC.2014.2353799}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhCPLODKLKLLHCCBJPPJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRJYPPKBBOJKKKLSYCWP15, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Yongho Kim and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi and Hyo{-}Sig Won and Jaehong Park}, title = {A 14 nm FinFET 128 Mb {SRAM} With V\({}_{\mbox{MIN}}\) Enhancement Techniques for Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {158--169}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2362842}, doi = {10.1109/JSSC.2014.2362842}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRJYPPKBBOJKKKLSYCWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ShinKCKKJP15, author = {Jiwon Shin and Il Kim and Yong{-}Won Choi and Young Soon Kim and Un Byung Kang and Young Kun Jee and Kyung{-}Wook Paik}, title = {Non-conductive film with Zn-nanoparticles (Zn-NCF) for 40 {\(\mu\)}m pitch Cu-pillar/Sn-Ag bump interconnection}, journal = {Microelectron. Reliab.}, volume = {55}, number = {2}, pages = {432--441}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2014.10.007}, doi = {10.1016/J.MICROREL.2014.10.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ShinKCKKJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/RhoJCC15, author = {Mi Jung Rho and Kwang Soo Jang and Kyung{-}Yong Chung and In Young Choi}, title = {Comparison of knowledge, attitudes, and trust for the use of personal health information in clinical research}, journal = {Multim. Tools Appl.}, volume = {74}, number = {7}, pages = {2391--2404}, year = {2015}, url = {https://doi.org/10.1007/s11042-013-1772-6}, doi = {10.1007/S11042-013-1772-6}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/RhoJCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoYLKCKLLKH15, author = {Byungjin Cho and Jongwon Yoon and Sung Kwan Lim and Ah Ra Kim and Sun{-}Young Choi and Dong{-}Ho Kim and Kyu{-}Hwan Lee and Byoung Hun Lee and Heung Cho Ko and Myung Gwan Hahm}, title = {Metal Decoration Effects on the Gas-Sensing Properties of 2D Hybrid-Structures on Flexible Substrates}, journal = {Sensors}, volume = {15}, number = {10}, pages = {24903--24913}, year = {2015}, url = {https://doi.org/10.3390/s151024903}, doi = {10.3390/S151024903}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoYLKCKLLKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15, author = {Daehyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {Design and Analysis of 3D-MAPS {(3D} Massively Parallel Processor with Stacked Memory)}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {1}, pages = {112--125}, year = {2015}, url = {https://doi.org/10.1109/TC.2013.192}, doi = {10.1109/TC.2013.192}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KimAHHJKKLLLLPPRSSWZKCLLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/KimCC15, author = {Minjun Kim and Youngjin Choi and Wan Kyun Chung}, title = {Bringing Nonlinear {\(\mathscr{H}\)}\({}_{\mbox{{\(\infty\)}}}\) Optimality to Robot Controllers}, journal = {{IEEE} Trans. Robotics}, volume = {31}, number = {3}, pages = {682--698}, year = {2015}, url = {https://doi.org/10.1109/TRO.2015.2419871}, doi = {10.1109/TRO.2015.2419871}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/KimCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:books/sp/15/KwonLRHCKJOLKCPL15, author = {Oh{-}Woog Kwon and Ki{-}Young Lee and Yoon{-}Hyung Roh and Jin{-}Xia Huang and Sung{-}Kwon Choi and Young Kil Kim and Hyung{-}Bae Jeon and Yoo Rhee Oh and Yun{-}Kyung Lee and Byung Ok Kang and Euisok Chung and Jeon Gue Park and Yunkeun Lee}, editor = {Gary Geunbae Lee and Hong Kook Kim and Minwoo Jeong and Ji{-}Hwan Kim}, title = {GenieTutor: {A} Computer-Assisted Second-Language Learning System Based on Spoken Language Understanding}, booktitle = {Natural Language Dialog Systems and Intelligent Assistants, 6th International Workshop on Spoken Dialogue Systems, {IWSDS} 2015, Busan, Korea, January 11-13, 2015}, pages = {257--262}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19291-8\_26}, doi = {10.1007/978-3-319-19291-8\_26}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/15/KwonLRHCKJOLKCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/ChaKHLCS15, author = {Moon Soo Cha and So Yeon Kim and Jae Hee Ha and Min{-}June Lee and Young{-}June Choi and Kyung{-}Ah Sohn}, editor = {Takayuki Ito and Yanggon Kim and Naoki Fukuta}, title = {{CBDIR:} Fast and effective content based document Information Retrieval system}, booktitle = {14th {IEEE/ACIS} International Conference on Computer and Information Science, {ICIS} 2015, Las Vegas, NV, USA, June 28 - July 1, 2015}, pages = {203--208}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICIS.2015.7166594}, doi = {10.1109/ICIS.2015.7166594}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/ChaKHLCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/HongLPLCSKOK15, author = {Seongil Hong and Youngwoo Lee and Kyu Hyun Park and Wonsuk Lee and Byunghun Choi and Okkee Sim and Inhyeok Kim and Jun{-}Ho Oh and Youn Sik Kang}, title = {Dynamics based motion optimization and operational space control with an experimental rescue robot, {HUBO} {T-100}}, booktitle = {{IEEE} International Conference on Advanced Intelligent Mechatronics, {AIM} 2015, Busan, South Korea, July 7-11, 2015}, pages = {773--778}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/AIM.2015.7222631}, doi = {10.1109/AIM.2015.7222631}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/HongLPLCSKOK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/ShimYCK15, author = {Kyu{-}Seok Shim and Sung{-}Ho Yoon and Mi{-}Jung Choi and Myung{-}Sup Kim}, title = {Signature management system to cope with traffic changes in application and service}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {192--197}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275425}, doi = {10.1109/APNOMS.2015.7275425}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/ShimYCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdas/ParkKCJLCC15, author = {Han{-}Sol Park and Jin{-}Hyuk Kim and Chi{-}Hwan Choi and Bo{-}Ra Jung and Kyung{-}Hee Lee and Su{-}Young Chi and Wan{-}Sup Cho}, editor = {Carson K. Leung and Aziz Nasridinov and Jongsup Choi and Sun Hwa Han and Joo{-}Yeoun Lee and Taeho Park and Yoo{-}Sung Kim and Young{-}Koo Lee}, title = {In-Memory Data Grid System for Real-Time Processing of Machine Sensor Data in a Smart Factory Environment}, booktitle = {Proceedings of the 2015 International Conference on Big Data Applications and Services, BigDAS '15, Jeju Island, Republic of Korea, October 20-23, 2015}, pages = {92--97}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2837060.2837073}, doi = {10.1145/2837060.2837073}, timestamp = {Thu, 20 Jan 2022 09:49:18 +0100}, biburl = {https://dblp.org/rec/conf/bigdas/ParkKCJLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccgrid/KimHYKHC15, author = {Seontae Kim and Eunji Hwang and Tae{-}kyung Yoo and Jik{-}Soo Kim and Soonwook Hwang and Young{-}ri Choi}, title = {Platform and Co-Runner Affinities for Many-Task Applications in Distributed Computing Platforms}, booktitle = {15th {IEEE/ACM} International Symposium on Cluster, Cloud and Grid Computing, CCGrid 2015, Shenzhen, China, May 4-7, 2015}, pages = {667--676}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CCGrid.2015.129}, doi = {10.1109/CCGRID.2015.129}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccgrid/KimHYKHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/JangMPC15, author = {Young Rok Jang and Kyungsik Min and Sangjoon Park and Sooyong Choi}, title = {Spatial resource utilization to maximize uplink spectral efficiency in full-duplex massive {MIMO}}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {1583--1588}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7248550}, doi = {10.1109/ICC.2015.7248550}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/JangMPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/MinJPC15, author = {Kyungsik Min and Young Rok Jang and Sangjoon Park and Sooyong Choi}, title = {Antenna ratio for sum-rate maximization in {MU-MIMO} with full-duplex large array {BS}}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {1589--1594}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7248551}, doi = {10.1109/ICC.2015.7248551}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/MinJPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccac/HwangKYKHC15, author = {Eunji Hwang and Seontae Kim and Tae{-}kyung Yoo and Jik{-}Soo Kim and Soonwook Hwang and Young{-}ri Choi}, title = {Performance Analysis of Loosely Coupled Applications in Heterogeneous Distributed Computing Systems}, booktitle = {2015 International Conference on Cloud and Autonomic Computing, Boston, MA, USA, September 21-25, 2015}, pages = {252--259}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICCAC.2015.38}, doi = {10.1109/ICCAC.2015.38}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccac/HwangKYKHC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsenst/KimDCS15, author = {Jo{-}Chun Kim and Trieu{-}Vuong Dinh and In{-}Young Choi and Kyu{-}Yong Song}, title = {Physical and chemical factors influencing the continuous monitoring of carbon monoxide using {NDIR} sensor}, booktitle = {9th International Conference on Sensing Technology, {ICST} 2015, Auckland, New Zealand, December 8-10, 2015}, pages = {316--319}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICSensT.2015.7438414}, doi = {10.1109/ICSENST.2015.7438414}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsenst/KimDCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/EmersonCHKK15, author = {Shamini Emerson and Young{-}Kyu Choi and DongYeop Hwang and Kang{-}Seok Kim and Ki{-}Hyung Kim}, title = {An OAuth based authentication mechanism for IoT networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {1072--1074}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354740}, doi = {10.1109/ICTC.2015.7354740}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/EmersonCHKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/ChoiKPKNL15, author = {Yun Won Choi and Kwang{-}Young Kim and Mi{-}Ryong Park and Jong{-}Hyo Kim and Kyung{-}Jin Na and Suk{-}Gyu Lee}, title = {Development of around view system based on moving object detection for safe bus getting on and off}, booktitle = {International Symposium on Consumer Electronics, {ISCE} 2015, Madrid, Spain, June 24-26, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCE.2015.7177786}, doi = {10.1109/ISCE.2015.7177786}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/ChoiKPKNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LimCLBLHKJ15, author = {Hyun{-}Wook Lim and Sung{-}Won Choi and Sang{-}Kyu Lee and Chang{-}Hoon Baek and Jae{-}Youl Lee and Gyoo{-}Cheol Hwang and Bai{-}Sun Kong and Young{-}Hyun Jun}, title = {10.4 {A} 5.8Gb/s adaptive integrating duobinary-based {DFE} receiver for multi-drop memory interface}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062986}, doi = {10.1109/ISSCC.2015.7062986}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LimCLBLHKJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/ChoiCHK15, author = {Kyu Young Choi and Jihoon Cho and Jung Yeon Hwang and Taekyoung Kwon}, editor = {Howon Kim and Dooho Choi}, title = {Constructing Efficient {PAKE} Protocols from Identity-Based {KEM/DEM}}, booktitle = {Information Security Applications - 16th International Workshop, {WISA} 2015, Jeju Island, Korea, August 20-22, 2015, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {9503}, pages = {411--422}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-31875-2\_34}, doi = {10.1007/978-3-319-31875-2\_34}, timestamp = {Thu, 02 Mar 2023 10:53:05 +0100}, biburl = {https://dblp.org/rec/conf/wisa/ChoiCHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ShimSBJCLPOK15, author = {Inwook Shim and Seunghak Shin and Yunsu Bok and Kyungdon Joo and Dong{-}Geol Choi and Joon{-}Young Lee and Jaesik Park and Jun{-}Ho Oh and In So Kweon}, title = {Vision System and Depth Processing for {DRC-HUBO+}}, journal = {CoRR}, volume = {abs/1509.06114}, year = {2015}, url = {http://arxiv.org/abs/1509.06114}, eprinttype = {arXiv}, eprint = {1509.06114}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ShimSBJCLPOK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/ChoiCHK15, author = {Kyu Young Choi and Jihoon Cho and Jung Yeon Hwang and Taekyoung Kwon}, title = {Constructing Efficient {PAKE} Protocols from Identity-Based {KEM/DEM}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {606}, year = {2015}, url = {http://eprint.iacr.org/2015/606}, timestamp = {Thu, 12 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/ChoiCHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKSP14, author = {Sang{-}Jin Choi and Young{-}Chon Kim and Minho Song and Jae{-}Kyung Pan}, title = {A Self-Referencing Intensity-Based Fiber Optic Sensor with Multipoint Sensing Characteristics}, journal = {Sensors}, volume = {14}, number = {7}, pages = {12803--12815}, year = {2014}, url = {https://doi.org/10.3390/s140712803}, doi = {10.3390/S140712803}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SongKLCCB14, author = {Bongsub Song and Kyunghoon Kim and Junan Lee and Jinil Chung and Youngjung Choi and Jinwook Burm}, title = {A 13.5-mW 10-Gb/s 4-PAM Serial Link Transmitter in 0.13-{\(\mathrm{\mu}\)}m {CMOS} Technology}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {61-II}, number = {9}, pages = {646--650}, year = {2014}, url = {https://doi.org/10.1109/TCSII.2014.2331101}, doi = {10.1109/TCSII.2014.2331101}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SongKLCCB14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/OhKLJC14, author = {Mi{-}Kyung Oh and Jae{-}Young Kim and Sangjae Lee and Youngae Jeon and Sangsung Choi}, title = {A fully integrated ieee {IEEE} 802.15.4g {MR-FSK} SoC soc for smart utility network applications}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {60}, number = {4}, pages = {580--586}, year = {2014}, url = {https://doi.org/10.1109/TCE.2014.7027290}, doi = {10.1109/TCE.2014.7027290}, timestamp = {Fri, 03 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/OhKLJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/WangPCC14, author = {Bo Ram Wang and Ji{-}Yun Park and Kyungyong Chung and In Young Choi}, title = {Influential Factors of Smart Health Users according to Usage Experience and Intention to Use}, journal = {Wirel. Pers. Commun.}, volume = {79}, number = {4}, pages = {2671--2683}, year = {2014}, url = {https://doi.org/10.1007/s11277-014-1769-0}, doi = {10.1007/S11277-014-1769-0}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/WangPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/JeongCKKLKPK14, author = {Young{-}Seob Jeong and Ho{-}Jin Choi and Yong{-}Jin Kwon and Kyu{-}Chang Kang and Ju{-}Yeon Lee and Hye{-}Hyon Kim and Hyun{-}Ae Park and Ju{-}Han Kim}, title = {Semi-automated lifestyle manager for obesity}, booktitle = {International Conference on Big Data and Smart Computing, {BIGCOMP} 2014, Bangkok, Thailand, January 15-17, 2014}, pages = {229--230}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIGCOMP.2014.6741441}, doi = {10.1109/BIGCOMP.2014.6741441}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/JeongCKKLKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ChoiKSP14, author = {Sang{-}Jin Choi and Young{-}Chon Kim and Minho Song and Jae{-}Kyung Pan}, title = {{FRP} strain measurement using self-referencing intensity-based fiber optic sensor}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914434}, doi = {10.1109/ELINFOCOM.2014.6914434}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ChoiKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fccm/ChoiCW14, author = {Young Kyu Choi and Jason Cong and Di Wu}, title = {{FPGA} Implementation of {EM} Algorithm for 3D {CT} Reconstruction}, booktitle = {22nd {IEEE} Annual International Symposium on Field-Programmable Custom Computing Machines, {FCCM} 2014, Boston, MA, USA, May 11-13, 2014}, pages = {157--160}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/FCCM.2014.48}, doi = {10.1109/FCCM.2014.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fccm/ChoiCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/BaekKYCKY14, author = {Jong Hyen Baek and Gon Yop Kim and Doh Chul Yang and Hyeon Yeong Choi and Young Kyu Kim and Yong Ki Yoon}, title = {A {LTE} wireless communication interface test for on-board oriented train control system field test}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {690--694}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983257}, doi = {10.1109/ICTC.2014.6983257}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/BaekKYCKY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/JembrePCCK14, author = {Yalew Zelalem Jembre and Rajib Paul and Young{-}June Choi and Kyung{-}Yul Cheon and Chang{-}Joo Kim}, editor = {Suk{-}Han Lee and Sang{-}Wook Kim and Lajos Hanzo and Roslan Ismail}, title = {Channel assignment and jammer mitigation for military MANETs with multiple interfaces and multiple channels}, booktitle = {The 8th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '14, Siem Reap, Cambodia - January 09 - 11, 2014}, pages = {66:1--66:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2557977.2558046}, doi = {10.1145/2557977.2558046}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/JembrePCCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intetain/ChaKCWK14, author = {Min{-}Kyung Cha and Sang{-}Hee Kweon and Yoon{-}Jung Choi and Young{-}A Won and Hyun Joo Kim}, editor = {Dennis Reidsma and Insook Choi and Robin Bargar}, title = {A Research on Value Chain Structure of the Performing Arts Consumers' Social Media Usage - Application of Means-End Chain Theory and Involvement}, booktitle = {Intelligent Technologies for Interactive Entertainment - 6th International Conference, {INTETAIN} 2014, Chicago, IL, USA, July 9-11, 2014. Proceedings}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {136}, pages = {1--11}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-08189-2\_1}, doi = {10.1007/978-3-319-08189-2\_1}, timestamp = {Sun, 25 Oct 2020 22:32:38 +0100}, biburl = {https://dblp.org/rec/conf/intetain/ChaKCWK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AhnLKJKKPJPLPCC14, author = {JungChak Ahn and Kyungho Lee and Yitae Kim and Heegeun Jeong and Bumsuk Kim and Hongki Kim and Jongeun Park and Taesub Jung and Wonje Park and Taeheon Lee and Eunkyung Park and Sangjun Choi and Gyehun Choi and Haeyong Park and Yujung Choi and Seungwook Lee and Yunkyung Kim and Y. J. Jung and Donghyuk Park and Seungjoo Nah and Youngsun Oh and Mihye Kim and Yooseung Lee and Youngwoo Chung and Ihara Hisanori and Joon{-}Hyuk Im and Daniel{-}K J Lee and Byunghyun Yim and GiDoo Lee and Heesang Kown and Sungho Choi and Jeonsook Lee and Dongyoung Jang and Youngchan Kim and Tae Chan Kim and Hiroshige Goto and Chi{-}Young Choi and Duckhyung Lee and Gab{-}soo Han}, title = {7.1 {A} 1/4-inch 8Mpixel {CMOS} image sensor with 3D backside-illuminated 1.12{\(\mu\)}m pixel with front-side deep-trench isolation and vertical transfer gate}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {124--125}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757365}, doi = {10.1109/ISSCC.2014.6757365}, timestamp = {Sun, 04 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AhnLKJKKPJPLPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCCRLLLKJHKMBP14, author = {Tae{-}Young Oh and Hoeju Chung and Young{-}Chul Cho and Jang{-}Woo Ryu and Kiwon Lee and Changyoung Lee and Jin{-}Il Lee and Hyoung{-}Joo Kim and Min{-}Soo Jang and Gong{-}Heum Han and Kihan Kim and Daesik Moon and Seung{-}Jun Bae and Joon{-}Young Park and Kyung{-}Soo Ha and Jaewoong Lee and Su{-}Yeon Doo and Jung{-}Bum Shin and Chang{-}Ho Shin and Kiseok Oh and Doo{-}Hee Hwang and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {25.1 {A} 3.2Gb/s/pin 8Gb 1.0V {LPDDR4} {SDRAM} with integrated {ECC} engine for sub-1V {DRAM} core operation}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {430--431}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757500}, doi = {10.1109/ISSCC.2014.6757500}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCCRLLLKJHKMBP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRJYPPBBOJKK14, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Kee Sup Kim and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi}, title = {13.2 {A} 14nm FinFET 128Mb 6T {SRAM} with VMIN-enhancement techniques for low-power applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {232--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757413}, doi = {10.1109/ISSCC.2014.6757413}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRJYPPBBOJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/ChoiKBSKM14, author = {Jooyoung Choi and Yeon A. Kim and Min Baek and Gilwon Seo and Kyunbyoung Ko and Cheol Mun}, title = {Wireless network deployment and optimization for {IEEE} 802.11p/WAVE network}, booktitle = {17th International {IEEE} Conference on Intelligent Transportation Systems, {ITSC} 2014, Qingdao, China, October 8-11, 2014}, pages = {1826--1830}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ITSC.2014.6957958}, doi = {10.1109/ITSC.2014.6957958}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/ChoiKBSKM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/HahmPLKHC14, author = {YoungGyun Hahm and Jungyeul Park and Kyungtae Lim and Youngsik Kim and Dosam Hwang and Key{-}Sun Choi}, editor = {Nicoletta Calzolari and Khalid Choukri and Thierry Declerck and Hrafn Loftsson and Bente Maegaard and Joseph Mariani and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {Named Entity Corpus Construction using Wikipedia and DBpedia Ontology}, booktitle = {Proceedings of the Ninth International Conference on Language Resources and Evaluation, {LREC} 2014, Reykjavik, Iceland, May 26-31, 2014}, pages = {2565--2569}, publisher = {European Language Resources Association {(ELRA)}}, year = {2014}, url = {http://www.lrec-conf.org/proceedings/lrec2014/summaries/688.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/HahmPLKHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wcnc/HongSLCCC14, author = {Sungnam Hong and Min Sagong and Chiwoo Lim and Kyungwhoon Cheun and Sunghye Cho and Young Min Choi}, title = {A modulation technique for active interference design under downlink cellular {OFDMA} networks}, booktitle = {{IEEE} Wireless Communications and Networking Conference, {WCNC} 2014, Istanbul, Turkey, April 6-9, 2014}, pages = {683--688}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/WCNC.2014.6952130}, doi = {10.1109/WCNC.2014.6952130}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/wcnc/HongSLCCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/MahmoodMHC13, author = {Muhammad Tariq Mahmood and Abdul Majid and Jongwoo Han and Young{-}Kyu Choi}, title = {Genetic programming based blind image deconvolution for surveillancesystems}, journal = {Eng. Appl. Artif. Intell.}, volume = {26}, number = {3}, pages = {1115--1123}, year = {2013}, url = {https://doi.org/10.1016/j.engappai.2012.08.001}, doi = {10.1016/J.ENGAPPAI.2012.08.001}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eaai/MahmoodMHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoPYLJSCHKJ13, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive Multi-Pulse Program Scheme Based on Tunneling Speed Classification for Next Generation Multi-Bit/Cell {NAND} {FLASH}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {948--959}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2237974}, doi = {10.1109/JSSC.2013.2237974}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoPYLJSCHKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/SeoKKKKCC13, author = {Jun{-}Hyuk Seo and Ji{-}Young Kim and Young{-}Bae Kim and Dong{-}Wook Kim and Haeri Kim and Hyun Cho and Duck{-}Kyun Choi}, title = {Multi-level storage in a nano-floating gate {MOS} capacitor using a stepped control oxide}, journal = {Microelectron. Reliab.}, volume = {53}, number = {4}, pages = {528--532}, year = {2013}, url = {https://doi.org/10.1016/j.microrel.2012.12.008}, doi = {10.1016/J.MICROREL.2012.12.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/SeoKKKKCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SongKCS13, author = {Moon{-}Kyu Song and Seong{-}Eun Kim and Young{-}Seok Choi and Woo{-}Jin Song}, title = {Selective Normalized Subband Adaptive Filter With Subband Extension}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {60-II}, number = {2}, pages = {101--105}, year = {2013}, url = {https://doi.org/10.1109/TCSII.2012.2235737}, doi = {10.1109/TCSII.2012.2235737}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SongKCS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SongKCS13a, author = {Moon{-}Kyu Song and Seong{-}Eun Kim and Young{-}Seok Choi and Woo{-}Jin Song}, title = {Correction to "Selective Normalized Subband Adaptive Filter With Subband Extension"}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {60-II}, number = {7}, pages = {456}, year = {2013}, url = {https://doi.org/10.1109/TCSII.2013.2264555}, doi = {10.1109/TCSII.2013.2264555}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SongKCS13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/LeeCKLKJKLAL13, author = {Jeyeon Lee and Hosuk Choi and Taekyung Kim and Hojong Lee and In Young Kim and Dong Pvo Jang and Sunkyue Kim and Jeongeun Lee and Kyung{-}Ha Ahn and Kyoung{-}Min Lee}, title = {The effectiveness of epidural ECoG on brain computer interface in primate}, booktitle = {International Winter Workshop on Brain-Computer Interface, {BCI} 2013, Gangwon Province, Korea (South), February 18-20, 2013}, pages = {107--108}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IWW-BCI.2013.6506647}, doi = {10.1109/IWW-BCI.2013.6506647}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bci3/LeeCKLKJKLAL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biostec/ChoiKR13, author = {Bong{-}Kyu Choi and Suk{-}Young Kim and Sang{-}Hoon Rhee}, editor = {Mireya Fern{\'{a}}ndez{-}Chimeno and Jordi Sol{\'{e}}{-}Casals and Ana L. N. Fred and Hugo Gamboa}, title = {Preparation of a PLGA/Calcium Silicate Composite with Gradient Pore Structure}, booktitle = {{BIODEVICES} 2013 - Proceedings of the International Conference on Biomedical Electronics and Devices, Barcelona, Spain, 11-14 February, 2013}, pages = {239--242}, publisher = {SciTePress}, year = {2013}, timestamp = {Tue, 15 Jan 2019 15:47:33 +0100}, biburl = {https://dblp.org/rec/conf/biostec/ChoiKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimSKDCKSBM13, author = {Young Moon Kim and Jun Seomun and Hyung{-}Ock Kim and Kyung Tae Do and Jung Yun Choi and Kee Sup Kim and Matthias Sauer and Bernd Becker and Subhasish Mitra}, title = {Detection of early-life failures in high-K metal-gate transistors and ultra low-K inter-metal dielectrics}, booktitle = {Proceedings of the {IEEE} 2013 Custom Integrated Circuits Conference, {CICC} 2013, San Jose, CA, USA, September 22-25, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CICC.2013.6658544}, doi = {10.1109/CICC.2013.6658544}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cicc/KimSKDCKSBM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiP13, author = {Young{-}kyu Choi and In Kyu Park}, title = {Efficient GPU-Based Graph Cuts for Stereo Matching}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2013, Portland, OR, USA, June 23-28, 2013}, pages = {642--648}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/CVPRW.2013.97}, doi = {10.1109/CVPRW.2013.97}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icce-berlin/LeeKOJC13, author = {Sangjae Lee and Byounghak Kim and Mi{-}Kyung Oh and Youngae Jeon and Sangsung Choi}, title = {Implementation of {IEEE} 802.15.4g wireless communication platform for smart utility service}, booktitle = {{IEEE} Third International Conference on Consumer Electronics, ICCE-Berlin 2013, {IFA} Fairground, Berlin, Germany, September 9-11, 2013}, pages = {287--289}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE-Berlin.2013.6698045}, doi = {10.1109/ICCE-BERLIN.2013.6698045}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/icce-berlin/LeeKOJC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icis/ChoiIY13, author = {Kyungsub Steve Choi and Il Im and Youngjin Yoo}, editor = {Richard L. Baskerville and Michael Chau}, title = {Liquid Communication: An Analysis of the Impact of Mobile Micro-blogging on Communication and Decision-Making}, booktitle = {Proceedings of the International Conference on Information Systems, {ICIS} 2013, Milano, Italy, December 15-18, 2013}, publisher = {Association for Information Systems}, year = {2013}, url = {http://aisel.aisnet.org/icis2013/proceedings/ResearchInProgress/79}, timestamp = {Wed, 30 Oct 2019 17:01:36 +0100}, biburl = {https://dblp.org/rec/conf/icis/ChoiIY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JoJKC13, author = {Junho Jo and Kyung{-}Tae Jo and Young{-}Chai Ko and Seyeong Choi}, title = {Optimal sounding signal design based on {MMSE} criterion in {OFDM} system}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {583--584}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675425}, doi = {10.1109/ICTC.2013.6675425}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/JoJKC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/YuCLJ10, author = {Wonpil Yu and Sunglok Choi and Kyungkeun Lee and YoungSook Jeong}, title = {A study on navigation performance evaluation of educational robots}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695733}, doi = {10.1109/ISR.2013.6695733}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isr/YuCLJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeolKCHBLCK13, author = {Ji{-}Hwan Seol and Young{-}Ju Kim and Sang{-}Hye Chung and Kyung{-}Soo Ha and Seung{-}Jun Bae and Jung{-}Bae Lee and Joo{-}Sun Choi and Lee{-}Sup Kim}, title = {An 8Gb/s 0.65mW/Gb/s forwarded-clock receiver using an {ILO} with dual feedback loop and quadrature injection scheme}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {410--411}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487792}, doi = {10.1109/ISSCC.2013.6487792}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SeolKCHBLCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/SauerKSKDCKMB13, author = {Matthias Sauer and Young Moon Kim and Jun Seomun and Hyung{-}Ock Kim and Kyung Tae Do and Jung Yun Choi and Kee Sup Kim and Subhasish Mitra and Bernd Becker}, title = {Early-life-failure detection using SAT-based {ATPG}}, booktitle = {2013 {IEEE} International Test Conference, {ITC} 2013, Anaheim, CA, USA, September 6-13, 2013}, pages = {1--10}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/TEST.2013.6651925}, doi = {10.1109/TEST.2013.6651925}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/SauerKSKDCKMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkLCKYJR13, author = {Hoon Chae Park and Ji Eun Lee and Hang Seok Choi and Jin Ho Kyung and Dongwon Yun and Sanghyun Jeong and Youngsun Ryuh}, title = {Application of {FSI} (Fluid Structure Interaction) to biomimetic robot fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {439--441}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677305}, doi = {10.1109/URAI.2013.6677305}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkLCKYJR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkRCC13, author = {Jong{-}hun Park and Hyejeong Ryu and Young{-}Ho Choi and Wan Kyun Chung}, title = {User following strategy for mobile robots}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {717--719}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677434}, doi = {10.1109/URAI.2013.6677434}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkRCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/YunKJPCR13, author = {Dongwon Yun and Jin Ho Kyung and Sanghyun Jeong and Chanhun Park and Hang Seok Choi and Youngsun Ryuh}, title = {Water tank experiment for a robotic fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {436--438}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677304}, doi = {10.1109/URAI.2013.6677304}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/YunKJPCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/KimLPCP12, author = {Young{-}Min Kim and Eun{-}Jung Lee and Hea{-}Sook Park and Jun{-}Kyun Choi and Hong{-}Shik Park}, title = {Ant colony based self-adaptive energy saving routing for energy efficient Internet}, journal = {Comput. Networks}, volume = {56}, number = {10}, pages = {2343--2354}, year = {2012}, url = {https://doi.org/10.1016/j.comnet.2012.03.024}, doi = {10.1016/J.COMNET.2012.03.024}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/KimLPCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LeeLKCC12, author = {Joohyung Lee and Young Mi Lim and Kwihoon Kim and Seong Gon Choi and Jun Kyun Choi}, title = {Energy Efficient Cooperative Multicast Scheme Based on Selective Relay}, journal = {{IEEE} Commun. Lett.}, volume = {16}, number = {3}, pages = {386--388}, year = {2012}, url = {https://doi.org/10.1109/LCOMM.2012.011312.112375}, doi = {10.1109/LCOMM.2012.011312.112375}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/LeeLKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/OhCK12, author = {Mi{-}Kyung Oh and Sangsung Choi and Young{-}Hyoun Kwon}, title = {Position-Based {FSK} Scheme Toward Power-Saving Transmission in {LECIM} Networks}, journal = {{IEEE} Commun. Lett.}, volume = {16}, number = {2}, pages = {183--185}, year = {2012}, url = {https://doi.org/10.1109/LCOMM.2011.121311.112141}, doi = {10.1109/LCOMM.2011.121311.112141}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/OhCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiJK12, author = {Hyengcheul Choi and Kyung{-}Young Jung and Hyeongdong Kim}, title = {Miniaturized Antenna with High Radiation Efficiency Using Ground and Chip Capacitors}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {10}, pages = {3328--3331}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.3328}, doi = {10.1587/TRANSCOM.E95.B.3328}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiJK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/SeoCHK12, author = {Seung{-}Hyun Seo and Kyu Young Choi and Jung Yeon Hwang and Seungjoo Kim}, title = {Efficient certificateless proxy signature scheme with provable security}, journal = {Inf. Sci.}, volume = {188}, pages = {322--337}, year = {2012}, url = {https://doi.org/10.1016/j.ins.2011.11.005}, doi = {10.1016/J.INS.2011.11.005}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/SeoCHK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2 {V} 12.8 GB/s 2 Gb Mobile Wide-I/O {DRAM} With 4 {\texttimes} 128 I/Os Using {TSV} Based Stacking}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {107--116}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164731}, doi = {10.1109/JSSC.2011.2164731}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCSKKKKJKPKKCRCKKCC12, author = {Hyun{-}Woo Lee and Hoon Choi and Beom{-}Ju Shin and Kyung{-}Hoon Kim and Kyung Whan Kim and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Jae{-}Hwan Kim and Eun Young Park and Jong{-}Sam Kim and Jong{-}Hwan Kim and Jin{-}Hee Cho and Nam Gyu Rye and Jun Hyun Chun and Yunsaing Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.0-ns/1.0-V Delay-Locked Loop With Racing Mode and Countered {CAS} Latency Controller for {DRAM} Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {6}, pages = {1436--1447}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2191027}, doi = {10.1109/JSSC.2012.2191027}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCSKKKKJKPKKCRCKKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeKCSPKKCC12, author = {Hyun{-}Woo Lee and Ki{-}Han Kim and Young{-}Kyoung Choi and Ju{-}Hwan Sohn and Nak{-}Kyu Park and Kwan{-}Weon Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.6 {V} 1.4 Gbp/s/pin Consumer {DRAM} With Self-Dynamic Voltage Scaling Technique in 44 nm {CMOS} Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {131--140}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164710}, doi = {10.1109/JSSC.2011.2164710}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeKCSPKKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/ChoPPC12, author = {Hancheol Cho and Sang{-}Young Park and Han{-}Earl Park and Kyu{-}Hong Choi}, title = {Analytic Solution to Optimal Reconfigurations of Satellite Formation Flying in Circular Orbit under {\textdollar}J{\_}2{\textdollar} Perturbation}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {48}, number = {3}, pages = {2180--2197}, year = {2012}, url = {https://doi.org/10.1109/TAES.2012.6237587}, doi = {10.1109/TAES.2012.6237587}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/ChoPPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LeeKCOKCKKK12, author = {Jung Chan Lee and Myeungseon Kim and Ka Ram Choi and Tae Jung Oh and Min Young Kim and Young Min Cho and Kyuseok Kim and Hee Chan Kim and Sungwan Kim}, title = {In Silico Evaluation of Glucose Control Protocols for Critically Ill Patients}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {59}, number = {1}, pages = {54--57}, year = {2012}, url = {https://doi.org/10.1109/TBME.2011.2163310}, doi = {10.1109/TBME.2011.2163310}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/LeeKCOKCKKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KimSC12, author = {Wang{-}Soo Kim and Chang{-}Kyung Seong and Woo{-}Young Choi}, title = {A 5.4-Gbit/s Adaptive Continuous-Time Linear Equalizer Using Asynchronous Undersampling Histograms}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {59-II}, number = {9}, pages = {553--557}, year = {2012}, url = {https://doi.org/10.1109/TCSII.2012.2208671}, doi = {10.1109/TCSII.2012.2208671}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KimSC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SeongRC12, author = {Chang{-}Kyung Seong and Jinsoo Rhim and Woo{-}Young Choi}, title = {A 10-Gb/s Adaptive Look-Ahead Decision Feedback Equalizer With an Eye-Opening Monitor}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {59-II}, number = {4}, pages = {209--213}, year = {2012}, url = {https://doi.org/10.1109/TCSII.2012.2186366}, doi = {10.1109/TCSII.2012.2186366}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SeongRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiLL12, author = {Hyun{-}Hwa Choi and Mi{-}Young Lee and Kyu{-}Chul Lee}, title = {Distributed high dimensional indexing for k-NN search}, journal = {J. Supercomput.}, volume = {62}, number = {3}, pages = {1362--1384}, year = {2012}, url = {https://doi.org/10.1007/s11227-012-0800-z}, doi = {10.1007/S11227-012-0800-Z}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/MinJKCSKK12, author = {Young{-}Jae Min and Chan{-}Hui Jeong and Kyu{-}Young Kim and Won Ho Choi and Jong{-}Pil Son and Chulwoo Kim and Soo{-}Won Kim}, title = {A 0.31-1 GHz Fast-Corrected Duty-Cycle Corrector With Successive Approximation Register for {DDR} {DRAM} Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {20}, number = {8}, pages = {1524--1528}, year = {2012}, url = {https://doi.org/10.1109/TVLSI.2011.2158011}, doi = {10.1109/TVLSI.2011.2158011}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/MinJKCSKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-alr/HahmLPYC12, author = {YoungGyun Hahm and Kyungtae Lim and Jungyeul Park and Yongun Yoon and Key{-}Sun Choi}, editor = {Ruvan Weerasinghe and Sarmad Hussain and Virach Sornlertlamvanich and Rachel Edita O{\~{n}}ate Roxas}, title = {Korean {NLP2RDF} Resources}, booktitle = {Proceedings of the 10th Workshop on Asian Language Resources, ALR@COLING 2012, Mumbai, India, December 9, 2012}, pages = {1--10}, publisher = {The {COLING} 2012 Organizing Committee}, year = {2012}, url = {https://aclanthology.org/W12-5201/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-alr/HahmLPYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/KimMLPC12, author = {Youngsoo Kim and Edwin Monroy and Okhwan Lee and Kyung{-}Joon Park and Sunghyun Choi}, title = {Adaptive two-level frame aggregation in {IEEE} 802.11n {WLAN}}, booktitle = {18th Asia-Pacific Conference on Communications, {APCC} 2012, Jeju, Korea (South), October 15-17, 2012}, pages = {658--663}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCC.2012.6388276}, doi = {10.1109/APCC.2012.6388276}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apcc/KimMLPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChoiWP12, author = {Young{-}kyu Choi and Williem and In Kyu Park}, title = {Memory-efficient belief propagation in stereo matching on {GPU}}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2012, Hollywood, CA, USA, December 3-6, 2012}, pages = {1--4}, publisher = {{IEEE}}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6411871/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/ChoiWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aswc/RezkPYLLHC12, author = {Mart{\'{\i}}n Rezk and Jungyeul Park and Yongun Yoon and Kyungtae Lim and John Bruntse Larsen and YoungGyun Hahm and Key{-}Sun Choi}, editor = {Hideaki Takeda and Yuzhong Qu and Riichiro Mizoguchi and Yoshinobu Kitamura}, title = {Korean Linked Data on the Web: Text to {RDF}}, booktitle = {Semantic Technology, Second Joint International Conference, {JIST} 2012, Nara, Japan, December 2-4, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7774}, pages = {368--374}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-37996-3\_31}, doi = {10.1007/978-3-642-37996-3\_31}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aswc/RezkPYLLHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/KangJMCKK12, author = {Seung{-}Rok Kang and Gu{-}Young Jung and Dong{-}An Moon and Song{-}Sik Choi and Jung{-}Ja Kim and Tae{-}Kyu Kwon}, title = {Analysis of the effect of horseback riding exercise equipment on the human body}, booktitle = {Proceedings of 2012 {IEEE-EMBS} International Conference on Biomedical and Health Informatics, Hong Kong, China, January 5-7, 2012}, pages = {135--139}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/BHI.2012.6211527}, doi = {10.1109/BHI.2012.6211527}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/bhi/KangJMCKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/YuPCCK12, author = {Ho Yu and Young Jin Park and Il Seop Choi and Wan Kyun Chung and Kihwan Kim}, title = {Design and control of multi-degree-of-freedom shroud nozzle hydraulic manipulator in steel manufacturing}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {538--543}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CoASE.2012.6386308}, doi = {10.1109/COASE.2012.6386308}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/YuPCCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ShinLLHHYLPKRKCC12, author = {Sunghwan Shin and In Lee and Hojin Lee and Gabjong Han and Kyungpyo Hong and Sunghoon Yim and Jongwon Lee and Young Jin Park and Byeong Ki Kang and Dae Ho Ryoo and Dae Whan Kim and Seungmoon Choi and Wan Kyun Chung}, title = {Haptic simulation of refrigerator door}, booktitle = {2012 {IEEE} Haptics Symposium, {HAPTICS} 2012, Vancouver, BC, Canada, March 4-7, 2012}, pages = {147--154}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HAPTIC.2012.6183783}, doi = {10.1109/HAPTIC.2012.6183783}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/ShinLLHHYLPKRKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/AmirKC12, author = {Shehzad Amir and Kyungtae Kim and Young{-}June Choi}, title = {Enterprise solution of bandwidth optimization for multimedia transmission}, booktitle = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, pages = {423--427}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICUFN.2012.6261742}, doi = {10.1109/ICUFN.2012.6261742}, timestamp = {Wed, 27 Jul 2022 15:52:03 +0200}, biburl = {https://dblp.org/rec/conf/icufn/AmirKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiJNJP12, author = {Kyungmook Choi and Yunseon Jang and Jingyoung Noh and MinChul Ju and Youngil Park}, title = {Visible light communications with color and dimming control by employing {VPPM} coding}, booktitle = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, pages = {10--12}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICUFN.2012.6261654}, doi = {10.1109/ICUFN.2012.6261654}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiJNJP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JangCRDJP12, author = {Yunseon Jang and Kyungmook Choi and Fahmida Rawshan and Seungrok Dan and MinChul Ju and Youngil Park}, title = {Bi-directional visible light communication using performance-based selection of IR-LEDs in upstream transmission}, booktitle = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, pages = {8--9}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICUFN.2012.6261653}, doi = {10.1109/ICUFN.2012.6261653}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JangCRDJP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipccc/LuoMLJC12, author = {Jie Luo and Kathlene Morales and Byeong Kil Lee and Eugene John and Young Kyu Choi}, title = {Performance-sensitivity and performance-similarity based workload reduction}, booktitle = {31st {IEEE} International Performance Computing and Communications Conference, {IPCCC} 2012, Austin, TX, USA, December 1-3, 2012}, pages = {21--30}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/PCCC.2012.6407717}, doi = {10.1109/PCCC.2012.6407717}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipccc/LuoMLJC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkLPKRJJLYJCK12, author = {Jaeseok Park and Ingeol Lee and Young{-}Seok Park and Sung{-}Geun Kim and Kyungho Ryu and Dong{-}Hoon Jung and Kangwook Jo and Choong Keun Lee and Hongil Yoon and Seong{-}Ook Jung and Woo{-}Young Choi and Sungho Kang}, title = {Integration of dual channel timing formatter system for high speed memory test equipment}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {185--187}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407070}, doi = {10.1109/ISOCC.2012.6407070}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkLPKRJJLYJCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaePRKJNSYCCKYKJCYLCO12, author = {Yong{-}Cheol Bae and Joon{-}Young Park and Sang Jae Rhee and Seung Bum Ko and Yonggwon Jeong and Kwang{-}Sook Noh and Young Hoon Son and Jaeyoun Youn and Yonggyu Chu and Hyunyoon Cho and Mijo Kim and Daesik Yim and Hyo{-}Chang Kim and Sang{-}Hoon Jung and Hye{-}In Choi and Sungmin Yim and Jung{-}Bae Lee and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 1.6Gb/s/pin 4Gb {LPDDR3} {SDRAM} with input skew calibration and enhanced control scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {44--46}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176871}, doi = {10.1109/ISSCC.2012.6176871}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaePRKJNSYCCKYKJCYLCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12, author = {Dae Hyun Kim and Krit Athikulwongse and Michael B. Healy and Mohammad M. Hossain and Moongon Jung and Ilya Khorosh and Gokul Kumar and Young{-}Joon Lee and Dean L. Lewis and Tzu{-}Wei Lin and Chang Liu and Shreepad Panth and Mohit Pathak and Minzhen Ren and Guanhao Shen and Taigon Song and Dong Hyuk Woo and Xin Zhao and Joungho Kim and Ho Choi and Gabriel H. Loh and Hsien{-}Hsin S. Lee and Sung Kyu Lim}, title = {3D-MAPS: 3D Massively parallel processor with stacked memory}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {188--190}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176969}, doi = {10.1109/ISSCC.2012.6176969}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimAHHJKKLLLLPPRSSWZKCLLL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Hanki Jeoung and Ki Won Lee and Junsuk Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Jang Seok Choi and Byung{-}Sick Moon and Jung{-}Hwan Choi and Byungchul Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 3.2Gb/s/pin 4Gb {DDR4} {SDRAM} with dual-error detection and PVT-tolerant data-fetch scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {38--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176868}, doi = {10.1109/ISSCC.2012.6176868}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ShinLCPLCH12, author = {Junghoon Shin and Byung{-}Ju Lim and Eunseok Choi and Youngsu Park and Sangjun Lee and Kyung{-}Yul Chung and Jiman Hong}, editor = {Yookun Cho and Rex E. Gantenbein and Tei{-}Wei Kuo and Vahid Tarokh}, title = {Design and implementation of the fault data providing system using mobile web service}, booktitle = {Research in Applied Computation Symposium, {RACS} '12, San Antonio, TX, USA, October 23-26, 2012}, pages = {114--115}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2401603.2401629}, doi = {10.1145/2401603.2401629}, timestamp = {Mon, 18 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/ShinLCPLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MahmoodCS12, author = {Muhammad Tariq Mahmood and Young{-}Kyu Choi and Seong{-}O Shim}, title = {Estimating shape from focus by Gaussian process regression}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2012, Seoul, Korea (South), October 14-17, 2012}, pages = {1345--1350}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICSMC.2012.6377920}, doi = {10.1109/ICSMC.2012.6377920}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/smc/MahmoodCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoPYLJSCHKJ12, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive multi-pulse program scheme based on tunneling speed classification for next generation multi-bit/cell {NAND} {FLASH}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {136--137}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243827}, doi = {10.1109/VLSIC.2012.6243827}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChoPYLJSCHKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinSJKYCKPYSCS12, author = {Seung{-}Hwan Shin and Dong{-}Kyo Shim and Jaeyong Jeong and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and Tae{-}Young Kim and Hyun Wook Park and Hyun{-}Jun Yoon and Youngsun Song and Yoon{-}Hee Choi and Sang{-}Won Shim and Yang{-}Lo Ahn and Ki{-}Tae Park and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {A new 3-bit programming algorithm using SLC-to-TLC migration for 8MB/s high performance {TLC} {NAND} flash memory}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {132--133}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243825}, doi = {10.1109/VLSIC.2012.6243825}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShinSJKYCKPYSCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimC12, author = {Kyungtae Kim and Young{-}June Choi}, title = {Performance Evaluation of Audio-Video Telephony in WiMAX Networks}, booktitle = {Proceedings of the 75th {IEEE} Vehicular Technology Conference, {VTC} Spring 2012, Yokohama, Japan, May 6-9, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VETECS.2012.6239925}, doi = {10.1109/VETECS.2012.6239925}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KimC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/KimKCW12, author = {Hwang Ho Kim and Moon Kyung Kim and Jin Young Choi and Gi{-}Nam Wang}, editor = {Oliver Rose and Adelinde M. Uhrmacher}, title = {Design and application of data interchange formats (DIFs) for improving interoperability in {SBA}}, booktitle = {Winter Simulation Conference, {WSC} '12, Berlin, Germany, December 9-12, 2012}, pages = {328:1--328:2}, publisher = {{WSC}}, year = {2012}, url = {http://dl.acm.org/citation.cfm?id=2430200}, timestamp = {Thu, 10 Jun 2021 22:19:08 +0200}, biburl = {https://dblp.org/rec/conf/wsc/KimKCW12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:journals/procedia/JungCCK12, author = {Jae{-}Won Jung and Ki{-}Ho Chang and Young{-}Jean Choi and Kyungsik Kim}, editor = {Hesham H. Ali and Yong Shi and Deepak Khazanchi and Michael Lees and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {Analysis of Multifractals in Game Behaviors}, booktitle = {Proceedings of the International Conference on Computational Science, {ICCS} 2012, Omaha, Nebraska, USA, 4-6 June, 2012}, series = {Procedia Computer Science}, volume = {9}, pages = {1410--1415}, publisher = {Elsevier}, year = {2012}, url = {https://doi.org/10.1016/j.procs.2012.04.155}, doi = {10.1016/J.PROCS.2012.04.155}, timestamp = {Thu, 08 Jul 2021 16:04:01 +0200}, biburl = {https://dblp.org/rec/journals/procedia/JungCCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/ChoiPL11, author = {Kyu Young Choi and Jong Hwan Park and Dong Hoon Lee}, title = {A new provably secure certificateless short signature scheme}, journal = {Comput. Math. Appl.}, volume = {61}, number = {7}, pages = {1760--1768}, year = {2011}, url = {https://doi.org/10.1016/j.camwa.2011.02.003}, doi = {10.1016/J.CAMWA.2011.02.003}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/ChoiPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChoiBCPC11, author = {Bum{-}Gon Choi and Sueng Jae Bae and Kyung{-}Yul Cheon and Aesoon Park and Min Young Chung}, title = {Relay Selection and Resource Allocation Schemes for Effective Utilization of Relay Zones in Relay-Based Cellular Networks}, journal = {{IEEE} Commun. Lett.}, volume = {15}, number = {4}, pages = {407--409}, year = {2011}, url = {https://doi.org/10.1109/LCOMM.2011.022411.101831}, doi = {10.1109/LCOMM.2011.022411.101831}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChoiBCPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KwonKCC11, author = {YoungHwan Kwon and Mingon Kim and Seong Gon Choi and Jun Kyun Choi}, title = {Centralized Selective Multicast Retransmission Policy for Enhanced Resource Efficiency in EPON-Based Access Networks}, journal = {{IEEE} Commun. Lett.}, volume = {15}, number = {9}, pages = {1013--1015}, year = {2011}, url = {https://doi.org/10.1109/LCOMM.2011.072911.111025}, doi = {10.1109/LCOMM.2011.072911.111025}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/KwonKCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiKL11, author = {Joon{-}Young Choi and Kyungmo Koo and Jin Soo Lee}, title = {Global Exponential Stability of {FAST} {TCP} with Heterogeneous Time-Varying Delays}, journal = {{IEICE} Trans. Commun.}, volume = {94-B}, number = {7}, pages = {1868--1874}, year = {2011}, url = {https://doi.org/10.1587/transcom.E94.B.1868}, doi = {10.1587/TRANSCOM.E94.B.1868}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LeePKC11, author = {Hyungho Lee and Kyung{-}Joon Park and Young{-}Bae Ko and Chong{-}Ho Choi}, title = {Wireless {LAN} with medical-grade QoS for e-healthcare}, journal = {J. Commun. Networks}, volume = {13}, number = {2}, pages = {149--159}, year = {2011}, url = {https://doi.org/10.1109/JCN.2011.6157414}, doi = {10.1109/JCN.2011.6157414}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LeePKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/HuhBJCYHLC11, author = {Kyung{-}Hoe Huh and Erika Benavides and Young{-}Tak Jo and Bo{-}Ram Choi and Won{-}Jin Yi and Min{-}Suk Heo and Sam{-}Sun Lee and Soon{-}Chul Choi}, title = {Quantitative Evaluation of Patient Movement during Simulated Acquisition of Cephalometric Radiographs}, journal = {J. Digit. Imaging}, volume = {24}, number = {3}, pages = {552--559}, year = {2011}, url = {https://doi.org/10.1007/s10278-010-9318-1}, doi = {10.1007/S10278-010-9318-1}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/HuhBJCYHLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jirs/YooCLAC11, author = {Dong{-}Young Yoo and Jin Young Choi and Jae Kyu Lee and Seong{-}Jin Ahn and Jin{-}Wook Chung}, title = {Spatial Modelling for Mobile Robot's Vision-based Navigation}, journal = {J. Intell. Robotic Syst.}, volume = {63}, number = {1}, pages = {131--147}, year = {2011}, url = {https://doi.org/10.1007/s10846-010-9500-1}, doi = {10.1007/S10846-010-9500-1}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jirs/YooCLAC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ChoJLCJJPKLK11, author = {Sooyoung Cho and Yukyung Jun and Sanghyun Lee and Hyung{-}Seok Choi and Sungchul Jung and Youngjun Jang and Charny Park and Sangok Kim and Sanghyuk Lee and Wan Kyu Kim}, title = {miRGator v2.0 : an integrated system for functional investigation of microRNAs}, journal = {Nucleic Acids Res.}, volume = {39}, number = {Database-Issue}, pages = {158--162}, year = {2011}, url = {https://doi.org/10.1093/nar/gkq1094}, doi = {10.1093/NAR/GKQ1094}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ChoJLCJJPKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/YounSLCHCPL11, author = {Chan{-}Hyun Youn and Eun Bo Shim and Soo Lim and Young Min Cho and Hyuck Ki Hong and Yeon Shik Choi and Hyo{-}Derk Park and Hong Kyu Lee}, title = {A Cooperative Metabolic Syndrome Estimation With High Precision Sensing Unit}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {58}, number = {3}, pages = {809--813}, year = {2011}, url = {https://doi.org/10.1109/TBME.2010.2088397}, doi = {10.1109/TBME.2010.2088397}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/YounSLCHCPL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/YouCCS11, author = {Kisun You and Young{-}kyu Choi and Jungwook Choi and Wonyong Sung}, title = {Memory Access Optimized {VLSI} for 5000-Word Continuous Speech Recognition}, journal = {J. Signal Process. Syst.}, volume = {63}, number = {1}, pages = {95--105}, year = {2011}, url = {https://doi.org/10.1007/s11265-009-0436-2}, doi = {10.1007/S11265-009-0436-2}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/YouCCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ChoiKS11, author = {Young{-}June Choi and Kyungtae Kim and Kang G. Shin}, title = {Rate-Control and Queueing of Backhaul Downstream Traffic for Mobile Wireless Systems}, journal = {Wirel. Pers. Commun.}, volume = {60}, number = {1}, pages = {125--143}, year = {2011}, url = {https://doi.org/10.1007/s11277-011-0252-4}, doi = {10.1007/S11277-011-0252-4}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/ChoiKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/SongKCS11, author = {Moon{-}Kyu Song and Seong{-}Eun Kim and Young{-}Seok Choi and Woo{-}Jin Song}, title = {A selective normalized subband adaptive filter exploiting an efficient subset of subbands}, booktitle = {Proceedings of the 19th European Signal Processing Conference, {EUSIPCO} 2011, Barcelona, Spain, August 29 - Sept. 2, 2011}, pages = {1425--1429}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/7074051/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/SongKCS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/JeLKCHC11, author = {Hui Won Je and Hyewon Lee and Kyuhwan Kwak and Sunghyun Choi and Young{-}Jun Hong and Bruno Clerckx}, title = {Long-Term Channel Information-Based CoMP Beamforming in LTE-Advanced Systems}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6134356}, doi = {10.1109/GLOCOM.2011.6134356}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/JeLKCHC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/LeeLKCC11, author = {Joohyung Lee and Youngmi Lim and Ji Hwan Kim and Seong Gon Choi and Jun Kyun Choi}, title = {Energy-Efficient Rate Allocation for Multi-Homed Streaming Service over Heterogeneous Access Networks}, booktitle = {Proceedings of the Global Communications Conference, {GLOBECOM} 2011, 5-9 December 2011, Houston, Texas, {USA}}, pages = {1--6}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/GLOCOM.2011.6133556}, doi = {10.1109/GLOCOM.2011.6133556}, timestamp = {Fri, 15 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/LeeLKCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/HanCJ11, author = {Young Suk Han and Jong Kyu Choi and Yong Gu Ji}, editor = {A. Ant Ozok and Panayiotis Zaphiris}, title = {A Study on Social Network Services Visualization Based on User Needs}, booktitle = {Online Communities and Social Computing - 4th International Conference, {OCSC} 2011, Held as Part of {HCI} International 2011, Orlando, FL, USA, July 9-14, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6778}, pages = {319--325}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21796-8\_34}, doi = {10.1007/978-3-642-21796-8\_34}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hci/HanCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/NamCPP11, author = {Kyung{-}Won Nam and Eui{-}Yeol Choi and Jin{-}Su Park and Hye{-}Young Park}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Information Lifecycle Management in City-Wide Ubiquitous Computing Environment}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {910--915}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.131}, doi = {10.1109/HPCC.2011.131}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/NamCPP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiCCCP11, author = {Bum{-}Gon Choi and Eun Sam Cho and Min Young Chung and Kyung{-}Yul Cheon and Aesoon Park}, editor = {Cheeha Kim and Yongtae Shin}, title = {A femtocell power control scheme to mitigate interference using listening {TDD} frame}, booktitle = {2011 International Conference on Information Networking, {ICOIN} 2011, Kuala Lumpur, Malaysia, January 26-28, 2011}, pages = {241--244}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICOIN.2011.5723186}, doi = {10.1109/ICOIN.2011.5723186}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ChoiCCCP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KimC11, author = {Kyungtae Kim and Young{-}June Choi}, editor = {Suk{-}Han Lee and Lajos Hanzo and Min Young Chung and Sang{-}Won Lee and Kwangsu Cho}, title = {Performance comparison of various VoIP codecs in wireless environments}, booktitle = {Proceedings of the 5th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} 2011, Seoul, Republic of Korea, February 21 - 23, 2011}, pages = {89}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/1968613.1968718}, doi = {10.1145/1968613.1968718}, timestamp = {Thu, 23 May 2019 16:33:14 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/KimC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChoiLLKPKGCSW11, author = {Jaewon Choi and Yoon{-}Kyung Lee and Moung{-}Jin Lee and Kidong Kim and Youngjin Park and Seongsam Kim and Shinhoi Goo and Myeongheum Cho and Jaehyeon Sim and Joong{-}Sun Won}, title = {Landslide susceptibility mapping by using an adaptive neuro-fuzzy inference system {(ANFIS)}}, booktitle = {2011 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2011, Vancouver, BC, Canada, July 24-29, 2011}, pages = {1989--1992}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IGARSS.2011.6049518}, doi = {10.1109/IGARSS.2011.6049518}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChoiLLKPKGCSW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Kyehyun Kyung and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2V 12.8GB/s 2Gb mobile Wide-I/O {DRAM} with 4{\texttimes}128 I/Os using TSV-based stacking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {496--498}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746413}, doi = {10.1109/ISSCC.2011.5746413}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSC11, author = {Wang{-}Soo Kim and Chang{-}Kyung Seong and Woo{-}Young Choi}, title = {A 5.4Gb/s adaptive equalizer using asynchronous-sampling histograms}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {358--359}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746353}, doi = {10.1109/ISSCC.2011.5746353}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKCSPKKCC11, author = {Hyun{-}Woo Lee and Ki{-}Han Kim and Young{-}Kyoung Choi and Ju{-}Hwan Shon and Nak{-}Kyu Park and Kwan{-}Weon Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.6V 1.4Gb/s/pin consumer {DRAM} with self-dynamic voltage-scaling technique in 44nm {CMOS} technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {502--504}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746416}, doi = {10.1109/ISSCC.2011.5746416}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKCSPKKCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/YangCLKLCR11, author = {Gi{-}Hun Yang and Wooseok Choi and Sang{-}Hyo Lee and Kyung{-}Sik Kim and Hyunjin Lee and Hyeun{-}Seok Choi and Young{-}Sun Ryuh}, title = {Control and design of a 3 {DOF} fish robot 'ICHTUS'}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {2108--2113}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181603}, doi = {10.1109/ROBIO.2011.6181603}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/YangCLKLCR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/NewazB0LCC11, author = {S. H. Shah Newaz and Youngin Bae and Jong Min Lee and Gyu Myoung Lee and No{\"{e}}l Crespi and Jun Kyun Choi}, title = {Minimizing the Number of {IGMP} Report Messages for Receiver-Driven Layered Video Multicasting}, booktitle = {Proceedings of the 73rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2011, 15-18 May 2011, Budapest, Hungary}, pages = {1--5}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/VETECS.2011.5956740}, doi = {10.1109/VETECS.2011.5956740}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/NewazB0LCC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiKL10, author = {Joon{-}Young Choi and Kyungmo Koo and Jin Soo Lee}, title = {Global Asymptotic Stability of {FAST} {TCP} Network with Heterogeneous Feedback Delays}, journal = {{IEICE} Trans. Commun.}, volume = {93-B}, number = {3}, pages = {571--580}, year = {2010}, url = {https://doi.org/10.1587/transcom.E93.B.571}, doi = {10.1587/TRANSCOM.E93.B.571}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KwonKCC10, author = {YoungHwan Kwon and Mingon Kim and Seong Gon Choi and Jun Kyun Choi}, title = {A Study of a New Multicast Traffic Control Policy Based on the Number of Receivers and Its Evaluation in {TDM-PON} Systems}, journal = {{IEICE} Trans. Commun.}, volume = {93-B}, number = {1}, pages = {162--165}, year = {2010}, url = {https://doi.org/10.1587/transcom.E93.B.162}, doi = {10.1587/TRANSCOM.E93.B.162}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KwonKCC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OhCKKSLYPLL10, author = {Jae Sub Oh and Kwang Il Choi and Young Su Kim and Min Ho Kang and Myeong Ho Song and Sung Kyu Lim and Dong Eun Yoo and Jeong Gyu Park and Hi Deok Lee and Ga Won Lee}, title = {SONOS-Type Flash Memory with HfO\({}_{\mbox{2}}\) Thinner than 4 nm as Trapping Layer Using Atomic Layer Deposition}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {5}, pages = {590--595}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.590}, doi = {10.1587/TRANSELE.E93.C.590}, timestamp = {Wed, 18 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/OhCKKSLYPLL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/LeeMSSCKKC10, author = {Eun{-}Kyu Lee and Young{-}Sik Moon and Joong Jo Shin and Jung{-}Rock Shon and Sung{-}Pil Choi and Chae{-}Soo Kim and Jae{-}Joong Kim and Hyung Rim Choi}, title = {Pilot Case for Container Security Device {(CSD)} Based on Active {RFID}}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {8}, number = {2}, pages = {238--243}, year = {2010}, url = {https://doi.org/10.6109/jicce.2010.8.2.238}, doi = {10.6109/JICCE.2010.8.2.238}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/LeeMSSCKKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/XieKC10, author = {Tangyao Xie and Gi{-}Rae Kim and Young{-}Kyu Choi}, title = {A Study on the Novel Rectangular Split Ring Notch Resonators}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {8}, number = {4}, pages = {365--369}, year = {2010}, url = {https://doi.org/10.6109/jicce.2010.8.4.365}, doi = {10.6109/JICCE.2010.8.4.365}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/XieKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/LeeYCPYY10, author = {Kyungroul Lee and Hyeungjun Yeuk and Youngtae Choi and Sitha Pho and Ilsun You and Kangbin Yim}, title = {Safe Authentication Protocol for Secure {USB} Memories}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {1}, number = {1}, pages = {46--55}, year = {2010}, url = {https://doi.org/10.22667/JOWUA.2010.06.31.046}, doi = {10.22667/JOWUA.2010.06.31.046}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/LeeYCPYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiKKJCYKYY10, author = {Kyunggon Choi and Min{-}Su Kim and Hyungchul Kim and Sung{-}Chan Jung and Jaeyong Cho and Sungchul Yoo and Yong Hwan Kim and Hyungmo Yoo and Youngoo Yang}, title = {A Highly Linear Two-Stage Amplifier Integrated Circuit Using InGaP/GaAs {HBT}}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {10}, pages = {2038--2043}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2061612}, doi = {10.1109/JSSC.2010.2061612}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiKKJCYKYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/KimCSS10, author = {Seong{-}Eun Kim and Young{-}Seok Choi and Moon{-}Kyu Song and Woo{-}Jin Song}, title = {A Subband Adaptive Filtering Algorithm Employing Dynamic Selection of Subband Filters}, journal = {{IEEE} Signal Process. Lett.}, volume = {17}, number = {3}, pages = {245--248}, year = {2010}, url = {https://doi.org/10.1109/LSP.2009.2038109}, doi = {10.1109/LSP.2009.2038109}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/KimCSS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/SuhPMKCS10, author = {Young{-}Woo Suh and Sung Ik Park and Ha{-}Kyun Mok and Heung Mook Kim and Jin{-}Yong Choi and Jong{-}Soo Seo}, title = {Network Design and Field Application of {ATSC} Distributed Translators}, journal = {{IEEE} Trans. Broadcast.}, volume = {56}, number = {2}, pages = {150--159}, year = {2010}, url = {https://doi.org/10.1109/TBC.2010.2043899}, doi = {10.1109/TBC.2010.2043899}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/SuhPMKCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChoiYCS10, author = {Young{-}kyu Choi and Kisun You and Jungwook Choi and Wonyong Sung}, title = {A Real-Time FPGA-Based 20 000-Word Speech Recognizer With Optimized {DRAM} Access}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {57-I}, number = {8}, pages = {2119--2131}, year = {2010}, url = {https://doi.org/10.1109/TCSI.2010.2041501}, doi = {10.1109/TCSI.2010.2041501}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChoiYCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SeongLC10, author = {Chang{-}Kyung Seong and Seung{-}Woo Lee and Woo{-}Young Choi}, title = {A New Network Synchronizer Using Phase Adjustment and Feedforward Filtering Based on Low-Cost Crystal Oscillators}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {59}, number = {7}, pages = {1764--1774}, year = {2010}, url = {https://doi.org/10.1109/TIM.2009.2028758}, doi = {10.1109/TIM.2009.2028758}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/SeongLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/todaes/ShinSCS10, author = {Youngsoo Shin and Jun Seomun and Kyu{-}Myung Choi and Takayasu Sakurai}, title = {Power gating: Circuits, design methodologies, and best practice for standard-cell {VLSI} designs}, journal = {{ACM} Trans. Design Autom. Electr. Syst.}, volume = {15}, number = {4}, pages = {28:1--28:37}, year = {2010}, url = {https://doi.org/10.1145/1835420.1835421}, doi = {10.1145/1835420.1835421}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/todaes/ShinSCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimLKCCS10, author = {Hyung{-}Ock Kim and Bong Hyun Lee and Jong{-}Tae Kim and Jung Yun Choi and Kyu{-}Myung Choi and Youngsoo Shin}, title = {Supply Switching With Ground Collapse for Low-Leakage Register Files in 65-nm {CMOS}}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {18}, number = {3}, pages = {505--509}, year = {2010}, url = {https://doi.org/10.1109/TVLSI.2009.2012429}, doi = {10.1109/TVLSI.2009.2012429}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimLKCCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apweb/ChoiKH10, author = {Young{-}Hwan Choi and Kyungrok Kim and Eenjun Hwang}, editor = {Wook{-}Shin Han and Divesh Srivastava and Ge Yu and Hwanjo Yu and Zi Helen Huang}, title = {Classification-Based Skin Aging Analysis Scheme}, booktitle = {Advances in Web Technologies and Applications, Proceedings of the 12th Asia-Pacific Web Conference, APWeb 2010, Busan, Korea, 6-8 April 2010}, pages = {347--349}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/APWeb.2010.45}, doi = {10.1109/APWEB.2010.45}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apweb/ChoiKH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/SohnKAKAKHLKHPE10, author = {Eun Jeong Sohn and Mi Jin Kim and Eun Hee Ahn and Soon Won Kwon and Jae Jin An and Dae Won Kim and Hyun Sook Hwang and Soon Sung Lim and Duk{-}Soo Kim and Kyu Hyung Han and Jinseu Park and Won Sik Eum and Soo Young Choi}, title = {Enhances the transdcution efficiency of Tat-catalase protein attenuate neuronal cell damage}, booktitle = {2010 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2010, Hong Kong, December 18, 2010}, pages = {808}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/BIBMW.2010.5703917}, doi = {10.1109/BIBMW.2010.5703917}, timestamp = {Wed, 24 Nov 2021 15:06:06 +0100}, biburl = {https://dblp.org/rec/conf/bibm/SohnKAKAKHLKHPE10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/YiCCPY10, author = {Myung{-}Kyu Yi and Jae{-}Young Choi and Jin{-}Woo Choi and Seok{-}Cheon Park and Young{-}Kyu Yang}, title = {A Pointer Forwarding Scheme for Minimizing Signaling Costs in Proxy Mobile IPv6 Networks}, booktitle = {7th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2010, Las Vegas, NV, USA, January 9-12, 2010}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CCNC.2010.5421570}, doi = {10.1109/CCNC.2010.5421570}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/YiCCPY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimLJKLMCPP10, author = {Young{-}Ju Kim and Kyung{-}Hoon Lee and Seung{-}Hak Ji and Yi{-}Gi Kwon and Seung{-}Hoon Lee and Kyoung{-}Jun Moon and Michael Choi and Ho{-}Jin Park and Byeong{-}Ha Park}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {A 10b 120MS/s 45nm {CMOS} {ADC} using {A} re-configurable three-stage switched op-amp}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617409}, doi = {10.1109/CICC.2010.5617409}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimLJKLMCPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KimCLHR10, author = {Changhyun Kim and Kyuha Choi and Ho{-}Young Lee and Kyuyoung Hwang and Jong Beom Ra}, title = {Robust learning-based super-resolution}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2010, September 26-29, Hong Kong, China}, pages = {2017--2020}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICIP.2010.5651057}, doi = {10.1109/ICIP.2010.5651057}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/KimCLHR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKYPLKKJKRKCKCCK10, author = {Hyun{-}Woo Lee and Yong{-}Hoon Kim and Won{-}Joo Yun and Eun Young Park and Kang Youl Lee and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Kyung Whan Kim and Nam Gyu Rye and Kwan{-}Weon Kim and Jun Hyun Chun and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Joong Sik Kih}, title = {A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and {OA-DCC} for {DRAM} interface}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3861--3864}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537703}, doi = {10.1109/ISCAS.2010.5537703}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKYPLKKJKRKCKCCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JooCCOPKJ10, author = {Sanghoon Joo and Wu{-}Hsin Chen and Tae{-}Young Choi and Mi{-}Kyung Oh and Joo{-}Ho Park and Jae{-}Young Kim and Byunghoo Jung}, title = {A fully integrated 802.15.4a {IR-UWB} Transceiver in 0.13{\(\mathrm{\mu}\)}m {CMOS} with digital {RRC} synthesis}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {228--229}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433957}, doi = {10.1109/ISSCC.2010.5433957}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JooCCOPKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/Choi10, author = {Young{-}kyu Choi}, title = {{CUDA} implementation of belief propagation for stereo vision}, booktitle = {13th International {IEEE} Conference on Intelligent Transportation Systems, Funchal, Madeira, Portugal, 19-22 September 2010}, pages = {1402--1407}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ITSC.2010.5625284}, doi = {10.1109/ITSC.2010.5625284}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/Choi10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsec/ChoiLLS10, author = {Jung{-}Ho Choi and Heung{-}Kyu Lee and Hae{-}Yeoun Lee and Young{-}Ho Suh}, editor = {Patrizio Campisi and Jana Dittmann and Scott Craver}, title = {Color laser printer forensics with noise texture analysis}, booktitle = {Multimedia and Security Workshop, MM{\&}Sec 2010, Roma, Italy, September 9-10, 2010}, pages = {19--24}, publisher = {{ACM}}, year = {2010}, url = {https://doi.org/10.1145/1854229.1854235}, doi = {10.1145/1854229.1854235}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mmsec/ChoiLLS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/NewazLBNC10, author = {S. H. Shah Newaz and Jong Min Lee and Youngin Bae and Bikash Nakarmi and Jun Kyun Choi}, title = {Pseudo Handoff Call Elimination Capable Call Admission Control Scheme for Soft Handoff in {CDMA} Networks}, booktitle = {Proceedings of the 72nd {IEEE} Vehicular Technology Conference, {VTC} Fall 2010, 6-9 September 2010, Ottawa, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/VETECF.2010.5594559}, doi = {10.1109/VETECF.2010.5594559}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/NewazLBNC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/byeon2010/KimCYY10, author = {TaeMin Kim and Jin{-}Woo Choi and Myung{-}Kyu Yi and Young{-}Kyu Yang}, editor = {Ok{-}Hwan Byeon and Jang Hyuk Kwon and Thom H. Dunning and Kumwon Cho and Aurore Savoy{-}Navarro}, title = {Design and Application of GeoNet System for {ABC} Cooperative Environment}, booktitle = {Future Application and Middleware Technology on e-Science}, pages = {43--50}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-1-4419-1719-5\_5}, doi = {10.1007/978-1-4419-1719-5\_5}, timestamp = {Tue, 16 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/byeon2010/KimCYY10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiP09, author = {Young{-}Kyu Choi and Eun{-}Jin Park}, title = {{HSWIS:} Hierarchical Shrink-Wrapped Iso-Surface Algorithm}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {92-D}, number = {4}, pages = {757--760}, year = {2009}, url = {https://doi.org/10.1587/transinf.E92.D.757}, doi = {10.1587/TRANSINF.E92.D.757}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/ChoiKLKL09, author = {Hee{-}Cheol Choi and Young{-}Ju Kim and Kyung{-}Hoon Lee and Younglok Kim and Seung{-}Hoon Lee}, title = {A 10 b 25 MS/s 4.8 mW 0.13 {\(\mathrm{\mu}\)}m {CMOS} {ADC} with switched-bias power-reduction techniques}, journal = {Int. J. Circuit Theory Appl.}, volume = {37}, number = {9}, pages = {955--967}, year = {2009}, url = {https://doi.org/10.1002/cta.519}, doi = {10.1002/CTA.519}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/ChoiKLKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/irob/LeeHKCCK09, author = {Jongwon Lee and Inwook Hwang and Keehoon Kim and Seungmoon Choi and Wan Kyun Chung and Young Soo Kim}, title = {Cooperative robotic assistant with drill-by-wire end-effector for spinal fusion surgery}, journal = {Ind. Robot}, volume = {36}, number = {1}, pages = {60--72}, year = {2009}, url = {https://doi.org/10.1108/01439910910924684}, doi = {10.1108/01439910910924684}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/irob/LeeHKCCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaKBPCJK09, author = {Kyung{-}Soo Ha and Lee{-}Sup Kim and Seung{-}Jun Bae and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 0.13-{\(\mathrm{\mu}\)}m {CMOS} 6 Gb/s/pin Memory Transceiver Using Pseudo-Differential Signaling for Removing Common-Mode Noise Due to {SSN}}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {11}, pages = {3146--3162}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2031527}, doi = {10.1109/JSSC.2009.2031527}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaKBPCJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KangKC09, author = {Feel{-}soon Kang and Young{-}hoon Kwon and Won{-}kyun Choi}, title = {Capacitor clamped sustainer for {AC} plasma display}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {4}, pages = {2301--2307}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.5373802}, doi = {10.1109/TCE.2009.5373802}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KangKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimCLALKMCMPP09, author = {Young{-}Ju Kim and Hee{-}Cheol Choi and Kyung{-}Hoon Lee and Gil{-}Cho Ahn and Seung{-}Hoon Lee and Ju{-}Hwa Kim and Kyoung{-}Jun Moon and Michael Choi and Kyoung{-}Ho Moon and Ho{-}Jin Park and Byeong{-}Ha Park}, title = {A 9.43-ENOB 160MS/s 1.2V 65nm {CMOS} {ADC} based on multi-stage amplifiers}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}, pages = {271--274}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CICC.2009.5280857}, doi = {10.1109/CICC.2009.5280857}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimCLALKMCMPP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csie/ParkCSH09, author = {Cheol Hoon Park and Sang{-}Kyu Choi and Young Su Son and Young Hee Han}, editor = {Mark Burgin and Masud H. Chowdhury and Chan H. Ham and Simone A. Ludwig and Weilian Su and Sumanth Yenduri}, title = {Development of 5kWh Flywheel Energy Storage System Using MATLAB/xPC Target}, booktitle = {{CSIE} 2009, 2009 {WRI} World Congress on Computer Science and Information Engineering, March 31 - April 2, 2009, Los Angeles, California, USA, 7 Volumes}, pages = {701--705}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSIE.2009.750}, doi = {10.1109/CSIE.2009.750}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csie/ParkCSH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csie/YunSPCP09, author = {Dong{-}won Yun and Young Su Son and Hee{-}chang Park and Sang{-}Kyu Choi and Cheol{-}hun Park}, editor = {Mark Burgin and Masud H. Chowdhury and Chan H. Ham and Simone A. Ludwig and Weilian Su and Sumanth Yenduri}, title = {Design of a Novel {MR} Rotary Brake}, booktitle = {{CSIE} 2009, 2009 {WRI} World Congress on Computer Science and Information Engineering, March 31 - April 2, 2009, Los Angeles, California, USA, 7 Volumes}, pages = {664--668}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSIE.2009.757}, doi = {10.1109/CSIE.2009.757}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csie/YunSPCP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/ShinPKKKCA09, author = {Juseok Shin and Yongsoo Park and Sungsoo Kim and Youngbak Kim and Kyungoul Kim and Wonseok Choi and Kwangseon Ahn}, title = {A Symmetric Key Based {RFID} Authentication Protocol Using Encrypted Tag {ID}}, booktitle = {Eighth {IEEE} International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2009, Chengdu, China, 12-14 December, 2009}, pages = {851--852}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DASC.2009.106}, doi = {10.1109/DASC.2009.106}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasc/ShinPKKKCA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChoiYCS09, author = {Young{-}kyu Choi and Kisun You and Jungwook Choi and Wonyong Sung}, title = {{VLSI} for 5000-word continuous speech recognition}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2009, 19-24 April 2009, Taipei, Taiwan}, pages = {557--560}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICASSP.2009.4959644}, doi = {10.1109/ICASSP.2009.4959644}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icassp/ChoiYCS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/KimCH09, author = {Kyungrok Kim and Young{-}Hwan Choi and Eenjun Hwang}, title = {Wrinkle feature-based skin age estimation scheme}, booktitle = {Proceedings of the 2009 {IEEE} International Conference on Multimedia and Expo, {ICME} 2009, June 28 - July 2, 2009, New York City, NY, {USA}}, pages = {1222--1225}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICME.2009.5202721}, doi = {10.1109/ICME.2009.5202721}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/KimCH09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KwonJWC09, author = {YoungHwan Kwon and Bok Rae Jung and Elaine Wong and Jun Kyun Choi}, editor = {Yong{-}Jin Park and Yanghee Choi}, title = {Multicast scheduling mechanism to support less loss ratio for frequently used multicast service in multicast switch}, booktitle = {2009 International Conference on Information Networking, {ICOIN} 2009, Chiang Mai, Thailand, January 21-24, 2009}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://ieeexplore.ieee.org/document/4897304/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KwonJWC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/PyoLKCJK09, author = {Suk{-}Soo Pyo and Cheol{-}Ha Lee and Gyun{-}Hong Kim and Kyu{-}Myung Choi and Young{-}Hyun Jun and Bai{-}Sun Kong}, title = {45nm Low-power Embedded Pseudo-SRAM with ECC-based Auto-adjusted Self-refresh Scheme}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2009), 24-17 May 2009, Taipei, Taiwan}, pages = {2517--2520}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISCAS.2009.5118313}, doi = {10.1109/ISCAS.2009.5118313}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/PyoLKCJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaKBPCJK09, author = {Kyung{-}Soo Ha and Lee{-}Sup Kim and Seung{-}Jun Bae and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 6Gb/s/pin pseudo-differential signaling using common-mode noise rejection techniques without reference signal for {DRAM} interfaces}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {138--139}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977346}, doi = {10.1109/ISSCC.2009.4977346}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HaKBPCJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09, author = {Hyun{-}Woo Lee and Won{-}Joo Yun and Young{-}Kyoung Choi and Hyang{-}Hwa Choi and Jong{-}Jin Lee and Ki{-}Han Kim and Shin{-}Deok Kang and Ji{-}Yeon Yang and Jae{-}Suck Kang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Byong{-}Tae Chung}, title = {A 1.6V 3.3Gb/s {GDDR3} {DRAM} with dual-mode phase- and delay-locked loop using power-noise management with unregulated power supply in 54nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {140--141}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977347}, doi = {10.1109/ISSCC.2009.4977347}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/MoonCLJHKJSSCSCKJK09, author = {Yongsam Moon and Yong{-}Ho Cho and Hyun{-}Bae Lee and Byung{-}Hoon Jeong and Seok{-}Hun Hyun and Byungchul Kim and In{-}Chul Jeong and Seong{-}Young Seo and Junho Shin and Seok{-}Woo Choi and Ho{-}Sung Song and Jung{-}Hwan Choi and Kyehyun Kyung and Young{-}Hyun Jun and Kinam Kim}, title = {1.2V 1.6Gb/s 56nm 6F\({}^{\mbox{2}}\) 4Gb {DDR3} {SDRAM} with hybrid-I/O sense amplifier and segmented sub-array architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {128--129}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977341}, doi = {10.1109/ISSCC.2009.4977341}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/MoonCLJHKJSSCSCKJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itc/KimPSCKLC09, author = {Boyon Kim and Il{-}Chan Park and Giseob Song and Wooseong Choi and Byeong{-}Yun Kim and Kyutaek Lee and Chi{-}Young Choi}, editor = {Gordon W. Roberts and Bill Eklow}, title = {A novel multisite testing techniques by using frequency synthesizer}, booktitle = {2009 {IEEE} International Test Conference, {ITC} 2009, Austin, TX, USA, November 1-6, 2009}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/TEST.2009.5355814}, doi = {10.1109/TEST.2009.5355814}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itc/KimPSCKLC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ParkYJWCKJKLAP09, author = {Ihn{-}sook Park and Cheong{-}suk Yoo and Young{-}hee Joo and Kyung{-}shun Woo and Woan{-}heui Choi and Hyun{-}sook Kang and Mi{-}ra Jung and Hee{-}jin Kim and Su{-}hee Lee and Seon{-}yeong Ahn and Mi{-}ok Park}, editor = {Kaija Saranto and Patricia Flatley Brennan and Hyeoun{-}Ae Park and Marianne Tallberg and Anneli Ensio}, title = {Evaluation of the Completeness of the Nursing Process for Patients Having Gastrectomy Using Electronic Nursing Records}, booktitle = {Connecting Health and Humans - Proceedings of NI2009, The 10th International Congress on Nursing Informatics, 28 June - 1 July 2009, Helsinki, Finland}, series = {Studies in Health Technology and Informatics}, volume = {146}, pages = {739--740}, publisher = {{IOS} Press}, year = {2009}, url = {https://doi.org/10.3233/978-1-60750-024-7-739}, doi = {10.3233/978-1-60750-024-7-739}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/ParkYJWCKJKLAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/ChoiY09, author = {Jae{-}Young Choi and Young{-}Kyu Yang}, editor = {Toshikazu Wada and Fay Huang and Stephen Lin}, title = {Vehicle Detection from Aerial Images Using Local Shape Information}, booktitle = {Advances in Image and Video Technology, Third Pacific Rim Symposium, {PSIVT} 2009, Tokyo, Japan, January 13-16, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5414}, pages = {227--236}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-540-92957-4\_20}, doi = {10.1007/978-3-540-92957-4\_20}, timestamp = {Thu, 19 May 2022 16:00:58 +0200}, biburl = {https://dblp.org/rec/conf/psivt/ChoiY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sersc-isa/LeePKC09, author = {Song{-}Hee Lee and Nam{-}Sup Park and Soo{-}Kyun Kim and Jin{-}Young Choi}, editor = {Jong Hyuk Park and Hsiao{-}Hwa Chen and Mohammed Atiquzzaman and Changhoon Lee and Tai{-}Hoon Kim and Sang{-}Soo Yeo}, title = {Cryptanalysis of Secure Key Exchange Protocol between {STB} and Smart Card in {IPTV} Broadcasting}, booktitle = {Advances in Information Security and Assurance, Third International Conference and Workshops, {ISA} 2009, Seoul, Korea, June 25-27, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5576}, pages = {797--803}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02617-1\_81}, doi = {10.1007/978-3-642-02617-1\_81}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sersc-isa/LeePKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrcai/ChaeKCCY09, author = {Yeong Nam Chae and Young{-}Ho Kim and Jin Choi and Kyusung Cho and Hyun Seung Yang}, editor = {Stephen N. Spencer and Masayuki Nakajima and Enhua Wu and Kazunori Miyata and Daniel Thalmann and Zhiyong Huang}, title = {An adaptive sensor fusion based objects tracking and human action recognition for interactive virtual environments}, booktitle = {Proceedings of the 8th International Conference on Virtual Reality Continuum and its Applications in Industry, {VRCAI} 2009, Yokohama, Japan, December 14-15, 2009}, pages = {357--362}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1670252.1670332}, doi = {10.1145/1670252.1670332}, timestamp = {Tue, 03 May 2022 20:53:33 +0200}, biburl = {https://dblp.org/rec/conf/vrcai/ChaeKCCY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeePCPKYLSM08, author = {Jung Chan Lee and Chan Young Park and Seong Wook Choi and Joong Yull Park and Jeong Chul Kim and Young{-}Min Yun and Kyoung{-}Kap Lee and Kyung Sun and Byoung Goo Min}, title = {Computational dose predictions for combined treatment of hemofiltration with weekly hemodialysis}, journal = {Comput. Methods Programs Biomed.}, volume = {89}, number = {3}, pages = {275--281}, year = {2008}, url = {https://doi.org/10.1016/j.cmpb.2007.11.004}, doi = {10.1016/J.CMPB.2007.11.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LeePCPKYLSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/HwangCL08, author = {Jung Yeon Hwang and Kyu Young Choi and Dong Hoon Lee}, title = {Security weakness in an authenticated group key agreement protocol in two rounds}, journal = {Comput. Commun.}, volume = {31}, number = {15}, pages = {3719--3724}, year = {2008}, url = {https://doi.org/10.1016/j.comcom.2008.07.005}, doi = {10.1016/J.COMCOM.2008.07.005}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/HwangCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csi/SeoHCL08, author = {Seung{-}Hyun Seo and Jung Yeon Hwang and Kyu Young Choi and Dong Hoon Lee}, title = {Identity-based universal designated multi-verifiers signature schemes}, journal = {Comput. Stand. Interfaces}, volume = {30}, number = {5}, pages = {288--295}, year = {2008}, url = {https://doi.org/10.1016/j.csi.2007.08.020}, doi = {10.1016/J.CSI.2007.08.020}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csi/SeoHCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KooCL08, author = {Kyungmo Koo and Joon{-}Young Choi and Jin Soo Lee}, title = {Parameter Conditions for Global Stability of {FAST} {TCP}}, journal = {{IEEE} Commun. Lett.}, volume = {12}, number = {2}, pages = {155--157}, year = {2008}, url = {https://doi.org/10.1109/LCOMM.2008.071633}, doi = {10.1109/LCOMM.2008.071633}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/KooCL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/Choi08b, author = {Young{-}Kyu Choi}, title = {Dividing Virtual Belt Algorithm for Reconstructing Surface from a Set of Wire-Frame Contours}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {91-D}, number = {9}, pages = {2365--2368}, year = {2008}, url = {https://doi.org/10.1093/ietisy/e91-d.9.2365}, doi = {10.1093/IETISY/E91-D.9.2365}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/Choi08b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiHL08, author = {Kyu Young Choi and Jung Yeon Hwang and Dong Hoon Lee}, title = {ID-Based Authenticated Group Key Agreement Secure against Insider Attacks}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {91-A}, number = {7}, pages = {1828--1830}, year = {2008}, url = {https://doi.org/10.1093/ietfec/e91-a.7.1828}, doi = {10.1093/IETFEC/E91-A.7.1828}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiHL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SeongLC08, author = {Chang{-}Kyung Seong and Seung{-}Woo Lee and Woo{-}Young Choi}, title = {A New 1.25-Gb/s Burst Mode Clock and Data Recovery Circuit Using Two Digital Phase Aligners and a Phase Interpolator}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {5}, pages = {1397--1402}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.5.1397}, doi = {10.1093/IETCOM/E91-B.5.1397}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SeongLC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdcta/UllahHCLK08, author = {Sana Ullah and Henry Higgins and Young{-}Woo Choi and Hyung{-}Soo Lee and Kyung Sup Kwak}, title = {Towards {RF} Communication and Multiple Access Protocols in a Body Sensor Network}, journal = {J. Digit. Content Technol. its Appl.}, volume = {2}, number = {3}, pages = {9--16}, year = {2008}, url = {http://www.aicit.org/jdcta/ppl/2n.pdf}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdcta/UllahHCLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/Choi08c, author = {Young{-}Kyu Choi}, title = {Optical Harmonic Modulation-Demodulation Techniques for High-Speed Light wave Transmission}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {6}, number = {2}, pages = {192--197}, year = {2008}, url = {http://www.koreascience.or.kr/article/ArticleFullRecord.jsp?cn=E1ICAW\_2008\_v6n2\_192}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/Choi08c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/ChoiS08, author = {Young{-}Kyu Choi and Sang{-}Yeol Shin}, title = {Super-High Speed Photo detection through Frequency Conversion for Microwave on Optical Network}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {6}, number = {4}, pages = {439--443}, year = {2008}, url = {http://www.koreascience.or.kr/article/ArticleFullRecord.jsp?cn=E1ICAW\_2008\_v6n4\_439}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/ChoiS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/KimC08, author = {Gi{-}Rae Kim and Young{-}Kyu Choi}, title = {A Study on Improvement of the Channel Efficiency of {FH-SS} Transceiver Based on {DDS} Technique}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {6}, number = {1}, pages = {47--50}, year = {2008}, url = {http://www.koreascience.or.kr/article/ArticleFullRecord.jsp?cn=E1ICAW\_2008\_v6n1\_47}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/KimC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/ParkKC08, author = {Nam{-}Kyu Park and Joo{-}Young Kim and Woo{-}Young Choi}, title = {Evaluation for cargo tracking systems in railroad transportation}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {6}, number = {3}, pages = {239--244}, year = {2008}, url = {http://www.koreascience.or.kr/article/ArticleFullRecord.jsp?cn=E1ICAW\_2008\_v6n3\_239}, timestamp = {Fri, 28 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jicce/ParkKC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/ParkPCLWK08, author = {Young{-}Kyu Park and Seong{-}Min Park and Young{-}Chul Choi and Doheon Lee and Misun Won and Young Joo Kim}, title = {AsiDesigner: exon-based siRNA design server considering alternative splicing}, journal = {Nucleic Acids Res.}, volume = {36}, number = {Web-Server-Issue}, pages = {97--103}, year = {2008}, url = {https://doi.org/10.1093/nar/gkn280}, doi = {10.1093/NAR/GKN280}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/ParkPCLWK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/KimCKJ08, author = {Seongkwan Kim and Sunghyun Choi and Youngsoo Kim and Kyunghun Jang}, title = {{MCCA:} {A} high-throughput {MAC} strategy for next-generation WLANs}, journal = {{IEEE} Wirel. Commun.}, volume = {15}, number = {1}, pages = {32--39}, year = {2008}, url = {https://doi.org/10.1109/MWC.2008.4454702}, doi = {10.1109/MWC.2008.4454702}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wc/KimCKJ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/ShimCKBKKKMCCY08, author = {Kyuho Shim and Young{-}Rae Cho and Namdo Kim and Hyuncheol Baik and Kyungkuk Kim and Dusung Kim and Jaebum Kim and Byeongun Min and Kyumyung Choi and Maciej J. Ciesielski and Seiyang Yang}, editor = {Chong{-}Min Kyung and Kiyoung Choi and Soonhoi Ha}, title = {A fast two-pass {HDL} simulation with on-demand dump}, booktitle = {Proceedings of the 13th Asia South Pacific Design Automation Conference, {ASP-DAC} 2008, Seoul, Korea, January 21-24, 2008}, pages = {422--427}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ASPDAC.2008.4483987}, doi = {10.1109/ASPDAC.2008.4483987}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/ShimCKBKKKMCCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eScience/KangCSL08, author = {Woo{-}Lam Kang and Dong{-}Hoon Choi and Young{-}Kyun Suh and Yoon{-}Joon Lee}, title = {Integration of Avian Influenza Virus Information Sources for Korea e-Science}, booktitle = {Fourth International Conference on e-Science, e-Science 2008, 7-12 December 2008, Indianapolis, IN, {USA}}, pages = {372--373}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/eScience.2008.119}, doi = {10.1109/ESCIENCE.2008.119}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eScience/KangCSL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eusipco/ChoiYS08, author = {Young{-}kyu Choi and Kisun You and Wonyong Sung}, title = {FPGA-based implementation of a real-time 5000-word continuous speech recognizer}, booktitle = {2008 16th European Signal Processing Conference, {EUSIPCO} 2008, Lausanne, Switzerland, August 25-29, 2008}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://ieeexplore.ieee.org/document/7080300/}, timestamp = {Mon, 09 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eusipco/ChoiYS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimCKBH08, author = {Seokjung Kim and Youngho Choi and Kyungchul Kwak and Keukjoon Bang and Daesik Hong}, title = {A Robust Timing Recovery Algorithm for {OFDM} Systems}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {3215--3219}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.617}, doi = {10.1109/GLOCOM.2008.ECP.617}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimCKBH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YangCPCK08, author = {Mijeong Yang and Kyung{-}Yul Cheon and Aesoon Park and Younghwan Choi and Sang{-}Ha Kim}, title = {Seamless Handover Using FMIPv6 with Effective Tunnel Management Scheme}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {5351--5355}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.1022}, doi = {10.1109/GLOCOM.2008.ECP.1022}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/YangCPCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcn/KimCLL08, author = {Yong Ho Kim and Kyu Young Choi and Jongin Lim and Dong Hoon Lee}, editor = {Shrisha Rao and Mainak Chatterjee and Prasad Jayanti and C. Siva Ram Murthy and Sanjoy Kumar Saha}, title = {An Efficient Key Establishment Scheme for Self-organizing Sensor Networks}, booktitle = {Distributed Computing and Networking, 9th International Conference, {ICDCN} 2008, Kolkata, India, January 5-8, 2008}, series = {Lecture Notes in Computer Science}, volume = {4904}, pages = {345--349}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-77444-0\_36}, doi = {10.1007/978-3-540-77444-0\_36}, timestamp = {Mon, 22 May 2023 09:50:35 +0200}, biburl = {https://dblp.org/rec/conf/icdcn/KimCLL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeKCCK08, author = {Jongwon Lee and Keehoon Kim and Wan Kyun Chung and Seungmoon Choi and Young Soo Kim}, title = {Human-guided surgical robot system for spinal fusion surgery: CoRASS}, booktitle = {2008 {IEEE} International Conference on Robotics and Automation, {ICRA} 2008, May 19-23, 2008, Pasadena, California, {USA}}, pages = {3881--3887}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ROBOT.2008.4543807}, doi = {10.1109/ROBOT.2008.4543807}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/LeeKCCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Kwang{-}Il Park and Kyoung{-}Ho Kim and Dae{-}Hyun Chung and Jingook Kim and Si{-}Hong Kim and Min{-}Sang Park and Jae{-}Hyung Lee and Sam{-}Young Bang and Ho{-}Kyung Lee and In{-}Soo Park and Jae{-}Sung Kim and Dae{-}Hyun Kim and Hye{-}Ran Kim and Yong{-}Jae Shin and Cheol{-}Goo Park and Gil{-}Shin Moon and Ki{-}Woong Yeom and Kang{-}Young Kim and Jae{-}Young Lee and Hyang{-}Ja Yang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 60nm 6Gb/s/pin {GDDR5} Graphics {DRAM} with Multifaceted Clocking and ISI/SSN-Reduction Techniques}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {278--279}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523165}, doi = {10.1109/ISSCC.2008.4523165}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKPLCLKLYSKCY08, author = {Dong{-}Uk Lee and Shin{-}Deok Kang and Nak{-}Kyu Park and Hyun{-}Woo Lee and Young{-}Kyoung Choi and Jung{-}Woo Lee and Seung{-}Wook Kwack and Hyeong{-}Ouk Lee and Won{-}Joo Yun and Sang{-}Hoon Shin and Kwan{-}Weon Kim and Young{-}Jung Choi and Ye Seok Yang}, title = {Multi-Slew-Rate Output Driver and Optimized Impedance-Calibration Circuit for 66nm 3.0Gb/s/pin {DRAM} Interface}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {280--281}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523166}, doi = {10.1109/ISSCC.2008.4523166}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKPLCLKLYSKCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08, author = {Won{-}Joo Yun and Hyun{-}Woo Lee and Dongsuk Shin and Shin{-}Deok Kang and Ji{-}Yeon Yang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyang{-}Hwa Choi and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Young{-}Kyoung Choi and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Ye Seok Yang}, title = {A 0.1-to-1.5GHz 4.2mW All-Digital {DLL} with Dual Duty-Cycle Correction Circuit and Update Gear Circuit for {DRAM} in 66nm {CMOS} Technology}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {282--283}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523167}, doi = {10.1109/ISSCC.2008.4523167}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/CheonYPKCK08, author = {Kyung{-}Yul Cheon and Mijeong Yang and Aesoon Park and Yeon{-}Jung Kim and Younghwan Choi and Sang{-}Ha Kim}, title = {Dual Tunnelling Mechanism for Mobile {IP} Based 3G {LTE-WLAN} Handover}, booktitle = {Proceedings of the 68th {IEEE} Vehicular Technology Conference, {VTC} Fall 2008, 21-24 September 2008, Calgary, Alberta, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECF.2008.315}, doi = {10.1109/VETECF.2008.315}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/CheonYPKCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aes/RohLC07, author = {Myung{-}Il Roh and Kyu{-}Yeul Lee and Woo{-}Young Choi}, title = {Rapid generation of the piping model having the relationship with a hull structure in shipbuilding}, journal = {Adv. Eng. Softw.}, volume = {38}, number = {4}, pages = {215--228}, year = {2007}, url = {https://doi.org/10.1016/j.advengsoft.2006.10.002}, doi = {10.1016/J.ADVENGSOFT.2006.10.002}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aes/RohLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiH07a, author = {Young Kyu Choi and James K. Hahn}, title = {Shrink-Wrapped Isosurface from Cross Sectional Images}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {90-D}, number = {12}, pages = {2070--2076}, year = {2007}, url = {https://doi.org/10.1093/ietisy/e90-d.12.2070}, doi = {10.1093/IETISY/E90-D.12.2070}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiH07a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SeongLC07, author = {Chang{-}Kyung Seong and Seung{-}Woo Lee and Woo{-}Young Choi}, title = {A 1.25-Gb/s Digitally-Controlled Dual-Loop Clock and Data Recovery Circuit with Enhanced Phase Resolution}, journal = {{IEICE} Trans. Electron.}, volume = {90-C}, number = {1}, pages = {165--170}, year = {2007}, url = {https://doi.org/10.1093/ietele/e90-c.1.165}, doi = {10.1093/IETELE/E90-C.1.165}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SeongLC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/KimC07, author = {Gi{-}Rae Kim and Young{-}Kyu Choi}, title = {A Study on Power Stability Improvement in the Inductive Coupled {RFID} Transponder System}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {5}, number = {2}, pages = {150--154}, year = {2007}, url = {http://www.koreascience.or.kr/article/ArticleFullRecord.jsp?cn=E1ICAW\_2007\_v5n2\_150}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/KimC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimCKPJKPKCCK07, author = {Kyu{-}Hyoun Kim and Hoeju Chung and Woo{-}Seop Kim and Moon{-}Sook Park and Young{-}Chan Jang and Jinyoung Kim and Hwan{-}Wook Park and Uksong Kang and Paul W. Coteus and Joo{-}Sun Choi and Changhyun Kim}, title = {An 8 Gb/s/pin 9.6 ns Row-Cycle 288 Mb Deca-Data Rate {SDRAM} With an {I/O} Error Detection Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {1}, pages = {193--200}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2006.888297}, doi = {10.1109/JSSC.2006.888297}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimCKPJKPKCCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/YoonPLCOHK07, author = {Sung Ho Yoon and Young{-}Kyu Park and Soohyun Lee and Doil Choi and Tae Kwang Oh and Cheol{-}Goo Hur and Jihyun F. Kim}, title = {Towards pathogenomics: a web-based resource for pathogenicity islands}, journal = {Nucleic Acids Res.}, volume = {35}, number = {Database-Issue}, pages = {395--400}, year = {2007}, url = {https://doi.org/10.1093/nar/gkl790}, doi = {10.1093/NAR/GKL790}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/YoonPLCOHK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiLMPH07, author = {Kyung{-}Jin Choi and Young{-}Hyun Lee and Jong{-}Woo Moon and Chongkug Park and Fumio Harashima}, title = {Development of an Automatic Stencil Inspection System Using Modified Hough Transform and Fuzzy Logic}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {54}, number = {1}, pages = {604--611}, year = {2007}, url = {https://doi.org/10.1109/TIE.2006.885494}, doi = {10.1109/TIE.2006.885494}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiLMPH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ParkCK07, author = {Jae{-}Kyu Park and Woo{-}Young Choi and Bong{-}Hwan Kwon}, title = {A Step-Up {DC-DC} Converter With a Resonant Voltage Doubler}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {54}, number = {6}, pages = {3267--3275}, year = {2007}, url = {https://doi.org/10.1109/TIE.2007.906174}, doi = {10.1109/TIE.2007.906174}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ParkCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acns/ChoiPHL07, author = {Kyu Young Choi and Jong Hwan Park and Jung Yeon Hwang and Dong Hoon Lee}, editor = {Jonathan Katz and Moti Yung}, title = {Efficient Certificateless Signature Schemes}, booktitle = {Applied Cryptography and Network Security, 5th International Conference, {ACNS} 2007, Zhuhai, China, June 5-8, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4521}, pages = {443--458}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72738-5\_29}, doi = {10.1007/978-3-540-72738-5\_29}, timestamp = {Tue, 20 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acns/ChoiPHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alpit/LeeCCKKKHLY07, author = {Seok{-}Hyoung Lee and Sung{-}Pil Choi and Ho{-}Seop Choe and Nam{-}Kyu Kang and Han{-}Gi Kim and Kwang{-}Young Kim and Mi{-}Nyung Hwang and Wang{-}Woo Lee and Hwa{-}Mook Yoon}, title = {Implementation of the {XML} Based Listener for Information Retrieval {\&} Management System}, booktitle = {Proceedings of The Sixth International Conference on Advanced Language Processing and Web Information Technology, {ALPIT} 2007, Luoyang, Henan, China, 22-24 August 2007}, pages = {463--468}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ALPIT.2007.34}, doi = {10.1109/ALPIT.2007.34}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/alpit/LeeCCKKKHLY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cis/LimJKC07, author = {JongSeon Lim and Kyung{-}Soo Joo and KyungSoo Kim and Mun{-}Young Choi}, title = {Design of Automatic Database Schema Generator Based on {XML} Schema}, booktitle = {Computational Intelligence and Security, International Conference, {CIS} 2007, Harbin, Heilongjiang, China, December 15-19, 2007}, pages = {1039--1043}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/CIS.2007.222}, doi = {10.1109/CIS.2007.222}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cis/LimJKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icon/YiCY07, author = {Myung{-}Kyu Yi and Jin{-}Woo Choi and Young{-}Kyu Yang}, title = {A Pointer Forwarding Scheme for Minimizing Signaling Costs in Nested Mobile Networks}, booktitle = {Proceedings of the 15th {IEEE} International Conference on Networks, {ICON} 2007, 19-21 November 2007, Adelaide, Australia}, pages = {230--234}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICON.2007.4444091}, doi = {10.1109/ICON.2007.4444091}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icon/YiCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimLCCKS07, author = {Keehoon Kim and Jongwon Lee and Wan Kyun Chung and Seungmoon Choi and Young Soo Kim and Il Hong Suh}, title = {A Noble Bilateral Teleoperation System for Human Guided Spinal Fusion}, booktitle = {2007 {IEEE} International Conference on Robotics and Automation, {ICRA} 2007, 10-14 April 2007, Roma, Italy}, pages = {940--946}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROBOT.2007.363106}, doi = {10.1109/ROBOT.2007.363106}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/KimLCCKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiKJCWKLKLKL07, author = {Yoon{-}Kyung Choi and Hyoung{-}Rae Kim and Won{-}Gab Jung and Min{-}Soo Cho and Zhong{-}Yuan Wu and Hyo{-}Sun Kim and Young{-}Hun Lee and KyungMyun Kim and Kyu{-}Sam Lee and Jongseon Kim and Myunghee Lee}, title = {An Integrated {LDI} with Readout Function for Touch-Sensor-Embedded Display Panels}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {134--135}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373624}, doi = {10.1109/ISSCC.2007.373624}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiKJCWKLKLKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07, author = {Jeong{-}Don Ihm and Seung{-}Jun Bae and Kwang{-}Il Park and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Ho{-}Kyung Lee and Min{-}Sang Park and Sam{-}Young Bang and Mi{-}Jin Lee and Gil{-}Shin Moon and Young{-}Wook Jang and Suk{-}Won Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Su{-}Jin Park and Ok{-}Joo Park and Se{-}Mi Yang and Jin{-}Yong Choi and Young{-}Wook Kim and Hyun{-}Kyu Lee and Sunghoon Kim and Seong{-}Jin Jang and Young{-}Hyun Jun and Soo{-}In Cho}, title = {An 80nm 4Gb/s/pin 32b 512Mb {GDDR4} Graphics {DRAM} with Low-Power and Low-Noise Data-Bus Inversion}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {492--617}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373509}, doi = {10.1109/ISSCC.2007.373509}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/GuiJCC07, author = {Yi Qi Gui and Eysuk Jung and Young Choi and Hwang Kyu Choi}, editor = {Shahram Latifi}, title = {An Efficient Periodic Broadcasting Scheme for Mobile Video-on-Demand System}, booktitle = {Fourth International Conference on Information Technology: New Generations {(ITNG} 2007), 2-4 April 2007, Las Vegas, Nevada, {USA}}, pages = {888--889}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ITNG.2007.32}, doi = {10.1109/ITNG.2007.32}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/GuiJCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/ChoiSY07, author = {Jae{-}Young Choi and Kyung{-}Sang Sung and Young{-}Kyu Yang}, title = {Multiple Vehicles Detection and Tracking based on Scale-Invariant Feature Transform}, booktitle = {{IEEE} Intelligent Transportation Systems Conference, {ITSC} 2007, Seattle, WA, USA, 30 September-3 October 2007}, pages = {528--533}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ITSC.2007.4357684}, doi = {10.1109/ITSC.2007.4357684}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/itsc/ChoiSY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itsc/HongCY07, author = {Nam{-}Kwan Hong and Jin{-}Woo Choi and Young{-}Kyu Yang}, title = {A study on incident detection model applying {APID} model, fuzzy logic and traffic pattern}, booktitle = {{IEEE} Intelligent Transportation Systems Conference, {ITSC} 2007, Seattle, WA, USA, 30 September-3 October 2007}, pages = {196--203}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ITSC.2007.4357788}, doi = {10.1109/ITSC.2007.4357788}, timestamp = {Tue, 16 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itsc/HongCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/SungCO07, author = {Kyung{-}Sang Sung and Jae{-}Young Choi and Hae{-}Seok Oh}, title = {Management system for Customized Individual Service using User Behavior Pattern Analysis Algorithm on HomeNetwork}, booktitle = {2007 International Conference on Multimedia and Ubiquitous Engineering {(MUE} 2007), 26-28 April 2007, Seoul, Korea}, pages = {542--547}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/MUE.2007.146}, doi = {10.1109/MUE.2007.146}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mue/SungCO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netcoop/KooCL07, author = {Kyungmo Koo and Joon{-}Young Choi and Jin Soo Lee}, editor = {Tijani Chahed and Bruno Tuffin}, title = {Two Different Models of {FAST} {TCP} and Their Stable and Efficient Modification}, booktitle = {Network Control and Optimization, First EuroFGI International Conference, {NET-COOP} 2007, Avignon, France, June 5-7, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4465}, pages = {65--73}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72709-5\_7}, doi = {10.1007/978-3-540-72709-5\_7}, timestamp = {Tue, 14 May 2019 10:00:50 +0200}, biburl = {https://dblp.org/rec/conf/netcoop/KooCL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pairing/ParkCHL07, author = {Jong Hwan Park and Kyu Young Choi and Jung Yeon Hwang and Dong Hoon Lee}, editor = {Tsuyoshi Takagi and Tatsuaki Okamoto and Eiji Okamoto and Takeshi Okamoto}, title = {Certificateless Public Key Encryption in the Selective-ID Security Model (Without Random Oracles)}, booktitle = {Pairing-Based Cryptography - Pairing 2007, First International Conference, Tokyo, Japan, July 2-4, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4575}, pages = {60--82}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73489-5\_5}, doi = {10.1007/978-3-540-73489-5\_5}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pairing/ParkCHL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdcat/JeongCJLCKCJYSK07, author = {Chang{-}Hoo Jeong and Yunsoo Choi and Du{-}Seok Jin and Minho Lee and Sung{-}Pil Choi and Kwang{-}Young Kim and Min{-}Hee Cho and Won{-}Kyun Joo and Hwa{-}Mook Yoon and Jeong{-}Hyun Seo and Jinsuk Kim}, editor = {David S. Munro and Hong Shen and Quan Z. Sheng and Henry Detmold and Katrina Falkner and Cruz Izu and Paul D. Coddington and Bradley Alexander and Si{-}Qing Zheng}, title = {Service-Centric Object Fragmentation for Efficient Retrieval and Management of Huge {XML} Documents}, booktitle = {Eighth International Conference on Parallel and Distributed Computing, Applications and Technologies {(PDCAT} 2007), 3-6 December 2007, Adelaide, Australia}, pages = {118--124}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/PDCAT.2007.37}, doi = {10.1109/PDCAT.2007.37}, timestamp = {Mon, 17 Jul 2023 13:04:30 +0200}, biburl = {https://dblp.org/rec/conf/pdcat/JeongCJLCKCJYSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/premi/ChoiCY07, author = {Jae{-}Young Choi and Jin{-}Woo Choi and Young{-}Kyu Yang}, editor = {Ashish Ghosh and Rajat K. De and Sankar K. Pal}, title = {Improved Tracking of Multiple Vehicles Using Invariant Feature-Based Matching}, booktitle = {Pattern Recognition and Machine Intelligence, Second International Conference, PReMI 2007, Kolkata, India, December 18-22, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4815}, pages = {649--656}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-77046-6\_80}, doi = {10.1007/978-3-540-77046-6\_80}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/premi/ChoiCY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-0710-4808, author = {Young{-}Taek Kim and Taehun Kim and Youngduk Kim and Chulho Shin and Eui{-}Young Chung and Kyu{-}Myung Choi and Jeong{-}Taek Kong and Soo{-}Kwan Eo}, title = {Fast and Accurate Transaction Level Modeling of an Extended {AMBA2.0} Bus Architecture}, journal = {CoRR}, volume = {abs/0710.4808}, year = {2007}, url = {http://arxiv.org/abs/0710.4808}, eprinttype = {arXiv}, eprint = {0710.4808}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-0710-4808.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/ChoiKSCC06, author = {Young B. Choi and Joshua S. Krause and Hyewon Seo and Kathleen E. Capitan and Kyusuk Chung}, title = {Telemedicine in the {USA:} standardization through information management and technical applications}, journal = {{IEEE} Commun. Mag.}, volume = {44}, number = {4}, pages = {41--48}, year = {2006}, url = {https://doi.org/10.1109/MCOM.2006.1632648}, doi = {10.1109/MCOM.2006.1632648}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/ChoiKSCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/ChoiKKYC06, author = {Kyung{-}Hee Choi and Jung{-}Su Kim and Young Shin Kim and Mi{-}Ae Yoo and Tae{-}Soo Chon}, title = {Pattern detection of movement behaviors in genotype variation of Drosophila melanogaster by using self-organizing map}, journal = {Ecol. Informatics}, volume = {1}, number = {3}, pages = {219--228}, year = {2006}, url = {https://doi.org/10.1016/j.ecoinf.2005.12.002}, doi = {10.1016/J.ECOINF.2005.12.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/ChoiKKYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KimYCJ06, author = {Youngsoo Kim and Jeonggyun Yu and Sunghyun Choi and Kyunghun Jang}, title = {A novel hidden station detection mechanism in {IEEE} 802.11 {WLAN}}, journal = {{IEEE} Commun. Lett.}, volume = {10}, number = {8}, pages = {608--610}, year = {2006}, url = {https://doi.org/10.1109/LCOMM.2006.1665126}, doi = {10.1109/LCOMM.2006.1665126}, timestamp = {Tue, 02 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/KimYCJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoKLCKL06, author = {Young{-}Jae Cho and Se{-}Won Kim and Kyung{-}Hoon Lee and Hee{-}Cheol Choi and Young{-}Lok Kim and Seung{-}Hoon Lee}, title = {An Embedded 8b 240 MS/s 1.36 mm\({}^{\mbox{2}}\) 104 mW 0.18 {\(\mathrm{\mu}\)}CMOS {ADC} for DVDs with Dual-Mode Inputs}, journal = {{IEICE} Trans. Electron.}, volume = {89-C}, number = {5}, pages = {636--641}, year = {2006}, url = {https://doi.org/10.1093/ietele/c89-c.5.636}, doi = {10.1093/IETELE/C89-C.5.636}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoKLCKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YoonWLYKWCC06, author = {Ki Won Yoon and Sang Hyo Woo and Jyung Hyun Lee and YoungHo Yoon and Min{-}Kyu Kim and Chul{-}Ho Won and Hyun{-}Chul Choi and Jin{-}Ho Cho}, title = {Telemetry Capsule for Pressure Monitoring in the Gastrointestinal Tract}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {6}, pages = {1699--1700}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.6.1699}, doi = {10.1093/IETFEC/E89-A.6.1699}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YoonWLYKWCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/KohCPLK06, author = {Kwang{-}Won Koh and Chang{-}Ik Choi and Kyung{-}Lang Park and Shin{-}Young Lim and Shin{-}Dug Kim}, title = {Providing multilayered context for building smart home}, journal = {J. Comput. Methods Sci. Eng.}, volume = {6}, number = {5-6}, pages = {275--288}, year = {2006}, url = {https://doi.org/10.3233/jcm-2006-6s206}, doi = {10.3233/JCM-2006-6S206}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcmse/KohCPLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCLKLCJCSPSC06, author = {Churoo Park and Hoeju Chung and Yun{-}Sang Lee and Jaekwan Kim and JaeJun Lee and Moo Sung Chae and Dae{-}Hee Jung and Sung{-}Ho Choi and Seung{-}young Seo and Taek{-}Seon Park and Jun{-}Ho Shin and Jin{-}Hyung Cho and Seunghoon Lee and Ki{-}Whan Song and Kyu{-}Hyoun Kim and Jung{-}Bae Lee and Changhyun Kim and Soo{-}In Cho}, title = {A 512-mb {DDR3} {SDRAM} prototype with C\({}_{\mbox{IO}}\) minimization and self-calibration techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {4}, pages = {831--838}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2006.870808}, doi = {10.1109/JSSC.2006.870808}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkCLKLCJCSPSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ChoiLKCSLP06, author = {Jieun Choi and Young{-}Ho Lee and Un{-}Gu Kang and Ga Eul Cha and Young Jun Seo and Kyung Jin Lee and Rae Woong Park}, title = {Retrospective Evaluation of the Prescribing Behavior of Residents with respect to Nephrotoxic Drugs}, booktitle = {{AMIA} 2006, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 11-15, 2006}, publisher = {{AMIA}}, year = {2006}, url = {https://knowledge.amia.org/amia-55142-a2006a-1.620145/t-002-1.622268/f-001-1.622269/a-214-1.623101/a-215-1.623098}, timestamp = {Wed, 17 Apr 2024 11:48:16 +0200}, biburl = {https://dblp.org/rec/conf/amia/ChoiLKCSLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/LeeCCLHLP06, author = {Young{-}Ho Lee and Jieun Choi and Ga Eul Cha and Kyung Jin Lee and Hee Joung Hwang and Byung{-}Mun Lee and Rae Woong Park}, title = {An Architectural Framework for an Adverse Drug Event Surveillance System}, booktitle = {{AMIA} 2006, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 11-15, 2006}, publisher = {{AMIA}}, year = {2006}, url = {https://knowledge.amia.org/amia-55142-a2006a-1.620145/t-002-1.622268/f-001-1.622269/a-328-1.622757/a-329-1.622754}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/LeeCCLHLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChoLCKMLHP06, author = {Young{-}Jae Cho and Kyung{-}Hoon Lee and Hee{-}Cheol Choi and Young{-}Ju Kim and Kyoung{-}Jun Moon and Seung{-}Hoon Lee and Seok{-}Bong Hyun and Seong{-}Su Park}, title = {A Dual-Channel 6b 1GS/s 0.18um {CMOS} {ADC} for Ultra Wide-Band Communication Systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {339--342}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342420}, doi = {10.1109/APCCAS.2006.342420}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChoLCKMLHP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeCCPSKL06, author = {Kyung{-}Hoon Lee and Young{-}Jae Cho and Hee{-}Cheol Choi and Yong{-}Hyun Park and Doo{-}Hwan Sa and Young{-}Lok Kim and Seung{-}Hoon Lee}, title = {A 14b 100MS/s 3.4mm2 145mW 0.18um {CMOS} Pipeline {A/D} Converter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {351--354}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342442}, doi = {10.1109/APCCAS.2006.342442}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeCCPSKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/TalipovJJHCK06, author = {Elmurod Talipov and Donxue Jin and JaeYoun Jung and Ilkhyu Ha and YoungJun Choi and Chonggun Kim}, editor = {Young{-}Tak Kim and Makoto Takano}, title = {Path Hopping Based on Reverse {AODV} for Security}, booktitle = {Management of Convergence Networks and Services, 9th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2006 Busan, Korea, September 27-29, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4238}, pages = {574--577}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11876601\_69}, doi = {10.1007/11876601\_69}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/TalipovJJHCK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asist/AbbasKWCMBPC06, author = {June Abbas and Kyung{-}Sun Kim and Barbara M. Wildemuth and Youngok Choi and Javed Mostafa and Kristine R. Brancolini and Jeffrey Pomerantz and Abby Clobridge}, title = {Education for digital librarianship: Employers' needs and how they can be addressed}, booktitle = {Information Realities: Shaping the Digital Future for All - Proceedings of the 69th ASIS{\&}T Annual Meeting, {ASIST} 2006, Austin, TX, USA, November 3-8, 2006}, series = {Proc. Assoc. Inf. Sci. Technol.}, volume = {43}, number = {1}, pages = {1--7}, publisher = {Wiley}, year = {2006}, url = {https://doi.org/10.1002/meet.14504301321}, doi = {10.1002/MEET.14504301321}, timestamp = {Fri, 21 Jan 2022 13:55:35 +0100}, biburl = {https://dblp.org/rec/conf/asist/AbbasKWCMBPC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LeeKYYCCKE06, author = {Ikhwan Lee and Hyunsuk Kim and Peng Yang and Sungjoo Yoo and Eui{-}Young Chung and Kyu{-}Myung Choi and Jeong{-}Taek Kong and Soo{-}Kwan Eo}, editor = {Fumiyasu Hirose}, title = {PowerV\emph{i}P: Soc power estimation framework at transaction level}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {551--558}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594743}, doi = {10.1109/ASPDAC.2006.1594743}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LeeKYYCCKE06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/YooFCCC06, author = {Jun{-}hee Yoo and Xingguang Feng and Kiyoung Choi and Eui{-}Young Chung and Kyu{-}Myung Choi}, editor = {Fumiyasu Hirose}, title = {Worst case execution time analysis for synthesized hardware}, booktitle = {Proceedings of the 2006 Conference on Asia South Pacific Design Automation: {ASP-DAC} 2006, Yokohama, Japan, January 24-27, 2006}, pages = {905--910}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ASPDAC.2006.1594801}, doi = {10.1109/ASPDAC.2006.1594801}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/YooFCCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChoiKWLL06, author = {Joon{-}Young Choi and Kyungmo Koo and David X. Wei and Jin Soo Lee and Steven H. Low}, title = {Global Exponential Stability of {FAST} {TCP}}, booktitle = {45th {IEEE} Conference on Decision and Control, {CDC} 2006, San Diego, CA, USA, December 13-15, 2006}, pages = {639--643}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CDC.2006.377711}, doi = {10.1109/CDC.2006.377711}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ChoiKWLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChoLCLMK06, author = {Young{-}Jae Cho and Kyung{-}Hoon Lee and Hee{-}Cheol Choi and Seung{-}Hoon Lee and Kyoung{-}Ho Moon and Jae{-}Whui Kim}, title = {A Calibration-Free 14b 70MS/s 3.3mm2 235mW 0.13um {CMOS} Pipeline {ADC} with High-Matching 3-D Symmetric Capacitors}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {485--488}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CICC.2006.320860}, doi = {10.1109/CICC.2006.320860}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChoLCLMK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ChoSKLCLJLK06, author = {Young{-}Jae Cho and Doo{-}Hwan Sa and Yong{-}Woo Kim and Kyung{-}Hoon Lee and Hee{-}Cheol Choi and Seung{-}Hoon Lee and Young{-}Deuk Jeon and Seung{-}Chul Lee and Jong{-}Kee Kwon}, title = {A 10b 25MS/s 4.8mW 0.13um {CMOS} {ADC} for Digital Multimedia Broadcasting Applications}, booktitle = {Proceedings of the {IEEE} 2006 Custom Integrated Circuits Conference, {CICC} 2006, DoubleTree Hotel, San Jose, California, USA, September 10-13, 2006}, pages = {497--500}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/CICC.2006.320892}, doi = {10.1109/CICC.2006.320892}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ChoSKLCLJLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/UmKHKCKEK06, author = {Junhyung Um and Woo{-}Cheol Kwon and Sungpack Hong and Young{-}Taek Kim and Kyu{-}Myung Choi and Jeong{-}Taek Kong and Soo{-}Kwan Eo and Taewhan Kim}, editor = {Georges G. E. Gielen}, title = {A systematic {IP} and bus subsystem modeling for platform-based system design}, booktitle = {Proceedings of the Conference on Design, Automation and Test in Europe, {DATE} 2006, Munich, Germany, March 6-10, 2006}, pages = {560--564}, publisher = {European Design and Automation Association, Leuven, Belgium}, year = {2006}, url = {https://doi.org/10.1109/DATE.2006.243954}, doi = {10.1109/DATE.2006.243954}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/UmKHKCKEK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurossc/KimKCLH06, author = {Kyungmi Kim and HyunSook Kim and Young Choi and Sukgyu Lee and Kijun Han}, editor = {Paul J. M. Havinga and Maria Eva Lijding and Nirvana Meratnia and Maarten Wegdam}, title = {A Zone-Based Clustering Method for Ubiquitous Robots Based on Wireless Sensor Networks}, booktitle = {Smart Sensing and Context, First European Conference, EuroSSC 2006, Enschede, Netherlands, October 25-27, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4272}, pages = {25--38}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11907503\_3}, doi = {10.1007/11907503\_3}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/eurossc/KimKCLH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimYLKC06, author = {Hyogon Kim and Sangki Yun and Heejo Lee and Inhye Kang and Kyu{-}Young Choi}, title = {A Simple Congestion-Resilient Link Adaptation Algorithm for {IEEE} 802.11 WLANs}, booktitle = {Proceedings of the Global Telecommunications Conference, 2006. {GLOBECOM} '06, San Francisco, CA, USA, 27 November - 1 December 2006}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/GLOCOM.2006.811}, doi = {10.1109/GLOCOM.2006.811}, timestamp = {Fri, 21 Feb 2020 18:38:36 +0100}, biburl = {https://dblp.org/rec/conf/globecom/KimYLKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icat/JunSC06, author = {Kyungkoo Jun and Mee Young Sung and Byoungjo Choi}, editor = {Zhigeng Pan and Adrian David Cheok and Michael Haller and Rynson W. H. Lau and Hideo Saito and Ronghua Liang}, title = {Steering Behavior Model of Visitor NPCs in Virtual Exhibition}, booktitle = {Advances in Artificial Reality and Tele-Existence, 16th International Conference on Artificial Reality and Telexistence, {ICAT} 2006, Hangzhou, China, November 29 - December 1, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4282}, pages = {113--121}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11941354\_13}, doi = {10.1007/11941354\_13}, timestamp = {Sat, 30 Sep 2023 09:43:44 +0200}, biburl = {https://dblp.org/rec/conf/icat/JunSC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icn/KimHKC06, author = {Jeong Yun Kim and Jin{-}Ho Hahm and Young Sun Kim and Jun Kyun Choi}, title = {Policy-based QoS Control Architecture Model using {API} for Streaming Services}, booktitle = {Fifth International Conference on Networking and the International Conference on Systems {(ICN} / {ICONS} / {MCL} 2006), 23-29 April 2006, Mauritius}, pages = {102}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/ICNICONSMCL.2006.171}, doi = {10.1109/ICNICONSMCL.2006.171}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icn/KimHKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KwonCCKH06, author = {YoungHwan Kwon and Seong Gon Choi and Jun Kyun Choi and Jeong Yun Kim and Jin{-}Ho Hahm}, editor = {Ilyoung Chong and Kenji Kawahara}, title = {Efficient Wireless Resource Management Scheme Using Differential Received Signal Strength Indicator in Soft Handoff}, booktitle = {Information Networking, Advances in Data Communications and Wireless Networks, International Conference, {ICOIN} 2006, Sendai, Japan, January 16-19, 2006, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3961}, pages = {52--61}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11919568\_6}, doi = {10.1007/11919568\_6}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KwonCCKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SeongLC06, author = {Chang{-}Kyung Seong and Seung{-}Woo Lee and Woo{-}Young Choi}, title = {A 1.25-Gb/s digitally-controlled dual-loop clock and data recovery circuit with enhanced phase resolution}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2006), 21-24 May 2006, Island of Kos, Greece}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISCAS.2006.1693034}, doi = {10.1109/ISCAS.2006.1693034}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SeongLC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCKHKKALHIOY06, author = {Young Chan Kim and Yi Tae Kim and Sung Ho Choi and Hae Kyung Kong and Sung In Hwang and Juhyun Ko and Bumsuk Kim and Tetsuo Asaba and Su Hun Lim and June Soo Hahn and Joon Hyuk Im and Tae Seok Oh and Duk Min Yi and Jong Moon Lee and Woon Phil Yang and Jung Chak Ahn and Eun Seung Jung and Yong Hee Lee}, title = {1/2-inch 7.2MPixel {CMOS} Image Sensor with 2.25{\(\mathrm{\mu}\)}m Pixels Using 4-Shared Pixel Structure for Pixel-Level Summation}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {1994--2003}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696258}, doi = {10.1109/ISSCC.2006.1696258}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCKHKKALHIOY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCPKJPLKSPKC06, author = {Kyu{-}Hyoun Kim and Uksong Kang and Hoeju Chung and Dukha Park and Woo{-}Seop Kim and Young{-}Chan Jang and Moon{-}Sook Park and Hoon Lee and Jinyoung Kim and Jung Sunwoo and Hwan{-}Wook Park and Hyun{-}Kyung Kim and Su{-}Jin Chung and Jae{-}Kwan Kim and Hyung{-}Seuk Kim and Kee{-}Won Kwon and Young{-}Taek Lee and Joo{-}Sun Choi and Changhyun Kim}, title = {An 8Gb/s/pin 9.6ns Row-Cycle 288Mb Deca-Data Rate {SDRAM} with an {I/O} Error-Detection Scheme}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {527--536}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696089}, doi = {10.1109/ISSCC.2006.1696089}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCPKJPLKSPKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/otm/KimCL06, author = {Bo Man Kim and Kyu Young Choi and Dong Hoon Lee}, editor = {Robert Meersman and Zahir Tari and Pilar Herrero}, title = {Disaster Coverable {PKI} Model Utilizing the Existing {PKI} Structure}, booktitle = {On the Move to Meaningful Internet Systems 2006: {OTM} 2006 Workshops, {OTM} Confederated International Workshops and Posters, AWeSOMe, CAMS, COMINF, IS, KSinBIT, MIOS-CIAO, MONET, OnToContent, ORM, PerSys, {OTM} Academy Doctoral Consortium, RDDS, SWWS, and SeBGIS 2006, Montpellier, France, October 29 - November 3, 2006. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4277}, pages = {537--545}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11915034\_77}, doi = {10.1007/11915034\_77}, timestamp = {Thu, 14 Oct 2021 10:28:26 +0200}, biburl = {https://dblp.org/rec/conf/otm/KimCL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/KoKCH05, author = {Kyunbyoung Ko and Taeyoung Kim and Sooyong Choi and Daesik Hong}, title = {Semianalytical approach of asynchronous {MC-CDMA} systems with a cyclic prefix}, journal = {{IEEE} Commun. Lett.}, volume = {9}, number = {2}, pages = {142--144}, year = {2005}, url = {https://doi.org/10.1109/LCOMM.2005.02017}, doi = {10.1109/LCOMM.2005.02017}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/KoKCH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoCCOKKKKKBHAK05, author = {Woo Yeong Cho and Beak{-}Hyung Cho and Byung{-}Gil Choi and Hyung{-}Rok Oh and Sangbeom Kang and Ki{-}Sung Kim and Kyung{-}Hee Kim and Du{-}Eung Kim and Choong{-}Keun Kwak and Hyun{-}Geun Byun and Youngnam Hwang and SuJin Ahn and Gwan{-}Hyeob Koh and Gitae Jeong and Hongsik Jeong and Kinam Kim}, title = {A 0.18-{\(\mu\)}m 3.0-V 64-Mb nonvolatile phase-transition random access memory {(PRAM)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {1}, pages = {293--300}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.837974}, doi = {10.1109/JSSC.2004.837974}, timestamp = {Mon, 14 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoCCOKKKKKBHAK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ChoiKJCP05, author = {Young{-}Keun Choi and Ki{-}Man Kim and Ji{-}Won Jung and Seung{-}Yong Chun and Kyu{-}Sik Park}, title = {Acoustic intruder detection system for home security}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {51}, number = {1}, pages = {130--138}, year = {2005}, url = {https://doi.org/10.1109/TCE.2005.1405710}, doi = {10.1109/TCE.2005.1405710}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ChoiKJCP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YangCC05, author = {Kwangjin Yang and Youngjin Choi and Wan Kyun Chung}, title = {On the tracking performance improvement of optical disk drive servo systems using error-based disturbance observer}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {52}, number = {1}, pages = {270--279}, year = {2005}, url = {https://doi.org/10.1109/TIE.2004.841069}, doi = {10.1109/TIE.2004.841069}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YangCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ParkOKKPCL05, author = {Young Ho Park and Cha{-}Hwan Oh and Pill{-}Soo Kim and Taeg Yong Kwon and Sang Eon Park and Sang{-}Kyung Choi and Ho Seong Lee}, title = {Cavity pulling shift evaluated from {AC} Zeeman shift in an optically-pumped cs beam standard}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {54}, number = {2}, pages = {780--782}, year = {2005}, url = {https://doi.org/10.1109/TIM.2005.843533}, doi = {10.1109/TIM.2005.843533}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ParkOKKPCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACISicis/LeeCHVY05, author = {Seung{-}Heon Lee and Jin{-}Woo Choi and Nam{-}Kwan Hong and Murlikrishna Viswanathan and Young{-}Kyu Yang}, title = {Development of Incident Detection Model Using Neuro-Fuzzy Algorithm}, booktitle = {4th Annual {ACIS} International Conference on Computer and Information Science {(ICIS} 2005), 14-16 July 2005, Jeju Island, South Korea}, pages = {364--368}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICIS.2005.53}, doi = {10.1109/ICIS.2005.53}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACISicis/LeeCHVY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acisp/ChoiHLS05, author = {Kyu Young Choi and Jung Yeon Hwang and Dong Hoon Lee and In Seog Seo}, editor = {Colin Boyd and Juan Manuel Gonz{\'{a}}lez Nieto}, title = {ID-based Authenticated Key Agreement for Low-Power Mobile Devices}, booktitle = {Information Security and Privacy, 10th Australasian Conference, {ACISP} 2005, Brisbane, Australia, July 4-6, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3574}, pages = {494--505}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11506157\_41}, doi = {10.1007/11506157\_41}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acisp/ChoiHLS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avbpa/YuNC05, author = {Kyung Deok Yu and Sangsin Na and Tae Young Choi}, editor = {Takeo Kanade and Anil K. Jain and Nalini K. Ratha}, title = {A Fingerprint Matching Algorithm Based on Radial Structure and a Structure-Rewarding Scoring Strategy}, booktitle = {Audio- and Video-Based Biometric Person Authentication, 5th International Conference, {AVBPA} 2005, Hilton Rye Town, NY, USA, July 20-22, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3546}, pages = {656--664}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11527923\_68}, doi = {10.1007/11527923\_68}, timestamp = {Tue, 14 May 2019 10:00:44 +0200}, biburl = {https://dblp.org/rec/conf/avbpa/YuNC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChoiKLL05, author = {Joon{-}Young Choi and Kyungmo Koo and Jin Soo Lee and Steven H. Low}, title = {Global Stability of {FAST} {TCP} in Single-Link Single-Source Network}, booktitle = {44th {IEEE} {IEEE} Conference on Decision and Control and 8th European Control Conference Control, {CDC/ECC} 2005, Seville, Spain, 12-15 December, 2005}, pages = {1837--1841}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CDC.2005.1582427}, doi = {10.1109/CDC.2005.1582427}, timestamp = {Thu, 29 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ChoiKLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LimCJPCK05, author = {Jinup Lim and Youngjoo Cho and Kyungsoo Jung and Jongmin Park and Joongho Choi and Jaewhui Kim}, title = {A wide-band active-RC filter with a fast tuning scheme for wireless communication receivers}, booktitle = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, pages = {637--640}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CICC.2005.1568750}, doi = {10.1109/CICC.2005.1568750}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LimCJPCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimKKSCCKE05, author = {Young{-}Taek Kim and Taehun Kim and Youngduk Kim and Chulho Shin and Eui{-}Young Chung and Kyu{-}Myung Choi and Jeong{-}Taek Kong and Soo{-}Kwan Eo}, title = {Fast and Accurate Transaction Level Modeling of an Extended {AMBA2.0} Bus Architecture}, booktitle = {2005 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2005), 7-11 March 2005, Munich, Germany}, pages = {138--139}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DATE.2005.152}, doi = {10.1109/DATE.2005.152}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimKKSCCKE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icw/KwonCC05, author = {YoungHwan Kwon and Seong Gon Choi and Jun Kyun Choi}, title = {Efficient Handoff Decision Algorithm Using Differential {RSSI} in MPLS-Based Mobile {IP} Network}, booktitle = {Systems Communications 2005 {(ICW} / {ICHSN} / {ICMCS} / {SENET} 2005), 14-17 August 2005, Montreal, Canada}, pages = {40--44}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICW.2005.45}, doi = {10.1109/ICW.2005.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icw/KwonCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/ChoiNCJ05, author = {Youngsoo Choi and Sanguk Noh and Kyunghee Choi and Gihyun Jung}, editor = {Marcus Gallagher and James M. Hogan and Fr{\'{e}}d{\'{e}}ric Maire}, title = {Autonomous and Dependable Recovery Scheme in UPnP Network Settings}, booktitle = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2005, 6th International Conference, Brisbane, Australia, July 6-8, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3578}, pages = {501--506}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11508069\_65}, doi = {10.1007/11508069\_65}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/ideal/ChoiNCJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChoiM05, author = {Kyu{-}Young Choi and Ted Milton}, title = {An investigation into the properties of the dark endmember in spectral feature space}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2005, July 25-29, 2005, Seoul, Korea, Proceedings}, pages = {3575--3578}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IGARSS.2005.1526620}, doi = {10.1109/IGARSS.2005.1526620}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChoiM05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nldb/ParkKCS05, author = {Hyun Seok Park and Min Kyung Kim and Eun Jeong Choi and Young Joo Seol}, editor = {Andr{\'{e}}s Montoyo and Rafael Mu{\~{n}}oz and Elisabeth M{\'{e}}tais}, title = {Text Mining from Categorized Stem Cell Documents to Infer Developmental Stage-Specific Expression and Regulation Patterns of Stem Cells}, booktitle = {Natural Language Processing and Information Systems, 10th International Conference on Applications of Natural Language to Information Systems, {NLDB} 2005, Alicante, Spain, June 15-17, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3513}, pages = {353--356}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11428817\_35}, doi = {10.1007/11428817\_35}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nldb/ParkKCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wowmom/KimKCJC05, author = {Seongkwan Kim and Youngsoo Kim and Sunghyun Choi and Kyunghun Jang and Jin{-}Bong Chang}, title = {A High-Throughput {MAC} Strategy for Next-Generation WLANs}, booktitle = {2005 International Conference on a World of Wireless, Mobile and Multimedia Networks {(WOWMOM} 2005), 13-16 June 2005, Taormina, Italy, Proceedings}, pages = {278--285}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/WOWMOM.2005.6}, doi = {10.1109/WOWMOM.2005.6}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wowmom/KimKCJC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/ChoiOOPC04, author = {Youngjin Choi and Yonghwan Oh and Sang{-}Rok Oh and Jonghoon Park and Wan Kyun Chung}, title = {Multiple tasks manipulation for a robotic manipulator}, journal = {Adv. Robotics}, volume = {18}, number = {6}, pages = {637--653}, year = {2004}, url = {https://doi.org/10.1163/1568553041257422}, doi = {10.1163/1568553041257422}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/ChoiOOPC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChoiKC04, author = {Young{-}Kyu Choi and Bon{-}Ki Koo and Byoung{-}Tae Choi}, title = {Shrink-Wrapped Boundary Face {(SWBF)} Algorithm for Mesh Reconstruction from Unorganized 3D Points}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {87-D}, number = {9}, pages = {2283--2285}, year = {2004}, url = {http://search.ieice.org/bin/summary.php?id=e87-d\_9\_2283}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChoiKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KooCC04, author = {Bon{-}Ki Koo and Young{-}Kyu Choi and Sung{-}Il Chien}, title = {3D Human Whole Body Construction by Contour Triangulation}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {87-D}, number = {1}, pages = {233--243}, year = {2004}, url = {http://search.ieice.org/bin/summary.php?id=e87-d\_1\_233}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KooCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/JinCCMLKW04, author = {Young Kyu Jin and Seung Eok Choi and Amy Chung and In{-}Sik Myung and Ja Yeon Lee and Min Chul Kim and Joo Kyung Woo}, title = {{GIA:} design of a gesture-based interaction photo album}, journal = {Pers. Ubiquitous Comput.}, volume = {8}, number = {3-4}, pages = {227--233}, year = {2004}, url = {https://doi.org/10.1007/s00779-004-0282-y}, doi = {10.1007/S00779-004-0282-Y}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/JinCCMLKW04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/KimPLJPCCC04, author = {Sung Woo Kim and Sang Hyun Park and Jung Bong Lee and Young Kyu Jin and Hyun{-}mi Park and Amy Chung and Seung Eok Choi and Woo Sik Choi}, title = {Sensible appliances: applying context-awareness to appliance design}, journal = {Pers. Ubiquitous Comput.}, volume = {8}, number = {3-4}, pages = {184--191}, year = {2004}, url = {https://doi.org/10.1007/s00779-004-0276-9}, doi = {10.1007/S00779-004-0276-9}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/KimPLJPCCC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimKPJC04, author = {Sung Woo Kim and Min Chul Kim and Sang Hyun Park and Young Kyu Jin and Woo Sik Choi}, editor = {David Benyon and Paul Moody and Dan Gruen and Irene McAra{-}McWilliam}, title = {Gate reminder: a design case of a smart reminder}, booktitle = {Proceedings of the Conference on Designing Interactive Systems: Processes, Practices, Methods, and Techniques, Cambridge, MA, USA, August 1-4, 2004}, pages = {81--90}, publisher = {{ACM}}, year = {2004}, url = {https://doi.org/10.1145/1013115.1013128}, doi = {10.1145/1013115.1013128}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/KimKPJC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/ShinKCCKE04, author = {Chulho Shin and Young{-}Taek Kim and Eui{-}Young Chung and Kyu{-}Myung Choi and Jeong{-}Taek Kong and Soo{-}Kwan Eo}, title = {Fast Exploration of Parameterized Bus Architecture for Communication-Centric SoC Design}, booktitle = {2004 Design, Automation and Test in Europe Conference and Exposition {(DATE} 2004), 16-20 February 2004, Paris, France}, pages = {352--357}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/DATE.2004.1268872}, doi = {10.1109/DATE.2004.1268872}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/ShinKCCKE04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgr/KimOC04, author = {Kyung{-}A. Kim and Se{-}Young Oh and Hyun{-}Chul Choi}, title = {Facial Feature Extraction Using {PCA} and Wavelet Multi-Resolution Images}, booktitle = {Sixth {IEEE} International Conference on Automatic Face and Gesture Recognition {(FGR} 2004), May 17-19, 2004, Seoul, Korea}, pages = {439--444}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/AFGR.2004.1301572}, doi = {10.1109/AFGR.2004.1301572}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgr/KimOC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ChoiLKPY04, author = {Jin{-}Kyu Choi and Chang{-}Heon Lee and Hong{-}Goo Kang and Young{-}Cheol Park and Dae Hee Youn}, title = {Improvement issues on transcoding algorithms: for the flexible usage to the various pairs of speech codec}, booktitle = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004}, pages = {269--272}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICASSP.2004.1325974}, doi = {10.1109/ICASSP.2004.1325974}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ChoiLKPY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/UmKC04, author = {Tai{-}Won Um and YoungHwan Kwon and Jun Kyun Choi}, editor = {Marian Bubak and G. Dick van Albada and Peter M. A. Sloot and Jack J. Dongarra}, title = {Performance of Optical Burst Switching in Time Division Multiplexed Wavelength-Routing Networks}, booktitle = {Computational Science - {ICCS} 2004, 4th International Conference, Krak{\'{o}}w, Poland, June 6-9, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3036}, pages = {607--610}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24685-5\_101}, doi = {10.1007/978-3-540-24685-5\_101}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iccS/UmKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/YouJKLLCS04, author = {Young{-}Hwan You and Taewon Jang and Min{-}goo Kang and Hyung{-}Woo Lee and Hwa{-}Seop Lim and Yong{-}Soo Choi and Hyoung{-}Kyu Song}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Performance Limitation of {STBC} {OFDM-CDMA} Systems in Mobile Fading Channels}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, pages = {386--393}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24707-4\_48}, doi = {10.1007/978-3-540-24707-4\_48}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/YouJKLLCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/KimBSCCKC04, author = {K. C. Kim and H. R. Byun and Y. J. Song and Young{-}Woo Choi and S. Y. Chi and Kye Kyung Kim and YunKoo Chung}, title = {Scene Text Extraction in Natural Scene Images using Hierarchical Feature Combining and Verification}, booktitle = {17th International Conference on Pattern Recognition, {ICPR} 2004, Cambridge, UK, August 23-26, 2004}, pages = {679--682}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ICPR.2004.1334350}, doi = {10.1109/ICPR.2004.1334350}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/KimBSCCKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/NohCSCJ04, author = {Sanguk Noh and Youngsoo Choi and Haesung Seo and Kyunghee Choi and Gihyun Jung}, editor = {Zheng Rong Yang and Richard M. Everson and Hujun Yin}, title = {An Intelligent Topic-Specific Crawler Using Degree of Relevance}, booktitle = {Intelligent Data Engineering and Automated Learning - {IDEAL} 2004, 5th International Conference, Exeter, UK, August 25-27, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3177}, pages = {491--498}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-28651-6\_72}, doi = {10.1007/978-3-540-28651-6\_72}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/ideal/NohCSCJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intellcomm/ChaKKC04, author = {YoungWook Cha and TaeHyun Kwon and Choon Hee Kim and Jun Kyun Choi}, editor = {Finn Arve Aagesen and Chutiporn Anutariya and Vilas Wuwongse}, title = {Management Information and Model of {GSMP} Network Open Interface}, booktitle = {Intelligence in Communication Systems, {IFIP} International Conference, {INTELLCOMM} 2004, Bangkok, Thailand, November 23-26, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3283}, pages = {309--318}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30179-0\_28}, doi = {10.1007/978-3-540-30179-0\_28}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/intellcomm/ChaKKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/KooLCCYK04, author = {Jong{-}Eun Koo and Kyung{-}Ho Lee and Young{-}Hoe Cheon and Joon{-}Ho Choi and Moon{-}Hyun Yoo and Jeong{-}Taek Kong}, title = {A Variable Reduction Technique for the Analysis of Ultra Large-Scale Power Distribution Networks}, booktitle = {5th International Symposium on Quality of Electronic Design {(ISQED} 2004), 22-24 March 2004, San Jose, CA, {USA}}, pages = {137--142}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/ISQED.2004.1283664}, doi = {10.1109/ISQED.2004.1283664}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/KooLCCYK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/ParkCHHKP04, author = {Inho Park and Hong{-}Wook Choi and Youngsun Han and Seokjoong Hwang and Seon Wook Kim and Kyung Park}, editor = {Hamid R. Arabnia}, title = {Implementation of the Software Distributed Shared-Memory System on the InfiniBand}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '04, June 21-24, 2004, Las Vegas, Nevada, USA, Volume 3}, pages = {1273--1279}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/ParkCHHKP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkc/ChoiHL04, author = {Kyu Young Choi and Jung Yeon Hwang and Dong Hoon Lee}, editor = {Feng Bao and Robert H. Deng and Jianying Zhou}, title = {Efficient ID-based Group Key Agreement with Bilinear Maps}, booktitle = {Public Key Cryptography - {PKC} 2004, 7th International Workshop on Theory and Practice in Public Key Cryptography, Singapore, March 1-4, 2004}, series = {Lecture Notes in Computer Science}, volume = {2947}, pages = {130--144}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24632-9\_10}, doi = {10.1007/978-3-540-24632-9\_10}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pkc/ChoiHL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChoiYCKS03, author = {Youngjin Choi and Kwangjin Yang and Wan Kyun Chung and Hong Rok Kim and Il Hong Suh}, title = {On the robustness and performance of disturbance observers for second-order systems}, journal = {{IEEE} Trans. Autom. Control.}, volume = {48}, number = {2}, pages = {315--320}, year = {2003}, url = {https://doi.org/10.1109/TAC.2002.808491}, doi = {10.1109/TAC.2002.808491}, timestamp = {Wed, 20 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChoiYCKS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimCP03, author = {Ki{-}Man Kim and Young{-}Keun Choi and Kyu{-}Sik Park}, title = {A new approach for rustle noise canceling in pen-type voice recorder}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {49}, number = {4}, pages = {1118--1124}, year = {2003}, url = {https://doi.org/10.1109/TCE.2003.1261205}, doi = {10.1109/TCE.2003.1261205}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimCP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/KimPKCL03, author = {Chang{-}Min Kim and Hyung{-}Min Park and Taesu Kim and Yoon{-}Kyung Choi and Soo{-}Young Lee}, title = {{FPGA} implementation of {ICA} algorithm for blind signal separation and adaptive noise canceling}, journal = {{IEEE} Trans. Neural Networks}, volume = {14}, number = {5}, pages = {1038--1046}, year = {2003}, url = {https://doi.org/10.1109/TNN.2003.818381}, doi = {10.1109/TNN.2003.818381}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/KimPKCL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/RyuPKC03, author = {Heung{-}Gyoon Ryu and Jeong{-}Min Park and Kie{-}Keun Kim and Young{-}Kyun Choi}, title = {Performance of {DS/SFH-SSMA} system with overlapping {BFSK} in the presence of both {MTJ} and {MAI}}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {52}, number = {1}, pages = {267--273}, year = {2003}, url = {https://doi.org/10.1109/TVT.2002.807151}, doi = {10.1109/TVT.2002.807151}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/RyuPKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/ChoiOOC03, author = {Youngjin Choi and Yonghwan Oh and Sang{-}Rok Oh and Wan Kyun Chung}, title = {Auto-tuning {PID} controller for robotic manipulators}, booktitle = {American Control Conference, {ACC} 2003, Denver, CO, USA, June 4-6 2003}, pages = {350--355}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ACC.2003.1238968}, doi = {10.1109/ACC.2003.1238968}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/amcc/ChoiOOC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/YangCC03, author = {Kwangjin Yang and Youngjin Choi and Wan Kyun Chung}, title = {Performance analysis of discrete-time disturbance observer for second-order systems}, booktitle = {42nd {IEEE} Conference on Decision and Control, {CDC} 2003, Maui, Hawaii, USA, December 9-12, 2003}, pages = {4877--4882}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/CDC.2003.1272378}, doi = {10.1109/CDC.2003.1272378}, timestamp = {Mon, 07 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cdc/YangCC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/human/ChaKKC03, author = {YoungWook Cha and TaeHyun Kwon and Choon Hee Kim and Jun Kyun Choi}, editor = {Chin{-}Wan Chung and Chong{-}kwon Kim and Won Kim and Tok Wang Ling and Kwan Ho Song}, title = {Network Management Services in {GSMP} Open Interface}, booktitle = {Web Communication Technologies and Internet-Related Social Issues - {HSI} 2003, Second International Conference on Human Society@Internet, Seoul, Korea, June 18-20, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2713}, pages = {558--565}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-45036-X\_56}, doi = {10.1007/3-540-45036-X\_56}, timestamp = {Tue, 14 May 2019 10:00:39 +0200}, biburl = {https://dblp.org/rec/conf/human/ChaKKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/ChoiLJ03, author = {Mun{-}Young Choi and JongSeon Lim and Kyung{-}Soo Joo}, editor = {Peter M. A. Sloot and David Abramson and Alexander V. Bogdanov and Jack J. Dongarra and Albert Y. Zomaya and Yuri E. Gorbachev}, title = {Developing a Unified Design Methodology Based on Extended Entity-Relationship Model for {XML}}, booktitle = {Computational Science - {ICCS} 2003, International Conference, Melbourne, Australia and St. Petersburg, Russia, June 2-4, 2003. Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {2660}, pages = {920--929}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44864-0\_95}, doi = {10.1007/3-540-44864-0\_95}, timestamp = {Tue, 20 Jun 2023 16:27:45 +0200}, biburl = {https://dblp.org/rec/conf/iccS/ChoiLJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/SungCJ03, author = {Yoon Bang Sung and Mun{-}Young Choi and Kyung{-}Soo Joo}, editor = {Peter M. A. Sloot and David Abramson and Alexander V. Bogdanov and Jack J. Dongarra and Albert Y. Zomaya and Yuri E. Gorbachev}, title = {The Unified Design Methodology for Application Based on {XML} Schema}, booktitle = {Computational Science - {ICCS} 2003, International Conference, Melbourne, Australia and St. Petersburg, Russia, June 2-4, 2003. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2658}, pages = {685--694}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44862-4\_74}, doi = {10.1007/3-540-44862-4\_74}, timestamp = {Tue, 20 Jun 2023 16:27:45 +0200}, biburl = {https://dblp.org/rec/conf/iccS/SungCJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKICSHKPSCYCK03, author = {Inho Lee and Joung{-}Youn Kim and Yeon{-}Ho Im and Yunseok Choi and Hyunchul Shin and Chang{-}Young Han and Donghyun Kim and Hyoungjoon Park and Young{-}Il Seo and Kyusik Chung and Chang{-}Hyo Yu and Kanghyup Chun and Lee{-}Sup Kim}, title = {A hardware-like high-level language based environment for 3D graphics architecture exploration}, booktitle = {Proceedings of the 2003 International Symposium on Circuits and Systems, {ISCAS} 2003, Bangkok, Thailand, May 25-28, 2003}, pages = {512--515}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ISCAS.2003.1206023}, doi = {10.1109/ISCAS.2003.1206023}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKICSHKPSCYCK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mtsummit/RohHCLP03, author = {Yoon{-}Hyung Roh and Munpyo Hong and Sung{-}Kwon Choi and Ki{-}Young Lee and Sang{-}Kyu Park}, title = {For the proper treatment of long sentences in a sentence pattern-based English-Korean {MT} system}, booktitle = {Proceedings of Machine Translation Summit {IX:} Papers, MTSummit 2003, New Orleans, USA, September 18-22, 2003}, year = {2003}, url = {https://aclanthology.org/2003.mtsummit-papers.43}, timestamp = {Mon, 20 Sep 2021 17:44:13 +0200}, biburl = {https://dblp.org/rec/conf/mtsummit/RohHCLP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MoonPJCKCN03, author = {Jongwook Moon and Junku Park and Gihyun Jung and Panan Choi and Youngu Kang and Kyunghee Choi and Sanguk Noh}, title = {Accelerating firewall through intelligent self-learning}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: Washington, D.C., USA, 5-8 October 2003}, pages = {3524--3529}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICSMC.2003.1244435}, doi = {10.1109/ICSMC.2003.1244435}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/MoonPJCKCN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icae/LeeUCLJ02, author = {Hyun{-}Jeong Lee and Nam{-}Kyung Uhm and Young{-}Il Choi and Byung{-}Sun Lee and Kyung Pyo Jun}, title = {Automatic generation of {SCTP} test cases in multiservice switching system}, journal = {Integr. Comput. Aided Eng.}, volume = {9}, number = {2}, pages = {175--183}, year = {2002}, url = {https://doi.org/10.3233/ica-2002-9207}, doi = {10.3233/ICA-2002-9207}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icae/LeeUCLJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LeePC02, author = {Youngseok Lee and Ilkyu Park and Yanghee Choi}, title = {Improving {TCP} performance in multipath packet forwarding networks}, journal = {J. Commun. Networks}, volume = {4}, number = {2}, pages = {148--157}, year = {2002}, url = {https://doi.org/10.1109/JCN.2002.6596897}, doi = {10.1109/JCN.2002.6596897}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LeePC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/YangCCSO02, author = {Kwangjin Yang and Youngjin Choi and Wan Kyun Chung and Il Hong Suh and Sang{-}Rok Oh}, title = {Robust tracking control of optical disk drive systems using error based disturbance observer and its performance measure}, booktitle = {American Control Conference, {ACC} 2002, Anchorage, Alaska, USA, May 8-10 2002}, pages = {1395--1400}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ACC.2002.1023216}, doi = {10.1109/ACC.2002.1023216}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/YangCCSO02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KoKCKH02, author = {Kyunbyoung Ko and Taeyoung Kim and Sooyong Choi and Changeon Kang and Daesik Hong}, title = {A novel RBF-based detector for {MIMO} systems over rich-scattering fading channels}, booktitle = {Proceedings of the Global Telecommunications Conference, 2002. {GLOBECOM} '02, Taipei, Taiwan, 17-21 November, 2002}, pages = {434--438}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/GLOCOM.2002.1188116}, doi = {10.1109/GLOCOM.2002.1188116}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KoKCKH02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/YimJCKCJ02, author = {Kangbin Yim and Younkwang Jung and Panan Choi and Youngu Kang and Kyunghee Choi and Kinkyun Jung}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Analysis of Packet Size on {VOIP} Performance}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} 2002, Las Vegas, Nevada, USA, June 24-27, 2002}, pages = {996--1001}, publisher = {{CSREA} Press}, year = {2002}, timestamp = {Fri, 03 Feb 2017 14:05:09 +0100}, biburl = {https://dblp.org/rec/conf/ic/YimJCKCJ02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/KimC02, author = {Young Ae Kim and Jun Kyun Choi}, editor = {Ilyoung Chong}, title = {Analysis of the End-to-End Protection and Restoration Algorithm in the {IP} over {WDM} Network}, booktitle = {Information Networking, Wireless Communications Technologies and Network Applications, International Conference, {ICOIN} 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {2343}, pages = {687--698}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45803-4\_62}, doi = {10.1007/3-540-45803-4\_62}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/KimC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkLCK02, author = {Seong{-}Bok Park and Kwang{-}Eog Lee and Young{-}Kyun Choi and Soon{-}Ja Kim}, editor = {Ilyoung Chong}, title = {Construction of Sequences for Multi-group {FH-FDMA} in {DRT} System}, booktitle = {Information Networking, Wireless Communications Technologies and Network Applications, International Conference, {ICOIN} 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2344}, pages = {342--350}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45801-8\_33}, doi = {10.1007/3-540-45801-8\_33}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ParkLCK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KwonYPKLSHLWKLLYC02, author = {Dong{-}Soo Kwon and Gi{-}Hun Yang and Youngjin Park and Sunmin Kim and Chong{-}Won Lee and Jae{-}Cheol Shin and Soonhung Han and Jonghwan Lee and KwangYun Wohn and Sehoon Kim and Doo Yong Lee and Kyungno Lee and Jae{-}Heon Yang and Yun{-}Mook Choi}, title = {{KAIST} interactive bicycle racing simulator: the 2nd version with advanced features}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, Lausanne, Switzerland, September 30 - October 4, 2002}, pages = {2961--2966}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/IRDS.2002.1041722}, doi = {10.1109/IRDS.2002.1041722}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KwonYPKLSHLWKLLYC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mwcn/ChoiLCB02, author = {Jin{-}Ghoo Choi and Hyoung{-}Kyu Lim and Young{-}June Choi and Saewoong Bahk}, title = {Downlink throughput enhancement by combining packet scheduling with a simple power control scheme in {CDMA} data networks}, booktitle = {Proceedings of The Fourth {IEEE} Conference on Mobile and Wireless Communications Networks, {MWCN} 2002, September 9-11, 2002, Stockholm, Sweden}, pages = {93--97}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/MWCN.2002.1045702}, doi = {10.1109/MWCN.2002.1045702}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mwcn/ChoiLCB02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/AhnHCK02, author = {Cheol{-}Yong Ahn and Jin{-}Kyu Han and Young{-}Kwan Choi and Dong Ku Kim}, title = {Sensitivity of feedback channel delay on transmit adaptive array}, booktitle = {Proceedings of the 55th {IEEE} Vehicular Technology Conference, {VTC} Spring 2002, May 6-9, 2002, Birmingham, Alabama, {USA}}, pages = {556--559}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/VTC.2002.1002543}, doi = {10.1109/VTC.2002.1002543}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/AhnHCK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ChoiHKAK02, author = {Young{-}Kwan Choi and Jin{-}Kyu Han and Dae{-}Hyun Kim and Cheol{-}Yong Ahn and Dong Ku Kim}, title = {Performance evaluation of joint beamforming and power control {CDMA} system with {RAKE} combining}, booktitle = {Proceedings of the 55th {IEEE} Vehicular Technology Conference, {VTC} Spring 2002, May 6-9, 2002, Birmingham, Alabama, {USA}}, pages = {165--169}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/VTC.2002.1002685}, doi = {10.1109/VTC.2002.1002685}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ChoiHKAK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/LeePC01, author = {Kyung{-}Soon Lee and Young{-}Chan Park and Key{-}Sun Choi}, title = {Re-ranking model based on document clusters}, journal = {Inf. Process. Manag.}, volume = {37}, number = {1}, pages = {1--14}, year = {2001}, url = {https://doi.org/10.1016/S0306-4573(00)00017-0}, doi = {10.1016/S0306-4573(00)00017-0}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/LeePC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/ChoiC01, author = {Youngjin Choi and Wan Kyun Chung}, title = {On the stable {\unicode{119997}}\({}_{\mbox{{\(\infty\)}}}\) controller parameterization under sufficient condition}, journal = {{IEEE} Trans. Autom. Control.}, volume = {46}, number = {10}, pages = {1618--1623}, year = {2001}, url = {https://doi.org/10.1109/9.956060}, doi = {10.1109/9.956060}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/ChoiC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/ChoiCS01, author = {Youngjin Choi and Wan Kyun Chung and Il Hong Suh}, title = {Performance and H\({}_{\mbox{{\(\infty\)}}}\) optimality of {PID} trajectory tracking controller for Lagrangian systems}, journal = {{IEEE} Trans. Robotics Autom.}, volume = {17}, number = {6}, pages = {857--869}, year = {2001}, url = {https://doi.org/10.1109/70.976011}, doi = {10.1109/70.976011}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/ChoiCS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icn/ParkCLJ01, author = {Ho{-}Jin Park and Young{-}Il Choi and Byung{-}Sun Lee and Kyung Pyo Jun}, editor = {Pascal Lorenz}, title = {Open Software Architecture for Multiservice Switching System}, booktitle = {Networking - {ICN} 2001, First International Conference, Colmar, France, July 9-13, 2001 Proceedings, Part 2}, series = {Lecture Notes in Computer Science}, volume = {2094}, pages = {380--389}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-47734-9\_38}, doi = {10.1007/3-540-47734-9\_38}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/icn/ParkCLJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkLC01, author = {Ilkyu Park and Youngseok Lee and Yanghee Choi}, title = {Stable Load Control with Load Prediction in Multipath Packet Forwarding}, booktitle = {The 15th International Conference on Information Networking, {ICOIN} 2001, Beppu City, Oita, Japan, January 31 - February 2, 2001}, pages = {437--444}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/ICOIN.2001.905462}, doi = {10.1109/ICOIN.2001.905462}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ParkLC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChoiC01, author = {Youngjin Choi and Wan Kyun Chung}, title = {On the Optimality and Performance of {PID} Controller for Robotic Manipulators}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Robotics and Automation, {ICRA} 2001, May 21-26, 2001, Seoul, Korea}, pages = {1142--1148}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ROBOT.2001.932765}, doi = {10.1109/ROBOT.2001.932765}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChoiC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCCY01, author = {Jonghoon Park and Youngjin Choi and Wan Kyun Chung and Youngil Youm}, title = {Multiple Tasks Kinematics Using Weighted Pseudo-Inverse for Kinematically Redundant Manipulators}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Robotics and Automation, {ICRA} 2001, May 21-26, 2001, Seoul, Korea}, pages = {4041--4047}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ROBOT.2001.933249}, doi = {10.1109/ROBOT.2001.933249}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCCY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiCY01, author = {Youngjin Choi and Wan Kyun Chung and Youngil Youm}, title = {On the optimal {PID} performance tuning for robotic manipulators}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2001: Expanding the Societal Role of Robotics in the the Next Millennium, Maui, HI, USA, October 29 - November 3, 2001}, pages = {1656--1661}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/IROS.2001.977216}, doi = {10.1109/IROS.2001.977216}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ChoiCY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nlprs/YangKSCP01, author = {Seong{-}il Yang and Young Kil Kim and Young Ae Seo and Sung{-}Kwon Choi and Sang{-}Kyu Park}, title = {Korean to English {TV} Caption Translator: "CaptionEye/KE"}, booktitle = {Proceedings of the Sixth Natural Language Processing Pacific Rim Symposium, November 27-30, 2001, Hitotsubashi Memorial Hall, National Center of Sciences, Tokyo, Japan}, pages = {639--645}, year = {2001}, url = {http://www.afnlp.org/nlprs2001/pdf/0172-01.pdf}, timestamp = {Thu, 04 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nlprs/YangKSCP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimJPCY01, author = {Kyung{-}Tae Kim and Sung{-}Kyo Jung and Young{-}Cheol Park and Yong{-}Soo Choi and Dae Hee Youn}, title = {An efficient transcoding algorithm for {G.723.1} and {EVRC} speech coders}, booktitle = {Proceedings of the 54th {IEEE} Vehicular Technology Conference, {VTC} Fall 2001, 7-11 October 2001, Atlantic City, New Jersey, {USA}}, pages = {1561--1564}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/VTC.2001.956460}, doi = {10.1109/VTC.2001.956460}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KimJPCY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/ChoiO00, author = {Dae{-}Young Choi and Kyung{-}Whan Oh}, title = {{ASA} and its application to multi-criteria decision making}, journal = {Fuzzy Sets Syst.}, volume = {114}, number = {1}, pages = {89--102}, year = {2000}, url = {https://doi.org/10.1016/S0165-0114(98)00419-9}, doi = {10.1016/S0165-0114(98)00419-9}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fss/ChoiO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/ChoiCY00, author = {Youngjin Choi and Wan Kyun Chung and Youngil Youm}, title = {On stable {\(\mathscr{H}\)}\({}_{\mbox{{\(\infty\)}}}\) controller parameterization using doubly coprime fractional representation}, booktitle = {39th {IEEE} Conference on Decision and Control, {CDC} 2000, Sydney, Australia, December 12-15, 2000}, pages = {3230--3235}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CDC.2000.912196}, doi = {10.1109/CDC.2000.912196}, timestamp = {Thu, 31 Mar 2022 11:10:43 +0200}, biburl = {https://dblp.org/rec/conf/cdc/ChoiCY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/YooRCJC00, author = {Sungjoo Yoo and Kyoungseok Rha and Youngchul Cho and Jinyong Jung and Kiyoung Choi}, editor = {Frank Vahid and Jan Madsen}, title = {Performance estimation of multiple-cache IP-based systems: case study of an interdependency problem and application of an extended shared memory model}, booktitle = {Proceedings of the Eighth International Workshop on Hardware/Software Codesign, {CODES} 2000, San Diego, California, USA, 2000}, pages = {77--81}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/334012.334027}, doi = {10.1145/334012.334027}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/codes/YooRCJC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/YooLJRCC00, author = {Sungjoo Yoo and Jong{-}eun Lee and Jinyong Jung and Kyungseok Rha and Youngchul Cho and Kiyoung Choi}, editor = {Ivo Bolsens}, title = {Fast Hardware-Software Coverification by Optimistic Execution of Real Processor}, booktitle = {2000 Design, Automation and Test in Europe {(DATE} 2000), 27-30 March 2000, Paris, France}, pages = {663--668}, publisher = {{IEEE} Computer Society / {ACM}}, year = {2000}, url = {https://doi.org/10.1109/DATE.2000.840857}, doi = {10.1109/DATE.2000.840857}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/YooLJRCC00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimKPKCKH00, author = {Taeyoung Kim and Younsun Kim and Joonhyun Park and Kyunbyoung Ko and Sooyong Choi and Changeon Kang and Daesik Hong}, title = {Performance of an {MC-CDMA} System with Frequency Offsets in Correlated Fading}, booktitle = {2000 {IEEE} International Conference on Communications: Global Convergence Through Communications, {ICC} 2000, New Orleans, LA, USA, June 18-22, 2000}, pages = {1095--1099}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICC.2000.853667}, doi = {10.1109/ICC.2000.853667}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimKPKCKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/LimCL00, author = {Young{-}Kyu Lim and Song{-}Ha Choi and Seong{-}Whan Lee}, title = {Text Extraction in {MPEG} Compressed Video for Content-Based Indexing}, booktitle = {15th International Conference on Pattern Recognition, ICPR'00, Barcelona, Spain, September 3-8, 2000}, pages = {4409--4412}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICPR.2000.902945}, doi = {10.1109/ICPR.2000.902945}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpr/LimCL00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChoiRPY00, author = {Yong{-}Soo Choi and Seung{-}Kyun Ryu and Young{-}Cheol Park and Dae Hee Youn}, title = {Efficient harmonic-CELP based hybrid coding of speech at low bit rates}, booktitle = {Sixth International Conference on Spoken Language Processing, {ICSLP} 2000 / {INTERSPEECH} 2000, Beijing, China, October 16-20, 2000}, pages = {210--213}, publisher = {{ISCA}}, year = {2000}, url = {https://doi.org/10.21437/ICSLP.2000-246}, doi = {10.21437/ICSLP.2000-246}, timestamp = {Thu, 22 Jun 2023 16:42:19 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChoiRPY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dafes/KimKSAC98, author = {Yongjoo Kim and Kyuseok Kim and Youngsoo Shin and Taekyoon Ahn and Kiyoung Choi}, title = {An Integrated Cosimulation Environment for Heterogeneous Systems Prototyping}, journal = {Des. Autom. Embed. Syst.}, volume = {3}, number = {2-3}, pages = {163--186}, year = {1998}, url = {https://doi.org/10.1023/A:1008842424479}, doi = {10.1023/A:1008842424479}, timestamp = {Fri, 02 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dafes/KimKSAC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/AhnCL98, author = {Ki{-}Hwan Ahn and Yoon{-}Kyung Choi and Soo{-}Young Lee}, title = {Pruned Feed-forward Networks for Efficient Implementation of Multiple {FIR} Filters with Arbitrary Frequency Responses}, journal = {Neural Process. Lett.}, volume = {8}, number = {3}, pages = {221--227}, year = {1998}, url = {https://doi.org/10.1023/A:1009625700734}, doi = {10.1023/A:1009625700734}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/AhnCL98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/JunCCK98, author = {Sungik Jun and Hyeoung Kyu Chang and W. Choi and Young Man Kim}, title = {Stand-by loading scheme: an effective software retrofit method for a switching system}, booktitle = {Proceedings of the Third {IEEE} Symposium on Computers and Communications {(ISCC} 1998), June 30 - July 2, 1998, Athens, Greece}, pages = {343--347}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ISCC.1998.702544}, doi = {10.1109/ISCC.1998.702544}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/JunCCK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mva/ChoiC98, author = {Young{-}Kyu Choi and Tai{-}Hoon Cho}, title = {Vehicle Identification System by Automated Korean License Plate Reading}, booktitle = {Proceedings of {IAPR} Workshop on Machine Vision Applications, {MVA} 1998, November 17-19, 1998, Chiba, Japan}, pages = {543--546}, year = {1998}, url = {http://b2.cvl.iis.u-tokyo.ac.jp/mva/proceedings/CommemorativeDVD/1998/papers/1998543.pdf}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mva/ChoiC98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimKJBPC97, author = {Young{-}Su Kim and Chang{-}Joo Kim and Goo{-}Young Jeong and Young{-}Jo Bang and Han{-}Kyu Park and Sang{-}Sam Choi}, title = {New Rayleigh Fading Channel Estimator Based on {PSAM} Channel Sounding Technique}, booktitle = {1997 {IEEE} International Conference on Communications: Towards the Knowledge Millennium, {ICC} 1997, Montr{\'{e}}al, Qu{\'{e}}bec, Canada, June 8-12, 1997}, pages = {1518--1520}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ICC.1997.595042}, doi = {10.1109/ICC.1997.595042}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimKJBPC97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChoiCY97, author = {Youngjin Choi and Wan Kyun Chung and Youngil Youm}, title = {Robust control of manipulators using Hamiltonian optimization}, booktitle = {Proceedings of the 1997 {IEEE} International Conference on Robotics and Automation, Albuquerque, New Mexico, USA, April 20-25, 1997}, pages = {2358--2364}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/ROBOT.1997.619314}, doi = {10.1109/ROBOT.1997.619314}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChoiCY97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungCSSKLKPLPPK96, author = {Tae{-}Sung Jung and Young{-}Joon Choi and Kang{-}Deog Suh and Byung{-}Hoon Suh and Jin{-}Ki Kim and Young{-}Ho Lim and Yong{-}Nam Koh and Jong{-}Wook Park and Ki{-}Jong Lee and Jung{-}Hoon Park and Kee{-}Tae Park and Jhang{-}Rae Kim and Jeong{-}Hyong Yi and Hyung{-}Kyu Lim}, title = {A 117-mm\({}^{\mbox{2}}\) 3.3-V only 128-Mb multilevel {NAND} flash memory for mass storage applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {11}, pages = {1575--1583}, year = {1996}, url = {https://doi.org/10.1109/JSSC.1996.542301}, doi = {10.1109/JSSC.1996.542301}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungCSSKLKPLPPK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npl/ChoiAL96, author = {Yoon{-}Kyung Choi and Ki{-}Hwan Ahn and Soo{-}Young Lee}, title = {Effects of Multiplier Output Offsets on On-Chip Learning for Analog Neuro-Chips}, journal = {Neural Process. Lett.}, volume = {4}, number = {1}, pages = {1--8}, year = {1996}, url = {https://doi.org/10.1007/BF00454840}, doi = {10.1007/BF00454840}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npl/ChoiAL96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/KimJKLMPC96, author = {Young{-}Su Kim and Goo{-}Young Jeong and Chang{-}Joo Kim and Dong{-}du Lee and Jae{-}Kyoung Mun and Han{-}Kyu Park and Sang{-}Sam Choi}, title = {Implementation and field experiments of a 16QAM mobile data system based on {PSAM} channel sounding and {TCM} encoding techniques}, booktitle = {The 7th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, {PIMRC} 1996, Taipei, Taiwan, October 15-18, 1996}, pages = {49--52}, year = {1996}, url = {https://doi.org/10.1109/PIMRC.1996.567512}, doi = {10.1109/PIMRC.1996.567512}, timestamp = {Thu, 28 May 2020 11:01:18 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/KimJKLMPC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rsp/KimKSC96, author = {Kyuseok Kim and Yongjoo Kim and Youngsoo Shin and Kiyoung Choi}, title = {An integrated hardware-software cosimulation environment with automated interface generation}, booktitle = {Seventh {IEEE} International Workshop on Rapid System Prototyping {(RSP} '96), Thessaloniki, Greece, June 19-21, 1996}, pages = {66--71}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/IWRSP.1996.506729}, doi = {10.1109/IWRSP.1996.506729}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rsp/KimKSC96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aim/LeeLHKKCKYC95, author = {Jae Kyu Lee and Kyoung Jun Lee and June Seok Hong and Wooju Kim and Eun{-}Young Kim and Soo Yeoul Choi and Ho Dong Kim and Ok Ryul Yang and Hyung Rim Choi}, title = {{DAS:} Intelligent Scheduling Systems for Shipbuilding}, journal = {{AI} Mag.}, volume = {16}, number = {4}, pages = {78--94}, year = {1995}, url = {https://doi.org/10.1609/aimag.v16i4.1173}, doi = {10.1609/AIMAG.V16I4.1173}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aim/LeeLHKKCKYC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SuhSLKCKLKCYCKL95, author = {Kang{-}Deog Suh and Byung{-}Hoon Suh and Young{-}Ho Lim and Jin{-}Ki Kim and Young{-}Joon Choi and Yong{-}Nam Koh and Sung{-}Soo Lee and Suk{-}Chon Kwon and Byung{-}Soon Choi and Jin{-}Sun Yum and Jung{-}Hyuk Choi and Jang{-}Rae Kim and Hyung{-}Kyu Lim}, title = {A 3.3 {V} 32 Mb {NAND} flash memory with incremental step pulse programming scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {30}, number = {11}, pages = {1149--1156}, year = {1995}, url = {https://doi.org/10.1109/4.475701}, doi = {10.1109/4.475701}, timestamp = {Wed, 03 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SuhSLKCKLKCYCKL95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/robotica/ChoiCY95, author = {Hyouk Ryeol Choi and Wan Kyun Chung and Youngil Youm}, title = {Control of grasp stiffness using a multifingered robot hand with redundant joints}, journal = {Robotica}, volume = {13}, number = {4}, pages = {351--362}, year = {1995}, url = {https://doi.org/10.1017/S0263574700018786}, doi = {10.1017/S0263574700018786}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/robotica/ChoiCY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimKSASCS95, author = {Yongjoo Kim and Kyuseok Kim and Youngsoo Shin and Taekyoon Ahn and Wonyong Sung and Kiyoung Choi and Soonhoi Ha}, editor = {Isao Shirakawa}, title = {An integrated hardware-software cosimulation environment for heterogeneous systems prototyping}, booktitle = {Proceedings of the 1995 Conference on Asia Pacific Design Automation, Makuhari, Massa, Chiba, Japan, August 29 - September 1, 1995}, publisher = {{ACM}}, year = {1995}, url = {https://doi.org/10.1145/224818.224848}, doi = {10.1145/224818.224848}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KimKSASCS95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/KeumCHKW95, author = {Chang S. Keum and Wan Choi and Eui Kyeong Hong and Won{-}Young Kim and Kyu{-}Young Whang}, editor = {Tok Wang Ling and Yoshifumi Masunaga}, title = {Performance Evaluation of Replica Control Algorithms in a Locally Distributed Database System}, booktitle = {Database Systems for Advanced Applications '95, Proceedings of the 4th International Conference on Database Systems for Advanced Applications (DASFAA), Singapore, April 11-13, 1995}, series = {Advanced Database Research and Development Series}, volume = {5}, pages = {388--396}, publisher = {World Scientific}, year = {1995}, timestamp = {Tue, 30 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/KeumCHKW95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iaai/LeeHLKCKYKC95, author = {Kyoung Jun Lee and June Seok Hong and Jae Kyu Lee and Wooju Kim and Soo Yeoul Choi and Ho Dong Kim and Ok Ryul Yang and Eun{-}Young Kim and Hyung Rim Choi}, editor = {Jan Aikins and Howard E. Shrobe}, title = {{DAS:} Intelligent Scheduling Systems for Shipbuilding}, booktitle = {Proceedings of the Seventh Conference on Innovative Applications of Artificial Intelligence, {IAAI} 1995, Montreal, Quebec, Canada, August 20-23, 1995}, pages = {90--106}, publisher = {{AAAI} Press}, year = {1995}, url = {http://www.aaai.org/Library/IAAI/1995/iaai95-009.php}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iaai/LeeHLKCKYKC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimSKWC95, author = {Yongjoo Kim and Youngsoo Shin and Kyuseok Kim and Jae{-}Hee Won and Kiyoung Choi}, title = {Efficient Prototyping System Based on Incremental Design and Module-by-Module Verification}, booktitle = {1995 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 1995, Seattle, Washington, USA, April 30 - May 3, 1995}, pages = {924--927}, publisher = {{IEEE}}, year = {1995}, url = {https://doi.org/10.1109/ISCAS.1995.519916}, doi = {10.1109/ISCAS.1995.519916}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimSKWC95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/SeongCKP94, author = {Dong Su Seong and Young Kyu Choi and Ho Sung Kim and Kyu Ho Park}, title = {An algorithm for optimal isomorphism between two random graphs}, journal = {Pattern Recognit. Lett.}, volume = {15}, number = {4}, pages = {321--327}, year = {1994}, url = {https://doi.org/10.1016/0167-8655(94)90079-5}, doi = {10.1016/0167-8655(94)90079-5}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/SeongCKP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/ChoiP94, author = {Young Kyu Choi and Kyu Ho Park}, title = {A heuristic triangulation algorithm for multiple planar contours using an extended double branching procedure}, journal = {Vis. Comput.}, volume = {10}, number = {7}, pages = {372--387}, year = {1994}, url = {https://doi.org/10.1007/BF01900663}, doi = {10.1007/BF01900663}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/ChoiP94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ChoiCY94, author = {Hyouk Ryeol Choi and Wan Kyun Chung and Youngil Youm}, title = {Stiffness Analysis and Control of Redundant Manipulators}, booktitle = {Proceedings of the 1994 International Conference on Robotics and Automation, San Diego, CA, USA, May 1994}, pages = {689--695}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ROBOT.1994.351406}, doi = {10.1109/ROBOT.1994.351406}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icra/ChoiCY94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ParkLC94, author = {Ju{-}Young Lee Park and Sang Kyu Lee and Hyeong{-}Ah Choi}, editor = {Howard Jay Siegel}, title = {Circuit-Switched Broadcasting in itd-Dimensional Tori and Meshes}, booktitle = {Proceedings of the 8th International Symposium on Parallel Processing, Canc{\'{u}}n, Mexico, April 1994}, pages = {554--560}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/IPPS.1994.288249}, doi = {10.1109/IPPS.1994.288249}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ipps/ParkLC94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiCY93, author = {Hyouk Ryeol Choi and Wan Kyun Chung and Youngil Youm}, title = {Stiffness analysis of multi-fingered robot hands}, booktitle = {Proceedings of 1993 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 1993, Tokyo, Japan, July 26 - 30, 1993}, pages = {883--888}, publisher = {{IEEE}}, year = {1993}, url = {https://doi.org/10.1109/IROS.1993.583240}, doi = {10.1109/IROS.1993.583240}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ChoiCY93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppsc/ParkLC93, author = {Ju{-}Young Lee Park and Sang Kyu Lee and Hyeong{-}Ah Choi}, editor = {Richard F. Sincovec and David E. Keyes and Michael R. Leuze and Linda R. Petzold and Daniel A. Reed}, title = {Fault-Tolerant Broadcasting in Circuit-Switched Mesh}, booktitle = {Proceedings of the Sixth {SIAM} Conference on Parallel Processing for Scientific Computing, {PPSC} 1993, Norfolk, Virginia, USA, March 22-24, 1993}, pages = {887--890}, publisher = {{SIAM}}, year = {1993}, timestamp = {Mon, 20 Mar 2017 14:21:45 +0100}, biburl = {https://dblp.org/rec/conf/ppsc/ParkLC93.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tse/WhangMSBC92, author = {Kyu{-}Young Whang and Ashok Malhotra and Gary H. Sockut and Luanne M. Burns and Key{-}Sun Choi}, title = {Two-Dimensional Specification of Universal Quantification in a Graphical Database Query Language}, journal = {{IEEE} Trans. Software Eng.}, volume = {18}, number = {3}, pages = {216--224}, year = {1992}, url = {https://doi.org/10.1109/32.126770}, doi = {10.1109/32.126770}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tse/WhangMSBC92.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.uni-trier.de/img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.