default search action
Search dblp for Publications
export results for "Young-Kyun Park"
@article{DBLP:journals/access/ParkKPC24, author = {Kyungchul Park and Sungjoon Kim and Jong Hyuk Park and Woo Young Choi}, title = {A Quantized-Weight-Splitting Method of {RRAM} Arrays for Neuromorphic Applications}, journal = {{IEEE} Access}, volume = {12}, pages = {59680--59687}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3394253}, doi = {10.1109/ACCESS.2024.3394253}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKSKCLBLKL24, author = {Chanyoung Park and Hongbum Kim and Jungwon Suh and Jinhee Ko and Jun Hwan Choi and Sang Yoon Lee and Jaewon Beom and Jae{-}Young Lim and Bo Ryun Kim and Hyo Kyung Lee}, title = {Multivariate Time-Series Cluster Analysis for Multiple Functional Domains to Identify Recovery Patterns of Patients With Fragility Hip Fracture After Surgery}, journal = {{IEEE} Access}, volume = {12}, pages = {48699--48712}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3383786}, doi = {10.1109/ACCESS.2024.3383786}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKSKCLBLKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/alr/HanPLYL24, author = {Kun{-}Young Han and Gee{-}Yong Park and Myeong{-}Kyun Lee and Dong{-}Han Yoo and Hee{-}Hyol Lee}, title = {Self-adjusting {PID} control system using a neural network for a binary power plant}, journal = {Artif. Life Robotics}, volume = {29}, number = {2}, pages = {274--285}, year = {2024}, url = {https://doi.org/10.1007/s10015-024-00940-z}, doi = {10.1007/S10015-024-00940-Z}, timestamp = {Tue, 14 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/alr/HanPLYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LeeSLBJCPCKYKCLYZSK24, author = {Jong Hyeon Lee and Jae Woo Shim and Min Hyuk Lim and Changhoon Baek and Byoungjun Jeon and Minwoo Cho and Sungwoo Park and Dong Hyun Choi and Byeong Soo Kim and Dan Yoon and Young Gyun Kim and Seung Yeon Cho and Kyung{-}Min Lee and Myoung{-}Souk Yeo and Hangman Zo and Sang Do Shin and Sungwan Kim}, title = {Towards optimal design of patient isolation units in emergency rooms to prevent airborne virus transmission: From computational fluid dynamics to data-driven modeling}, journal = {Comput. Biol. Medicine}, volume = {173}, pages = {108309}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108309}, doi = {10.1016/J.COMPBIOMED.2024.108309}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LeeSLBJCPCKYKCLYZSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/ParkKPC24, author = {Eun Hee Park and Jongwoo Kim and Young Soon Park and Kyung Hee Chun}, title = {Facilitating and impeding factors to insiders' prosocial rule breaking in South Korea}, journal = {Comput. Secur.}, volume = {136}, pages = {103572}, year = {2024}, url = {https://doi.org/10.1016/j.cose.2023.103572}, doi = {10.1016/J.COSE.2023.103572}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/compsec/ParkKPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ChoHJHCPSP24, author = {Min Woo Cho and Seok Hyeon Hwang and Jun{-}Young Jang and Sun{-}kwang Hwang and Kyoung Je Cha and Dong Yong Park and Kyungjun Song and Sang Min Park}, title = {Beyond the limits of parametric design: Latent space exploration strategy enabling ultra-broadband acoustic metamaterials}, journal = {Eng. Appl. Artif. Intell.}, volume = {133}, pages = {108595}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108595}, doi = {10.1016/J.ENGAPPAI.2024.108595}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ChoHJHCPSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/YooYPHJ24, author = {Youngjun Yoo and Gidong Yang and Kyunghee Park and Youngjoo Hyun and Seyun Jeong}, title = {Extendable machine tool wear monitoring process using image segmentation based deep learning model and automatic detection of depth of cut line}, journal = {Eng. Appl. Artif. Intell.}, volume = {135}, pages = {108570}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108570}, doi = {10.1016/J.ENGAPPAI.2024.108570}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/YooYPHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimSYPCKLACC24, author = {Jonghyun Kim and Woosuk Sohng and Seung Jee Yang and Young{-}Gil Park and Kuhwan Chung and Kyeomryong Kim and Yeonguk Lee and Nari Ahn and Kyungjoon Cha and Hoeil Chung}, title = {Human decision-substitutable chemometric identification of pixel shrinkage in OLEDs using secondary ion mass spectrometry}, journal = {Expert Syst. Appl.}, volume = {238}, number = {Part {E}}, pages = {122207}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122207}, doi = {10.1016/J.ESWA.2023.122207}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimSYPCKLACC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hhci/JangLGKYKLWKP24, author = {Sangsu Jang and Kyung{-}Ryong Lee and Geonil Goh and Dohee Kim and Gahui Yun and Nanum Kim and Byeol Kim Lux and Choong{-}Wan Woo and Hyungsook Kim and Young{-}Woo Park}, title = {Design and field trial of EmotionFrame: exploring self-journaling experiences in homes for archiving personal feelings about daily events}, journal = {Hum. Comput. Interact.}, volume = {39}, number = {3-4}, pages = {503--528}, year = {2024}, url = {https://doi.org/10.1080/07370024.2023.2219259}, doi = {10.1080/07370024.2023.2219259}, timestamp = {Tue, 08 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hhci/JangLGKYKLWKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ParkKOC24, author = {Kyungchul Park and Sungjoon Kim and Min{-}Hye Oh and Woo Young Choi}, title = {Resting-potential-adjustable soft-reset integrate-and-fire neuron model for highly reliable and energy-efficient hardware-based spiking neural networks}, journal = {Neurocomputing}, volume = {590}, pages = {127762}, year = {2024}, url = {https://doi.org/10.1016/j.neucom.2024.127762}, doi = {10.1016/J.NEUCOM.2024.127762}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ParkKOC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/inroads/KimPKLLJ24, author = {Soohwan Kim and Juyeon Park and Hansung Kim and KyungHee Lee and Youngseok Lee and Soojin Jun}, title = {The Development and Future of Computer Science Education in South Korea}, journal = {Inroads}, volume = {15}, number = {1}, pages = {54--62}, year = {2024}, url = {https://doi.org/10.1145/3644817}, doi = {10.1145/3644817}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/inroads/KimPKLLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/JeonKPKRKH24, author = {Youngseung Jeon and Jaehoon Kim and Sohyun Park and Yun{-}Yong Ko and Seongeun Ryu and Sang{-}Wook Kim and Kyungsik Han}, title = {HearHere: Mitigating Echo Chambers in News Consumption through an AI-based Web System}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--34}, year = {2024}, url = {https://doi.org/10.1145/3637340}, doi = {10.1145/3637340}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/JeonKPKRKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKSPSCYS24, author = {Jin{-}Woo Kim and Hyoung{-}Do Kim and Kyung{-}Ho Shin and Sang{-}Wook Park and Seung{-}Hwan Seo and Yoon{-}Ju Choi and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {User-Centric Cell-Free Massive {MIMO} with Low-Resolution ADCs for Massive Access}, journal = {Sensors}, volume = {24}, number = {16}, pages = {5088}, year = {2024}, url = {https://doi.org/10.3390/s24165088}, doi = {10.3390/S24165088}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKSPSCYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKKP24, author = {Se{-}Han Lee and Kyungshin Kim and Youngsoo Kim and Ki{-}Woong Park}, title = {MTD-Diorama: Moving Target Defense Visualization Engine for Systematic Cybersecurity Strategy Orchestration}, journal = {Sensors}, volume = {24}, number = {13}, pages = {4369}, year = {2024}, url = {https://doi.org/10.3390/s24134369}, doi = {10.3390/S24134369}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/JeonK0R24, author = {Ha{-}Min Jeon and Tae Young Kang and Jongho Park and Chang{-}Kyung Ryoo}, title = {High-Altitude Terminal Control of Anti-Air Missiles With a Terminal Booster and Front Lateral Impulse Thrusters}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {60}, number = {2}, pages = {2071--2081}, year = {2024}, url = {https://doi.org/10.1109/TAES.2023.3348426}, doi = {10.1109/TAES.2023.3348426}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/JeonK0R24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/LeeKWWEP24, author = {Sanghoon Lee and Jinyoung Kim and Gwangjin Wi and Yuchang Won and Yongsoon Eun and Kyung{-}Joon Park}, title = {Deep Reinforcement Learning-Driven Scheduling in Multijob Serial Lines: {A} Case Study in Automotive Parts Assembly}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {20}, number = {2}, pages = {2932--2943}, year = {2024}, url = {https://doi.org/10.1109/TII.2023.3292538}, doi = {10.1109/TII.2023.3292538}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tii/LeeKWWEP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/KimHPJ24, author = {Dong{-}Young Kim and Dong{-}Kyun Han and Seo{-}Hyeon Park and Geun{-}Deok Jang}, title = {Improving Generalization of Drowsiness State Classification by Domain-Specific Normalization}, booktitle = {12th International Winter Conference on Brain-Computer Interface, {BCI} 2024, Gangwon, Korea, Republic of, February 26-28, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BCI60775.2024.10480509}, doi = {10.1109/BCI60775.2024.10480509}, timestamp = {Wed, 10 Apr 2024 16:57:56 +0200}, biburl = {https://dblp.org/rec/conf/bci3/KimHPJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimKKPACLLLW24, author = {Youngin Kim and Laurenz Kulmer and Killian Keller and Jeongsoo Park and Basem Abdelaziz Abdelmagid and Kyung{-}Sik Choi and Dongwon Lee and Yuqi Liu and Juerg Leuthold and Hua Wang}, title = {A Co-Integrated Optical Phased Array, Mach-Zehnder Modulator and Mm-Wave Driver for Free-Space Communication}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10529031}, doi = {10.1109/CICC60959.2024.10529031}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimKKPACLLLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ChoiJPWLKKYPLLH24, author = {ChangSu Choi and Yongbin Jeong and Seoyoon Park and Inho Won and HyeonSeok Lim and Sangmin Kim and Yejee Kang and Chanhyuk Yoon and Jaewan Park and Yiseul Lee and Hyejin Lee and Younggyun Hahm and Hansaem Kim and Kyungtae Lim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Optimizing Language Augmentation for Multilingual Large Language Models: {A} Case Study on Korean}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {12514--12526}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.1095}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/ChoiJPWLKKYPLLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParkKSJLWKLKKKLCTCSAK24, author = {Sangsoo Park and KyungSoo Kim and Jinin So and Jin Jung and Jonggeon Lee and Kyoungwan Woo and Nayeon Kim and Younghyun Lee and Hyungyo Kim and Yongsuk Kwon and Jinhyun Kim and Jieun Lee and YeonGon Cho and Yongmin Tai and Jeonghyeon Cho and Hoyoung Song and Jung Ho Ahn and Nam Sung Kim}, title = {An LPDDR-based {CXL-PNM} Platform for TCO-efficient Inference of Transformer-based Large Language Models}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {970--982}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00078}, doi = {10.1109/HPCA57654.2024.00078}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ParkKSJLWKLKKKLCTCSAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24, author = {Jaehyeok Yang and Hyeongjun Ko and Kyunghoon Kim and Hyunsu Park and Jihwan Park and Ji{-}Hyo Kang and Jin{-}Youp Cha and Seongjin Kim and Youngtaek Kim and Minsoo Park and Gangsik Lee and Keonho Lee and Sanghoon Lee and Gyunam Jeon and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Seonwoo Hwang and Boram Kim and Sang{-}Yeon Byeon and Sungkwon Lee and Hyeonyeol Park and Joohwan Cho and Jonghwan Kim}, title = {13.1 {A} 35.4Gb/s/pin 16Gb {GDDR7} with a Low-Power Clocking Architecture and {PAM3} {IO} Circuitry}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {232--234}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454560}, doi = {10.1109/ISSCC49657.2024.10454560}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/OhPSLHBKKLKNLCK24, author = {Joosung Oh and Jaehyeon Park and Kiseok Suh and Kangmoon Lee and Sohee Hwang and Myeongjun Bak and Honghyun Kim and Baeseong Kwon and DongKyu Lee and Minkwan Kim and Seungmo Noh and Jongmin Lee and Soomin Cho and Gyuseong Kang and Hyun{-}Jin Shin and Yongsung Ji and Atsushi Okada and Ung{-}Hwan Pi and Kwangseok Kim and Younghyun Kim and Jeong{-}Heon Park and Seungpil Ko and Tae{-}Young Lee and Kyungtae Nam and Minkwon Cho and Boyoung Seo and Shinhee Han and Yoonjong Song and Kangho Lee and Ja{-}Hum Ku}, title = {14nm FinFET Node Embedded {MRAM} Technology for Automotive Non-Volatile {RAM} Applications with Endurance Over 1E12-Cycles}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits 2024, Honolulu, HI, USA, June 16-20, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VLSITechnologyandCir46783.2024.10631315}, doi = {10.1109/VLSITECHNOLOGYANDCIR46783.2024.10631315}, timestamp = {Thu, 17 Oct 2024 14:04:05 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/OhPSLHBKKLKNLCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkPHPLLSLKCCS24, author = {Junsang Park and Jinwoo Park and Jaemin Hong and Sun{-}Jae Park and Dongsuk Lee and Sungno Lee and Hyochul Shin and Kyung{-}Hoon Lee and Byeongwoo Koo and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {A 12-bit 10GS/s Time-Interleaved {SAR} {ADC} with Even/Odd Channel-Correlated Absolute Error-Based Over-Nyquist Timing-Skew Calibration in 5nm FinFET}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits 2024, Honolulu, HI, USA, June 16-20, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/VLSITechnologyandCir46783.2024.10631314}, doi = {10.1109/VLSITECHNOLOGYANDCIR46783.2024.10631314}, timestamp = {Thu, 17 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkPHPLLSLKCCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08958, author = {Junhan Kim and Kyungphil Park and Chungman Lee and Ho{-}Young Kim and Joonyoung Kim and Yongkweon Jeon}, title = {Towards Next-Level Post-Training Quantization of Hyper-Scale Transformers}, journal = {CoRR}, volume = {abs/2402.08958}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08958}, doi = {10.48550/ARXIV.2402.08958}, eprinttype = {arXiv}, eprint = {2402.08958}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08958.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-18222, author = {Youngseung Jeon and Jaehoon Kim and Sohyun Park and Yun{-}Yong Ko and Seongeun Ryu and Sang{-}Wook Kim and Kyungsik Han}, title = {HearHere: Mitigating Echo Chambers in News Consumption through an AI-based Web System}, journal = {CoRR}, volume = {abs/2402.18222}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.18222}, doi = {10.48550/ARXIV.2402.18222}, eprinttype = {arXiv}, eprint = {2402.18222}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-18222.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-19402, author = {Young{-}Jin Park and Donghyun Kim and Fr{\'{e}}d{\'{e}}ric Odermatt and Juho Lee and Kyung{-}Min Kim}, title = {A Scalable and Transferable Time Series Prediction Framework for Demand Forecasting}, journal = {CoRR}, volume = {abs/2402.19402}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.19402}, doi = {10.48550/ARXIV.2402.19402}, eprinttype = {arXiv}, eprint = {2402.19402}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-19402.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10882, author = {ChangSu Choi and Yongbin Jeong and Seoyoon Park and Inho Won and HyeonSeok Lim and Sangmin Kim and Yejee Kang and Chanhyuk Yoon and Jaewan Park and Yiseul Lee and Hyejin Lee and Younggyun Hahm and Hansaem Kim and Kyungtae Lim}, title = {Optimizing Language Augmentation for Multilingual Large Language Models: {A} Case Study on Korean}, journal = {CoRR}, volume = {abs/2403.10882}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10882}, doi = {10.48550/ARXIV.2403.10882}, eprinttype = {arXiv}, eprint = {2403.10882}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14238, author = {Kyungjae Lee and Dasol Hwang and Sunghyun Park and Youngsoo Jang and Moontae Lee}, title = {Reinforcement Learning from Reflective Feedback {(RLRF):} Aligning and Improving LLMs via Fine-Grained Self-Reflection}, journal = {CoRR}, volume = {abs/2403.14238}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14238}, doi = {10.48550/ARXIV.2403.14238}, eprinttype = {arXiv}, eprint = {2403.14238}, timestamp = {Thu, 08 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14238.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-19099, author = {Changwon Lee and Israel F. Araujo and Dongha Kim and Junghan Lee and Siheon Park and Ju{-}Young Ryu and Daniel K. Park}, title = {Optimizing Quantum Convolutional Neural Network Architectures for Arbitrary Data Dimension}, journal = {CoRR}, volume = {abs/2403.19099}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.19099}, doi = {10.48550/ARXIV.2403.19099}, eprinttype = {arXiv}, eprint = {2403.19099}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-19099.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-01554, author = {Junggu Choi and Tak Hur and Daniel K. Park and Na{-}Young Shin and Seung{-}Koo Lee and Hakbae Lee and Sanghoon Han}, title = {Early-stage detection of cognitive impairment by hybrid quantum-classical algorithm using resting-state functional {MRI} time-series}, journal = {CoRR}, volume = {abs/2405.01554}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.01554}, doi = {10.48550/ARXIV.2405.01554}, eprinttype = {arXiv}, eprint = {2405.01554}, timestamp = {Sun, 09 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-01554.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13942, author = {Kyumin Park and Myung Jae Baik and YeongJun Hwang and Yen Shin and HoJae Lee and Ruda Lee and Sang Min Lee and Je Young Hannah Sun and Ah Rah Lee and Si Yeun Yoon and Dong{-}Ho Lee and Jihyung Moon and JinYeong Bak and Kyunghyun Cho and Jong{-}Woo Paik and Sungjoon Park}, title = {Harmful Suicide Content Detection}, journal = {CoRR}, volume = {abs/2407.13942}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13942}, doi = {10.48550/ARXIV.2407.13942}, eprinttype = {arXiv}, eprint = {2407.13942}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-20643, author = {Biagio Brattoli and Mohammad Mostafavi and Taebum Lee and Wonkyung Jung and Jeongun Ryu and Seonwook Park and Jongchan Park and S{\'{e}}rgio Pereira and Seunghwan Shin and Sangjoon Choi and Hyojin Kim and Donggeun Yoo and Siraj M. Ali and Kyunghyun Paeng and Chan{-}Young Ock and Soo Ick Cho and Seokhwi Kim}, title = {Generalizing AI-driven Assessment of Immunohistochemistry across Immunostains and Cancer Types: {A} Universal Immunohistochemistry Analyzer}, journal = {CoRR}, volume = {abs/2407.20643}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.20643}, doi = {10.48550/ARXIV.2407.20643}, eprinttype = {arXiv}, eprint = {2407.20643}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-20643.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-05917, author = {Min Woo Cho and Seok Hyeon Hwang and Jun{-}Young Jang and Jin Yeong Song and Sun{-}kwang Hwang and Kyoung Je Cha and Dong Yong Park and Kyungjun Song and Sang Min Park}, title = {Inverse design of Non-parameterized Ventilated Acoustic Resonator via Variational Autoencoder with Acoustic Response-encoded Latent Space}, journal = {CoRR}, volume = {abs/2408.05917}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.05917}, doi = {10.48550/ARXIV.2408.05917}, eprinttype = {arXiv}, eprint = {2408.05917}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-05917.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-11318, author = {Hyeongmin Lee and Jin{-}Young Kim and Kyungjune Baek and Jihwan Kim and Hyojun Go and Seongsu Ha and Seokjin Han and Jiho Jang and Raehyuk Jung and Daewoo Kim and GeunOh Kim and JongMok Kim and Jongseok Kim and Junwan Kim and Soonwoo Kwon and Jangwon Lee and Seungjoon Park and Minjoon Seo and Jay Suh and Jaehyuk Yi and Aiden Seung Joon Lee}, title = {{TWLV-I:} Analysis and Insights from Holistic Evaluation on Video Foundation Models}, journal = {CoRR}, volume = {abs/2408.11318}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.11318}, doi = {10.48550/ARXIV.2408.11318}, eprinttype = {arXiv}, eprint = {2408.11318}, timestamp = {Thu, 26 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-11318.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-09641, author = {Dasom Choi and SoHyun Park and Kyungah Lee and Hwajung Hong and Young{-}Ho Kim}, title = {AACessTalk: Fostering Communication between Minimally Verbal Autistic Children and Parents with Contextual Guidance and Card Recommendation}, journal = {CoRR}, volume = {abs/2409.09641}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.09641}, doi = {10.48550/ARXIV.2409.09641}, eprinttype = {arXiv}, eprint = {2409.09641}, timestamp = {Sat, 12 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-09641.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiKJLLYPKJ23, author = {Junmo Choi and Jaewoo Kim and Younggun Ji and Seongju Lee and Jungran Lee and Byunggil Yu and Seulgi Park and Myungho Kim and Kyung{-}Young Jung}, title = {SIW-Fed Patch Array Filtenna With Significant Suppression of Adjacent 5G Spectrum for Radio Altimeters}, journal = {{IEEE} Access}, volume = {11}, pages = {135846--135854}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3337810}, doi = {10.1109/ACCESS.2023.3337810}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiKJLLYPKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanKJPHSJC23, author = {Kyunghoon Han and Heejoon Koo and Sunghee Jung and Hyung{-}Bok Park and Youngtaek Hong and Hackjoon Shim and Byunghwan Jeon and Hyuk{-}Jae Chang}, title = {Reconstruction of Partially Broken Vascular Structures in X-Ray Images via Vesselness-Loss-Based Multi-Scale Generative Adversarial Networks}, journal = {{IEEE} Access}, volume = {11}, pages = {86335--86350}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3301568}, doi = {10.1109/ACCESS.2023.3301568}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanKJPHSJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ajis/ParkIZLCP23, author = {Eun Hee Park and Ghiyoung Im and Jing Zhang and Young Hwan Lee and Kyung Hee Chun and Young Soon Park}, title = {The Impacts of Role Overload and Role Conflict on Physicians' Technology Adoption}, journal = {Australas. J. Inf. Syst.}, volume = {27}, year = {2023}, url = {https://doi.org/10.3127/ajis.v27i0.3769}, doi = {10.3127/AJIS.V27I0.3769}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ajis/ParkIZLCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimKJPPCHKKKKSKLBK23, author = {In{-}Hwan Kim and Junsik Kim and Jiheon Jeong and Jae{-}Woo Park and Kanggil Park and Jin{-}Hyoung Cho and Mihee Hong and Kyung{-}Hwa Kang and Minji Kim and Su{-}Jung Kim and Yoon{-}Ji Kim and Sang{-}Jin Sung and Young Ho Kim and Sung{-}Hoon Lim and Seung{-}Hak Baek and Namkug Kim}, title = {Orthognathic surgical planning using graph {CNN} with dual embedding module: External validations with multi-hospital datasets}, journal = {Comput. Methods Programs Biomed.}, volume = {242}, pages = {107853}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107853}, doi = {10.1016/J.CMPB.2023.107853}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimKJPPCHKKKKSKLBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/connection/KimYKPK23, author = {Kyung{-}yeul Kim and Young{-}bo Yang and Mi{-}ra Kim and Ji Su Park and Jihie Kim}, title = {Predicting adolescent violence in Wartegg-ZeichenTest drawing images based on deep learning}, journal = {Connect. Sci.}, volume = {35}, number = {1}, year = {2023}, url = {https://doi.org/10.1080/09540091.2023.2286186}, doi = {10.1080/09540091.2023.2286186}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/connection/KimYKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/OhPYOY23, author = {Sung{-}Mook Oh and Jin Park and Jinsun Yang and Younggyun Oh and Kyung{-}Woo Yi}, title = {Smart classification method to detect irregular nozzle spray patterns inside carbon black reactor using ensemble transfer learning}, journal = {J. Intell. Manuf.}, volume = {34}, number = {6}, pages = {2729--2745}, year = {2023}, url = {https://doi.org/10.1007/s10845-022-01951-y}, doi = {10.1007/S10845-022-01951-Y}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/OhPYOY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeBKKCKACLISKP23, author = {Daewoong Lee and Jaehyeok Baek and Hye{-}Jung Kwon and Daehyun Kwon and Chulhee Cho and Sang{-}Hoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoung{-}Joo Kim and Ho{-}Seok Seol and Juhwan Kim and Jung{-}Bum Shin and Gil{-}Young Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi{-}Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16-Gb T-Coil-Based {GDDR6} {DRAM} With Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus Achieving 27-Gb/s/Pin in {NRZ}}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {279--290}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3222203}, doi = {10.1109/JSSC.2022.3222203}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeBKKCKACLISKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ChoKKPKYAOLSK23, author = {Kyungjin Cho and Jeeyoung Kim and Ki Duk Kim and Seungju Park and Junsik Kim and Jihye Yun and Yura Ahn and Sang Young Oh and Sang Min Lee and Joon Beom Seo and Namkug Kim}, title = {MuSiC-ViT: {A} multi-task Siamese convolutional vision transformer for differentiating change from no-change in follow-up chest radiographs}, journal = {Medical Image Anal.}, volume = {89}, pages = {102894}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102894}, doi = {10.1016/J.MEDIA.2023.102894}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/ChoKKPKYAOLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkGLH23, author = {Hyunchan Park and Younghun Go and Kyungwoon Lee and Cheol{-}Ho Hong}, title = {NetAP-ML: Machine Learning-Assisted Adaptive Polling Technique for Virtualized IoT Devices}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1484}, year = {2023}, url = {https://doi.org/10.3390/s23031484}, doi = {10.3390/S23031484}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkGLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeJJJPLKWKCWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Adam Y. Wang and Youngin Kim and Kyung{-}Sik Choi and Jing Wang and Hua Wang}, title = {A Multi-Functional {CMOS} Biosensor Array With On-Chip DEP-Assisted Sensing for Rapid Low-Concentration Analyte Detection and Close-Loop Particle Manipulation With No External Electrodes}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {6}, pages = {1214--1226}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3343068}, doi = {10.1109/TBCAS.2023.3343068}, timestamp = {Wed, 28 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeJJJPLKWKCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/MoonKGLKP23, author = {Jin{-}Young Moon and Nari Kim and Geonil Goh and Kyung{-}Ryong Lee and Hansol Kim and Young{-}Woo Park}, editor = {Daragh Byrne and Nikolas Martelaro and Andy Boucher and David J. Chatting and Sarah Fdili Alaoui and Sarah E. Fox and Iohanna Nicenboim and Cayley MacArthur}, title = {Stubbi: an Interactive Device for Enhancing Remote Text and Voice Communication in Small Intimate Groups through Simple Physical Movements}, booktitle = {Proceedings of the 2023 {ACM} Designing Interactive Systems Conference, {DIS} 2023, Pittsburgh, PA, USA, July 10-14, 2023}, pages = {1773--1788}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3563657.3596016}, doi = {10.1145/3563657.3596016}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/MoonKGLKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsec/ChoiPCH23, author = {Hoyoung Choi and Hyunjae Park and Young{-}June Choi and Kyungsik Han}, title = {Consistency of Code: {A} Prompt Based Approach to Comprehend Functionality}, booktitle = {30th Asia-Pacific Software Engineering Conference, {APSEC} 2023, Seoul, Republic of Korea, December 4-7, 2023}, pages = {655--656}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSEC60848.2023.00095}, doi = {10.1109/APSEC60848.2023.00095}, timestamp = {Wed, 10 Apr 2024 20:58:45 +0200}, biburl = {https://dblp.org/rec/conf/apsec/ChoiPCH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/RyuPSPBLJCPOYP23, author = {Jeongun Ryu and Aaron Valero Puche and Jaewoong Shin and Seonwook Park and Biagio Brattoli and Jinhee Lee and Wonkyung Jung and Soo Ick Cho and Kyunghyun Paeng and Chan{-}Young Ock and Donggeun Yoo and S{\'{e}}rgio Pereira}, title = {{OCELOT:} Overlapped Cell on Tissue Dataset for Histopathology}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {23902--23912}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02289}, doi = {10.1109/CVPR52729.2023.02289}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/RyuPSPBLJCPOYP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HeoJFCPYLK23, author = {Ung Heo and Hyunho Jeong and Jirou Feng and Junhwi Cho and Kyungseo Park and Youngsik Yoon and Dongyeon Lee and Jung Kim}, title = {Development of a Bioimpedance and sEMG Fusion Sensor for Gait Phase Detection: Validation with a Transtibial Amputee}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340105}, doi = {10.1109/EMBC40787.2023.10340105}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HeoJFCPYLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/JeonLPK23, author = {Yongkweon Jeon and Chungman Lee and Kyungphil Park and Ho{-}Young Kim}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {A Frustratingly Easy Post-Training Quantization Scheme for LLMs}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14446--14461}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.892}, doi = {10.18653/V1/2023.EMNLP-MAIN.892}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/JeonLPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsse/LeeMDPLH23, author = {Yong{-}Seok Lee and Nguyen Xuan Mung and Truong{-}Dong Do and Joon{-}Young Park and Choong Hyun Lee and Sung Kyung Hong}, title = {Design of a Nanosatellite Attitude Control Hardware-in-the-loop Simulation System}, booktitle = {International Conference on System Science and Engineering, {ICSSE} 2023, Ho Chi Minh, Vietnam, July 27-28, 2023}, pages = {609--613}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICSSE58758.2023.10227144}, doi = {10.1109/ICSSE58758.2023.10227144}, timestamp = {Sat, 17 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsse/LeeMDPLH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKPKKC23, author = {Kyung Hee Lee and Ji{-}Young Kwak and Jaebok Park and Hong Soog Kim and Seon{-}Tae Kim and Chang{-}Sik Cho}, title = {Neural Network Model Transformation Framework for On-Devices}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1316--1318}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10393088}, doi = {10.1109/ICTC58733.2023.10393088}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKPKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkCLK23, author = {Jaebok Park and Changsik Cho and Kyunghee Lee and Jiyoung Kwak}, title = {A Method on Neural Network Optimization Deployment Frameworks for Lightweight Target Devices}, booktitle = {14th International Conference on Information and Communication Technology Convergence, {ICTC} 2023, Jeju Island, Korea, Republic of, October 11-13, 2023}, pages = {1286--1288}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICTC58733.2023.10392914}, doi = {10.1109/ICTC58733.2023.10392914}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkCLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/JungBLLKPSC23, author = {Min Jae Jung and Akzhol Baktiyar and Young{-}Nam Lee and Sang{-}Gug Lee and Taekyu Kang and Soo{-}Youn Park and Juhyun Song and Kyung{-}Sik Choi}, title = {Experimental Analysis for Fast Lithium Plating Detection in Voltage Relaxation Profile of Lithium-Ion Batteries}, booktitle = {49th Annual Conference of the {IEEE} Industrial Electronics Society, {IECON} 2023, Singapore, October 16-19, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IECON51785.2023.10311689}, doi = {10.1109/IECON51785.2023.10311689}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/JungBLLKPSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeKJPH23, author = {Kyungmin Lee and Haeri Kim and Sichen Jin and Jinhwan Park and Youngho Han}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {A More Accurate Internal Language Model Score Estimation for the Hybrid Autoregressive Transducer}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {869--873}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-213}, doi = {10.21437/INTERSPEECH.2023-213}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeKJPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23, author = {Hyuncheol Kim and Yun Hyeok Kim and Sanghyuck Moon and Hwanwoong Kim and Byeongjun Yoo and Jueun Park and Seyoung Kim and June{-}Mo Koo and Sewon Seo and Hye Ji Shin and Younghwan Choi and Jinwoo Kim and Kyungil Kim and Jae{-}Hoon Seo and Seunghyun Lim and Taesub Jung and Howoo Park and Sangil Jung and Juhyun Ko and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.64{\(\mu\)}m 4-Photodiode 1.28{\(\mu\)}m 50Mpixel {CMOS} Image Sensor with 0.98e- Temporal Noise and 20Ke- Full-Well Capacity Employing Quarter-Ring Source-Follower}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {96--97}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067732}, doi = {10.1109/ISSCC42615.2023.10067732}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YoonJN023, author = {Sangwoong Yoon and Young{-}Uk Jin and Yung{-}Kyun Noh and Frank C. Park}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Energy-Based Models for Anomaly Detection: {A} Manifold Diffusion Recovery Approach}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/9b6d7202750e8e32cd5270eb7fc131f7-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/YoonJN023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkHEAAYKKCL23, author = {Eunsung Park and Won{-}Yong Ha and Doyoon Eom and Dae{-}Hwan Ahn and Hyuk An and Suhyun Yi and Kyung{-}Do Kim and Jongchae Kim and Woo{-}Young Choi and Myung{-}Jae Lee}, title = {Doping-Optimized Back-illuminated Single-Photon Avalanche Diode in Stacked 40 nm {CIS} Technology Achieving 60{\%} {PDP} at 905 nm}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185229}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185229}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/ParkHEAAYKKCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/SonPJKKCYKJMHJS23, author = {Kihwang Son and Seulki Park and Kyunghoon Jung and Jun{-}Gyu Kim and Younggun Ko and Keonyong Cheon and Changkeun Yoon and Jiho Kim and Jaehun Jeong and Taehun Myung and Changmin Hong and Weonwi Jang and Min{-}Chul Sun and Sungil Jo and Ju{-}Youn Kim and Byungmoo Song and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {Highly Reliable/Manufacturable 4nm FinFET Platform Technology {(SF4X)} for {HPC} Application with Dual-CPP/HP-HD Standard Cells}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185365}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185365}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/SonPJKKCYKJMHJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/KoRHJKPHTK23, author = {Yun{-}Yong Ko and Seongeun Ryu and Soeun Han and Youngseung Jeon and Jaehoon Kim and Sohyun Park and Kyungsik Han and Hanghang Tong and Sang{-}Wook Kim}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {{KHAN:} Knowledge-Aware Hierarchical Attention Networks for Accurate Political Stance Prediction}, booktitle = {Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {1572--1583}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543507.3583300}, doi = {10.1145/3543507.3583300}, timestamp = {Mon, 28 Aug 2023 21:17:10 +0200}, biburl = {https://dblp.org/rec/conf/www/KoRHJKPHTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13110, author = {Jeongun Ryu and Aaron Valero Puche and Jaewoong Shin and Seonwook Park and Biagio Brattoli and Jinhee Lee and Wonkyung Jung and Soo Ick Cho and Kyunghyun Paeng and Chan{-}Young Ock and Donggeun Yoo and S{\'{e}}rgio Pereira}, title = {{OCELOT:} Overlapped Cell on Tissue Dataset for Histopathology}, journal = {CoRR}, volume = {abs/2303.13110}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13110}, doi = {10.48550/ARXIV.2303.13110}, eprinttype = {arXiv}, eprint = {2303.13110}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-18677, author = {Sangwoong Yoon and Young{-}Uk Jin and Yung{-}Kyun Noh and Frank C. Park}, title = {Energy-Based Models for Anomaly Detection: {A} Manifold Diffusion Recovery Approach}, journal = {CoRR}, volume = {abs/2310.18677}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.18677}, doi = {10.48550/ARXIV.2310.18677}, eprinttype = {arXiv}, eprint = {2310.18677}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-18677.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-15876, author = {Kwanyoung Kim and Yujin Oh and Sangjoon Park and Hwa Kyung Byun and Jin Sung Kim and Yong Bae Kim and Jong Chul Ye}, title = {RO-LLaMA: Generalist {LLM} for Radiation Oncology via Noise Augmentation and Consistency Regularization}, journal = {CoRR}, volume = {abs/2311.15876}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.15876}, doi = {10.48550/ARXIV.2311.15876}, eprinttype = {arXiv}, eprint = {2311.15876}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-15876.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-09461, author = {Dong{-}Young Kim and Dong{-}Kyun Han and Seo{-}Hyeon Park and Geun{-}Deok Jang and Seong{-}Whan Lee}, title = {Improving Generalization of Drowsiness State Classification by Domain-Specific Normalization}, journal = {CoRR}, volume = {abs/2312.09461}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.09461}, doi = {10.48550/ARXIV.2312.09461}, eprinttype = {arXiv}, eprint = {2312.09461}, timestamp = {Tue, 09 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-09461.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLYRPC22, author = {Geunhwan Kim and Seokjin Lee and Kyungsik Yoon and Changsoo Ryu and Min{-}Seuk Park and Youngmin Choo}, title = {Design of Generalized Sinusoidal Frequency Modulated Pulse Train Waveform to Improve Tracking Performance of High Duty Cycle Sonar Systems}, journal = {{IEEE} Access}, volume = {10}, pages = {106543--106555}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3210986}, doi = {10.1109/ACCESS.2022.3210986}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimLYRPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MinzCKYMKP22, author = {Laxmikant Minz and Ye{-}Eun Chi and Kyunghoon Kwon and Min{-}Seon Yun and Young{-}Chan Moon and Duk{-}Yong Kim and Seong{-}Ook Park}, title = {Utilizing Non-Orthogonal Polarization With Polarization Reuse Technique for 4 {\texttimes} 4 {MIMO} Capacity Enhancement}, journal = {{IEEE} Access}, volume = {10}, pages = {131952--131964}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3228043}, doi = {10.1109/ACCESS.2022.3228043}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/MinzCKYMKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkBJ22, author = {Jaesun Park and Jae{-}Woo Baek and Kyung{-}Young Jung}, title = {Accurate and Numerically Stable {FDTD} Modeling of Human Skin Tissues in THz Band}, journal = {{IEEE} Access}, volume = {10}, pages = {41260--41266}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3168160}, doi = {10.1109/ACCESS.2022.3168160}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkJ22, author = {Seungyong Park and Kyung{-}Young Jung}, title = {Novel Compact {UWB} Planar Monopole Antenna Using a Ribbon-Shaped Slot}, journal = {{IEEE} Access}, volume = {10}, pages = {61951--61959}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3182443}, doi = {10.1109/ACCESS.2022.3182443}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KimPILBLCK22, author = {Kyung{-}Wuk Kim and Se{-}Hyun Park and Gyeongtae Im and Seung Bae Lee and Yasutaka Baba and Changje Lee and Young Ho Choi and Hyoung{-}Ho Kim}, title = {{CFD} study on vesicoureteral reflux in the urinary tract with double {J} stent}, journal = {Comput. Biol. Medicine}, volume = {145}, pages = {105456}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2022.105456}, doi = {10.1016/J.COMPBIOMED.2022.105456}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KimPILBLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkKPCKY22, author = {Tae Young Park and Hyo{-}Jin Kim and So Hui Park and Won Seok Chang and Hyungmin Kim and Kyungho Yoon}, title = {Differential evolution method to find optimal location of a single-element transducer for transcranial focused ultrasound therapy}, journal = {Comput. Methods Programs Biomed.}, volume = {219}, pages = {106777}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.106777}, doi = {10.1016/J.CMPB.2022.106777}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ParkKPCKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimJPLA22, author = {Hyungjung Kim and Woo{-}Kyun Jung and Young{-}Chul Park and Jae{-}Won Lee and Sung{-}Hoon Ahn}, title = {Broken stitch detection method for sewing operation using {CNN} feature map and image-processing techniques}, journal = {Expert Syst. Appl.}, volume = {188}, pages = {116014}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2021.116014}, doi = {10.1016/J.ESWA.2021.116014}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimJPLA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isj/KimPPCW22, author = {Jongwoo Kim and Eun Hee Park and Young Soon Park and Kyung Hee Chun and Lynn L. Wiles}, title = {Prosocial rule breaking on health information security at healthcare organisations in South Korea}, journal = {Inf. Syst. J.}, volume = {32}, number = {1}, pages = {164--191}, year = {2022}, url = {https://doi.org/10.1111/isj.12338}, doi = {10.1111/ISJ.12338}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isj/KimPPCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/LeeLKYPYK22, author = {Si Eun Lee and Eunjung Lee and Eun{-}Kyung Kim and Jung Hyun Yoon and Vivian Youngjean Park and Ji Hyun Youk and Jin Young Kwak}, title = {Application of Artificial Intelligence Computer-Assisted Diagnosis Originally Developed for Thyroid Nodules to Breast Lesions on Ultrasound}, journal = {J. Digit. Imaging}, volume = {35}, number = {6}, pages = {1699--1707}, year = {2022}, url = {https://doi.org/10.1007/s10278-022-00680-1}, doi = {10.1007/S10278-022-00680-1}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/LeeLKYPYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangYKCLBKKKCJJ22, author = {Jihyo Kang and Jaehyeok Yang and Kyunghoon Kim and Joo{-}Hyung Chae and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Boram Kim and Dong{-}Hyun Kim and Youngtaek Kim and Yeongmuk Cho and Junghwan Ji and Sera Jeong and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Sunho Kim and Hae{-}Kang Jung and Jieun Jang and Sangkwon Lee and Hyungsoo Kim and Joo{-}Hwan Cho and Junhyun Chun and Seon{-}Yong Cha}, title = {A 24-Gb/s/Pin 8-Gb {GDDR6} With a Half-Rate Daisy-Chain-Based Clocking Architecture and {I/O} Circuitry for Low-Noise Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {212--223}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3114205}, doi = {10.1109/JSSC.2021.3114205}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangYKCLBKKKCJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ByunKPCKAKYHJKP22, author = {Sung{-}June Byun and Dong{-}Gyun Kim and Kyung{-}Do Park and Yeun{-}Jin Choi and Pervesh Kumar and Imran Ali and Dong{-}Gyu Kim and June{-}Mo Yoo and Hyung{-}Ki Huh and Yeon{-}Jae Jung and Seok{-}Kee Kim and YoungGun Pu and Kang{-}Yoon Lee}, title = {A Low-Power Analog Processor-in-Memory-Based Convolutional Neural Network for Biosensor Applications}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4555}, year = {2022}, url = {https://doi.org/10.3390/s22124555}, doi = {10.3390/S22124555}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ByunKPCKAKYHJKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLP22, author = {Young{-}Pil Kim and Kyungwoon Lee and Hyunchan Park}, title = {Watcher: Cloud-Based Coding Activity Tracker for Fair Evaluation of Programming Assignments}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7284}, year = {2022}, url = {https://doi.org/10.3390/s22197284}, doi = {10.3390/S22197284}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePK22, author = {Jeong{-}Pyo Lee and Kyung{-}Eun Park and Youngok Kim}, title = {Deep Learning-Based Device-Free Localization Scheme for Simultaneous Estimation of Indoor Location and Posture Using {FMCW} Radars}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4447}, year = {2022}, url = {https://doi.org/10.3390/s22124447}, doi = {10.3390/S22124447}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkCCJK22, author = {Hyeon{-}Woo Park and Ji{-}Won Choi and Ji{-}Young Choi and Kyung{-}Kwang Joo and Na{-}Ri Kim}, title = {The Spectrum of Light Emitted by {LED} Using a {CMOS} Sensor-Based Digital Camera and Its Application}, journal = {Sensors}, volume = {22}, number = {17}, pages = {6418}, year = {2022}, url = {https://doi.org/10.3390/s22176418}, doi = {10.3390/S22176418}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkCCJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkCCJK22a, author = {Hyeon{-}Woo Park and Ji{-}Won Choi and Ji{-}Young Choi and Kyung{-}Kwang Joo and Na{-}Ri Kim}, title = {Investigation of the Hue-Wavelength Response of a {CMOS} RGB-Based Image Sensor}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9497}, year = {2022}, url = {https://doi.org/10.3390/s22239497}, doi = {10.3390/S22239497}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkCCJK22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKLC22, author = {Young{-}Jin Park and Ji{-}Eun Kim and Su{-}Hyeong Lee and Kyung{-}Hwan Cho}, title = {An Effective Design Formula for Single-Layer Printed Spiral Coils with the Maximum Quality Factor (Q-Factor) in the Megahertz Frequency Range}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7761}, year = {2022}, url = {https://doi.org/10.3390/s22207761}, doi = {10.3390/S22207761}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkKLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkPWCKLK22, author = {Se{-}Kyung Park and Junkyu Park and Hong{-}In Won and Seung{-}Hwan Choi and Chang{-}Hyun Kim and Suwoong Lee and Min Young Kim}, title = {Three-Dimensional Foot Position Estimation Based on Footprint Shadow Image Processing and Deep Learning for Smart Trampoline Fitness System}, journal = {Sensors}, volume = {22}, number = {18}, pages = {6922}, year = {2022}, url = {https://doi.org/10.3390/s22186922}, doi = {10.3390/S22186922}, timestamp = {Tue, 13 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkPWCKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChangNKCKPLP22, author = {Seoyun Chang and Hyunkyung Na and Minseok Koo and Taewon Choi and Younghoon Kim and Sun Ah Park and Seung{-}Kyun Lee and Jinhyoung Park}, title = {Therapeutic Quadrisected Annular Array for Improving Magnetic Resonance Compatibility}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {1}, pages = {199--208}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3090986}, doi = {10.1109/TBME.2021.3090986}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChangNKCKPLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and Lei Sun and Dafeng Zhang and Neo Yang and Fitz Liu and Jerry Zhao and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Shota Hirose and Kasidis Arunruangsirilert and Luo Ao and Ho Chun Leung and Andrew Wei and Jie Liu and Qiang Liu and Dahai Yu and Ao Li and Lei Luo and Ce Zhu and Seongmin Hong and Dongwon Park and Joonhee Lee and Byeong Hyun Lee and Seunggyu Lee and Se Young Chun and Ruiyuan He and Xuhao Jiang and Haihang Ruan and Xinjian Zhang and Jing Liu and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {92--129}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_5}, doi = {10.1007/978-3-031-25066-8\_5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimKPM22, author = {Hae Moon Kim and Ji Hoon Kim and Kyung{-}Ri Park and Young Shik Moon}, title = {Small Object Detection using Prediction head and Attention}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748393}, doi = {10.1109/ICEIC54506.2022.9748393}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KimKPM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/ParkKOLK22, author = {Young{-}Jin Park and Donghyun Kim and Fr{\'{e}}d{\'{e}}ric Odermatt and Juho Lee and Kyung{-}Min Kim}, editor = {Xingquan Zhu and Sanjay Ranka and My T. Thai and Takashi Washio and Xindong Wu}, title = {A Large-Scale Ensemble Learning Framework for Demand Forecasting}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2022, Orlando, FL, USA, November 28 - Dec. 1, 2022}, pages = {378--387}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDM54844.2022.00048}, doi = {10.1109/ICDM54844.2022.00048}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icdm/ParkKOLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeePKKC22, author = {Kyung{-}Hee Lee and Jaebok Park and Seon{-}Tae Kim and Ji{-}Young Kwak and Chang{-}Sik Cho}, title = {Design of Neural Network Model Converting Framework based on {NNEF}}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {1741--1743}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952413}, doi = {10.1109/ICTC55196.2022.9952413}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeePKKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkLKC22, author = {Jaebok Park and Kyung{-}Hee Lee and Ji{-}Young Kwak and Chang{-}Sik Cho}, title = {Deployment Framework Design Techniques for Optimized Neural Network Applications}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {2312--2314}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952771}, doi = {10.1109/ICTC55196.2022.9952771}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkLKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKBCKACLISKP22, author = {Daewoong Lee and Hye{-}Jung Kwon and Daehyun Kwon and Jaehyeok Baek and Chulhee Cho and Sanghoon Kim and Donggun An and Chulsoon Chang and Unhak Lim and Jiyeon Im and Wonju Sung and Hye{-}Ran Kim and Sun{-}Young Park and Hyoungjoo Kim and Ho{-}Seok Seol and Juhwan Kim and Junabum Shin and Kil{-}Youna Kang and Yong{-}Hun Kim and Sooyoung Kim and Wansoo Park and Seok{-}Jung Kim and ChanYong Lee and Seungseob Lee and TaeHoon Park and Chi Sung Oh and Hyodong Ban and Hyungjong Ko and Hoyoung Song and Tae{-}Young Oh and SangJoon Hwang and Kyung Suk Oh and Jung{-}Hwan Choi and Jooyoung Lee}, title = {A 16Gb 27Gb/s/pin T-coil based {GDDR6} {DRAM} with Merged-MUX TX, Optimized {WCK} Operation, and Alternative-Data-Bus}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {446--448}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731614}, doi = {10.1109/ISSCC42614.2022.9731614}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKBCKACLISKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimPCLCSKCMKBLP22, author = {Younghoon Kim and Dong{-}Woo Park and Jinchul Cho and Eui Su Lee and Da{-}Hye Choi and Jun{-}Hwan Shin and Mugeon Kim and Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Yongsoon Baek and Donghoon Lee and Sang{-}Ho Park and Young Ahn Leem and Il{-}Min Lee and Kyung Hyun Park}, title = {Photonics-Based 300 GHz Band Wireless Terahertz Link using 10Gbps Directly-Modulated Monolithically-Integrated Novel Dual-Mode Laser as Beating Light Source}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748274}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimPCLCSKCMKBLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeePPKDLLSOCCS22, author = {Kyung{-}Hoon Lee and Jinwoo Park and Younghyo Park and Byeongwoo Koo and Sunghan Do and Woongtaek Lim and Sungno Lee and Hyochul Shin and Eunhye Oh and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {An Automotive {ASIL-D} Safety Mechanism in {ADC} and {DAC} for Communication Application}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {142--143}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830347}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830347}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LeePPKDLLSOCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/MoonOPLPLHSCCS22, author = {Kyoung{-}Jun Moon and Dong{-}Ryeol Oh and Young{-}Hyo Park and Kyung{-}Hoon Lee and Sun{-}Jae Park and Sung{-}No Lee and Hee{-}Chang Hwang and Hyo{-}Chul Shin and Young{-}Jae Cho and Michael Choi and Jongshin Shin}, title = {A 12-bit 10GS/s 16-Channel Time-Interleaved {ADC} with a Digital Processing Timing-Skew Background Calibration in 5nm FinFET}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {172--173}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830208}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830208}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/MoonOPLPLHSCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/22/AsadikouhanjaniZCPK22, author = {Mohammadreza Asadikouhanjani and Hao Zhang and Kyunghwan Cho and Young{-}Jin Park and Seok{-}Bum Ko}, editor = {Weiqiang Liu and Fabrizio Lombardi}, title = {Efficient Approximate {DNN} Accelerators for Edge Devices: An Experimental Study}, booktitle = {Approximate Computing}, pages = {481--508}, publisher = {Springer International Publishing}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-98347-5\_19}, doi = {10.1007/978-3-030-98347-5\_19}, timestamp = {Mon, 06 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/22/AsadikouhanjaniZCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-15894, author = {Kashif Rasul and Young{-}Jin Park and Max Nihl{\'{e}}n Ramstr{\"{o}}m and Kyung{-}Min Kim}, title = {{VQ-AR:} Vector Quantized Autoregressive Probabilistic Time Series Forecasting}, journal = {CoRR}, volume = {abs/2205.15894}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.15894}, doi = {10.48550/ARXIV.2205.15894}, eprinttype = {arXiv}, eprint = {2205.15894}, timestamp = {Wed, 01 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-15894.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-02516, author = {Su Young Kim and Hyeon{-}Jin Park and Kyuyong Shin and Kyung{-}Min Kim}, title = {Ask Me What You Need: Product Retrieval using Knowledge from {GPT-3}}, journal = {CoRR}, volume = {abs/2207.02516}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.02516}, doi = {10.48550/ARXIV.2207.02516}, eprinttype = {arXiv}, eprint = {2207.02516}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-02516.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14480, author = {Jiwoong Park and Jisu Jeong and Kyung{-}Min Kim and Jin Young Choi}, title = {Meta-node: {A} Concise Approach to Effectively Learn Complex Relationships in Heterogeneous Graphs}, journal = {CoRR}, volume = {abs/2210.14480}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14480}, doi = {10.48550/ARXIV.2210.14480}, eprinttype = {arXiv}, eprint = {2210.14480}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10504, author = {Sang{-}Woo Lee and Sungdong Kim and Donghyeon Ko and Donghoon Ham and Youngki Hong and Shin Ah Oh and Hyunhoon Jung and Wangkyo Jung and Kyunghyun Cho and Dong{-}Hyun Kwak and Hyungsuk Noh and Woo{-}Myoung Park}, title = {Can Current Task-oriented Dialogue Models Automate Real-world Scenarios in the Wild?}, journal = {CoRR}, volume = {abs/2212.10504}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10504}, doi = {10.48550/ARXIV.2212.10504}, eprinttype = {arXiv}, eprint = {2212.10504}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10504.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPJ21, author = {Jinsoo Kim and Jahng Hyon Park and Kyung Young Jhang}, title = {Decoupled Longitudinal and Lateral Vehicle Control Based Autonomous Lane Change System Adaptable to Driving Surroundings}, journal = {{IEEE} Access}, volume = {9}, pages = {4315--4334}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3047189}, doi = {10.1109/ACCESS.2020.3047189}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonKLKPSKPBRM21, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep Reinforcement Learning for Guidewire Navigation in Coronary Artery Phantom}, journal = {{IEEE} Access}, volume = {9}, pages = {166409--166422}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3135277}, doi = {10.1109/ACCESS.2021.3135277}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KweonKLKPSKPBRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/ParkKCKP21, author = {Sungho Park and Youngjun Kim and Hyungoo Choi and Yeunwoong Kyung and Jinwoo Park}, title = {{HTTP} DDoS Flooding Attack Mitigation in Software-Defined Networking}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {104-D}, number = {9}, pages = {1496--1499}, year = {2021}, url = {https://doi.org/10.1587/transinf.2021edl8022}, doi = {10.1587/TRANSINF.2021EDL8022}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/ParkKCKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/ParkLK21, author = {Kyung{-}Eun Park and Jeong{-}Pyo Lee and Youngok Kim}, title = {Deep Learning-Based Indoor Distance Estimation Scheme Using {FMCW} Radar}, journal = {Inf.}, volume = {12}, number = {2}, pages = {80}, year = {2021}, url = {https://doi.org/10.3390/info12020080}, doi = {10.3390/INFO12020080}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/ParkLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itd/LiLCYP21, author = {Lin Li and Kyung Young Lee and Luke Younghoon Chang and Sung{-}Byung Yang and Philip Park}, title = {IT-enabled sustainable development in electric scooter sharing platforms: focusing on the privacy concerns for traceable information}, journal = {Inf. Technol. Dev.}, volume = {27}, number = {4}, pages = {736--759}, year = {2021}, url = {https://doi.org/10.1080/02681102.2021.1882366}, doi = {10.1080/02681102.2021.1882366}, timestamp = {Fri, 11 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/itd/LiLCYP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/LeeKSLPCKSY21, author = {Amy Junghyun Lee and Kyung Won Kim and Youngbin Shin and Jiwoo Lee and Hyo Jung Park and Young Chul Cho and Yousun Ko and Yu Sub Sung and Byung Sun Yoon}, title = {CDISC-compliant clinical trial imaging management system with automatic verification and data Transformation: Focusing on tumor response assessment data in clinical trials}, journal = {J. Biomed. Informatics}, volume = {117}, pages = {103782}, year = {2021}, url = {https://doi.org/10.1016/j.jbi.2021.103782}, doi = {10.1016/J.JBI.2021.103782}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/LeeKSLPCKSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcise/JungPLS21, author = {Woo{-}Kyun Jung and Young{-}Chul Park and Jae{-}Won Lee and Eun Suk Suh}, title = {Simulation-Based Hybrid Optimization Method for the Digital Twin of Garment Production Lines}, journal = {J. Comput. Inf. Sci. Eng.}, volume = {21}, number = {1}, year = {2021}, url = {https://doi.org/10.1115/1.4050245}, doi = {10.1115/1.4050245}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcise/JungPLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/ParkKKS21, author = {Kyungsu Park and Jonghoe Kim and Young Dae Ko and Byung Duk Song}, title = {Redesign of reverse logistics network with managerial decisions on the minimum quality level and remanufacturing policy}, journal = {J. Oper. Res. Soc.}, volume = {72}, number = {7}, pages = {1564--1577}, year = {2021}, url = {https://doi.org/10.1080/01605682.2020.1745702}, doi = {10.1080/01605682.2020.1745702}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jors/ParkKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ZhongLWRKKBNBTP21, author = {Aoxiao Zhong and Xiang Li and Dufan Wu and Hui Ren and Kyung Sang Kim and Young{-}Gon Kim and Varun Buch and Nir Neumark and Bernardo Bizzo and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Ning Guo and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep metric learning-based image retrieval system for chest radiograph and its clinical applications in {COVID-19}}, journal = {Medical Image Anal.}, volume = {70}, pages = {101993}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.101993}, doi = {10.1016/J.MEDIA.2021.101993}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/ZhongLWRKKBNBTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnLYPKK21, author = {Hyo{-}Won Ahn and Soo{-}Yeon Lee and Hobeen Yu and Jin{-}Young Park and Kyung{-}A. Kim and Su{-}Jung Kim}, title = {Force Distribution of a Novel Core-Reinforced Multilayered Mandibular Advancement Device}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3383}, year = {2021}, url = {https://doi.org/10.3390/s21103383}, doi = {10.3390/S21103383}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnLYPKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPYPKHKK21, author = {Kyeeun Kim and Siwoong Park and Chanil Yeo and Hyoung{-}Jun Park and Hyunjin Kim and Young Soon Heo and Hyun Seo Kang and Kyungsoo Kim}, title = {A Sensing Device with the Optical Temperature Sensors-Based Quad-RX Module and a Security Module}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1620}, year = {2021}, url = {https://doi.org/10.3390/s21051620}, doi = {10.3390/S21051620}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimPYPKHKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwakSPK21, author = {Donghoon Kwak and Guk{-}Jin Son and Mi{-}Kyung Park and Young{-}Duk Kim}, title = {Rapid Foreign Object Detection System on Seaweed Using {VNIR} Hyperspectral Imaging}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5279}, year = {2021}, url = {https://doi.org/10.3390/s21165279}, doi = {10.3390/S21165279}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KwakSPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/WooDWPLJEOUA21, author = {Myung Kyun Woo and Lance DelaBarre and Matt Waks and Young Woo Park and Russell Luke Lagore and Steve Jungst and Yigitcan Eryaman and Se{-}Hong Oh and K{\^{a}}mil Ugurbil and Gregor Adriany}, title = {Evaluation of 8-Channel Radiative Antenna Arrays for Human Head Imaging at 10.5 Tesla}, journal = {Sensors}, volume = {21}, number = {18}, pages = {6000}, year = {2021}, url = {https://doi.org/10.3390/s21186000}, doi = {10.3390/S21186000}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/WooDWPLJEOUA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/LeeLKNPCH21, author = {Haeyun Lee and Kyungsu Lee and Jun Hee Kim and Younghwan Na and Juhum Park and Jihwan P. Choi and Jae Youn Hwang}, title = {Local Similarity Siamese Network for Urban Land Change Detection on Remote Sensing Images}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {4139--4149}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3069242}, doi = {10.1109/JSTARS.2021.3069242}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/LeeLKNPCH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/NaKLPHC21, author = {Younghwan Na and Jun Hee Kim and Kyungsu Lee and Juhum Park and Jae Youn Hwang and Jihwan P. Choi}, title = {Domain Adaptive Transfer Attack-Based Segmentation Networks for Building Extraction From Aerial Images}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {59}, number = {6}, pages = {5171--5182}, year = {2021}, url = {https://doi.org/10.1109/TGRS.2020.3010055}, doi = {10.1109/TGRS.2020.3010055}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/NaKLPHC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ParkKPL21, author = {Kyung Do Park and Dong Gyun Kim and YoungGun Pu and Kang{-}Yoon Lee}, editor = {Herwig Unger and Jinho Kim and U Kang and Chakchai So{-}In and Junping Du and Walid Saad and Young{-}Guk Ha and Christian Wagner and Julien Bourgeois and Chanboon Sathitwiriyawong and Hyuk{-}Yoon Kwon and Carson K. Leung}, title = {10.76 {TOPS/W} {CNN} Algorithm Circuit using Processor-In-Memory with 8T-SRAM}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2021, Jeju Island, South Korea, January 17-20, 2021}, pages = {330--333}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/BigComp51126.2021.00071}, doi = {10.1109/BIGCOMP51126.2021.00071}, timestamp = {Thu, 15 Jul 2021 15:42:38 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/ParkKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/ParkKSB21, author = {Kyung Ho Park and Yunhwan Kwon and Youngin Song and Seongyun Byeon}, title = {Visual Representation Learning for Automating Car Part Recognition in a Large-scale Car Sharing Platform}, booktitle = {17th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2021, Lyon, France, August 23-27, 2021}, pages = {1104--1110}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/CASE49439.2021.9551511}, doi = {10.1109/CASE49439.2021.9551511}, timestamp = {Fri, 08 Oct 2021 19:47:37 +0200}, biburl = {https://dblp.org/rec/conf/case/ParkKSB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeKKHP21, author = {Kyung{-}Ryong Lee and Beom Kim and Junyoung Kim and Hwajung Hong and Young{-}Woo Park}, editor = {Yoshifumi Kitamura and Aaron Quigley and Katherine Isbister and Takeo Igarashi and Pernille Bj{\o}rn and Steven Mark Drucker}, title = {{ADIO:} An Interactive Artifact Physically Representing the Intangible Digital Audiobook Listening Experience in Everyday Living Spaces}, booktitle = {{CHI} '21: {CHI} Conference on Human Factors in Computing Systems, Virtual Event / Yokohama, Japan, May 8-13, 2021}, pages = {164:1--164:12}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3411764.3445440}, doi = {10.1145/3411764.3445440}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeKKHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimKLLKJ0KKSLJL21, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {3405--3424}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.274}, doi = {10.18653/V1/2021.EMNLP-MAIN.274}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/KimKLLKJ0KKSLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaart/NaPS21, author = {Young Yun Na and Junekyu Park and Kyung{-}Ah Sohn}, editor = {Ana Paula Rocha and Luc Steels and H. Jaap van den Herik}, title = {Is Your Chatbot Perplexing?: Confident Personalized Conversational Agent for Consistent Chit-Chat Dialogue}, booktitle = {Proceedings of the 13th International Conference on Agents and Artificial Intelligence, {ICAART} 2021, Volume 2, Online Streaming, February 4-6, 2021}, pages = {1226--1232}, publisher = {{SCITEPRESS}}, year = {2021}, url = {https://doi.org/10.5220/0010385312261232}, doi = {10.5220/0010385312261232}, timestamp = {Tue, 06 Jun 2023 14:58:00 +0200}, biburl = {https://dblp.org/rec/conf/icaart/NaPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeePKKC21, author = {Kyung{-}Hee Lee and Jaebok Park and Seon{-}Tae Kim and Ji{-}Young Kwak and Chang{-}Sik Cho}, title = {Design of NNEF-PyTorch Neural Network Model Converter}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1710--1712}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621003}, doi = {10.1109/ICTC52510.2021.9621003}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeePKKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkLKWJ21, author = {Sun Woo Park and Hyunju Lee and Byung Chun Kim and Youngho Woo and Kyungtaek Jun}, title = {Circuit depth reduction algorithm for {QUBO} and Ising models in gate-model quantum computers}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1357--1362}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621203}, doi = {10.1109/ICTC52510.2021.9621203}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ParkLKWJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkLKWJ21a, author = {Sun Woo Park and Hyunju Lee and Byung Chun Kim and Youngho Woo and Kyungtaek Jun}, title = {On the application of matrix congruence to {QUBO} formulations for systems of linear equations}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1363--1367}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620851}, doi = {10.1109/ICTC52510.2021.9620851}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ParkLKWJ21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LeeP21, author = {Eun{-}Young Lee and Kyung{-}Ae Park}, title = {Validation Satellite Sea Surface Temperature in the Coastal Regions}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2021, Brussels, Belgium, July 11-16, 2021}, pages = {7607--7610}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IGARSS47720.2021.9553695}, doi = {10.1109/IGARSS47720.2021.9553695}, timestamp = {Tue, 19 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LeeP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCYKLBKKKCCPJ21, author = {Kyunghoon Kim and Joo{-}Hyung Chae and Jaehyeok Yang and Jihyo Kang and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Youngtaek Kim and Boram Kim and Dong{-}Hyun Kim and Yeongmuk Cho and Kangmoo Choi and Hyeongyeol Park and Junghwan Ji and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Kyubong Kong and Sunho Kim and Sangkwon Lee and Junhyun Chun and Hyungsoo Kim and Seon{-}Yong Cha}, title = {A 24Gb/s/pin 8Gb {GDDR6} with a Half-Rate Daisy-Chain-Based Clocking Architecture and {IO} Circuitry for Low-Noise Operation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {344--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365844}, doi = {10.1109/ISSCC42613.2021.9365844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCYKLBKKKCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/JungPJKKKK21, author = {Seungjae Jung and Young{-}Jin Park and Jisu Jeong and Kyung{-}Min Kim and Hiun Kim and Minkyu Kim and Hanock Kwak}, editor = {Humberto Jes{\'{u}}s Corona Pamp{\'{\i}}n and Martha A. Larson and Martijn C. Willemsen and Joseph A. Konstan and Julian J. McAuley and Jean Garcia{-}Gathright and Bouke Huurnink and Even Oldridge}, title = {Global-Local Item Embedding for Temporal Set Prediction}, booktitle = {RecSys '21: Fifteenth {ACM} Conference on Recommender Systems, Amsterdam, The Netherlands, 27 September 2021 - 1 October 2021}, pages = {674--679}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460231.3478844}, doi = {10.1145/3460231.3478844}, timestamp = {Mon, 20 Sep 2021 17:35:14 +0200}, biburl = {https://dblp.org/rec/conf/recsys/JungPJKKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/KimBKP21, author = {Youn Sung Kim and Kyung Mi Bae and Seo Young Kim and Min Seo Park}, title = {Technology-driven Service Innovation in University Libraries}, booktitle = {21st {ACIS} International Winter Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} Winter 2021, Ho Chi Minh City, Vietnam, January 28-30, 2021}, pages = {187--192}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPDWinter52325.2021.00048}, doi = {10.1109/SNPDWINTER52325.2021.00048}, timestamp = {Thu, 22 Apr 2021 17:15:35 +0200}, biburl = {https://dblp.org/rec/conf/snpd/KimBKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/LeeKKP21, author = {Kyung{-}Sun Lee and Youn Sung Kim and Seo Young Kim and Min Seo Park}, title = {A Study on the Improvement of User-centered Public Service}, booktitle = {21st {ACIS} International Winter Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} Winter 2021, Ho Chi Minh City, Vietnam, January 28-30, 2021}, pages = {198--202}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPDWinter52325.2021.00050}, doi = {10.1109/SNPDWINTER52325.2021.00050}, timestamp = {Thu, 22 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/snpd/LeeKKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChungKYHPLYLLKL21, author = {Taeyoung Chung and Sooa Kim and Jehyung Yoon and Heeseok Han and Kyung Soo Park and Hokyu Lee and Ho{-}Young Yoon and Sun{-}Kyu Lee and Jongyoon Lim and Yongjin Kwon and Jungbong Lee and Sung{-}Ung Kwak}, title = {A 10A/{\(\mu\)}s Fast Transient {AOT} Voltage Regulator on {DDR5} {DIMM} with Dithered Pseudo-Constant Switching Frequency Achieving -6dB Harmonic Suppression}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492332}, doi = {10.23919/VLSICIRCUITS52068.2021.9492332}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChungKYHPLYLLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/ChoiPPK21, author = {Young Ah Choi and Kyung Ho Park and Eunji Park and Huy Kang Kim}, editor = {Hyoungshick Kim}, title = {Unsupervised Driver Behavior Profiling Leveraging Recurrent Neural Networks}, booktitle = {Information Security Applications - 22nd International Conference, {WISA} 2021, Jeju Island, South Korea, August 11-13, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13009}, pages = {28--38}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-89432-0\_3}, doi = {10.1007/978-3-030-89432-0\_3}, timestamp = {Fri, 29 Oct 2021 16:42:38 +0200}, biburl = {https://dblp.org/rec/conf/wisa/ChoiPPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-00573, author = {Kyuyong Shin and Hanock Kwak and Kyung{-}Min Kim and Minkyu Kim and Young{-}Jin Park and Jisu Jeong and Seungjae Jung}, title = {One4all User Representation for Recommender Systems in E-commerce}, journal = {CoRR}, volume = {abs/2106.00573}, year = {2021}, url = {https://arxiv.org/abs/2106.00573}, eprinttype = {arXiv}, eprint = {2106.00573}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-00573.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2108-05079, author = {Young Ah Choi and Kyung Ho Park and Eunji Park and Huy Kang Kim}, title = {Unsupervised Driver Behavior Profiling leveraging Recurrent Neural Networks}, journal = {CoRR}, volume = {abs/2108.05079}, year = {2021}, url = {https://arxiv.org/abs/2108.05079}, eprinttype = {arXiv}, eprint = {2108.05079}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2108-05079.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-02074, author = {Seungjae Jung and Young{-}Jin Park and Jisu Jeong and Kyung{-}Min Kim and Hiun Kim and Minkyu Kim and Hanock Kwak}, title = {Global-Local Item Embedding for Temporal Set Prediction}, journal = {CoRR}, volume = {abs/2109.02074}, year = {2021}, url = {https://arxiv.org/abs/2109.02074}, eprinttype = {arXiv}, eprint = {2109.02074}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-02074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-04650, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, journal = {CoRR}, volume = {abs/2109.04650}, year = {2021}, url = {https://arxiv.org/abs/2109.04650}, eprinttype = {arXiv}, eprint = {2109.04650}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-04650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01840, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep reinforcement learning for guidewire navigation in coronary artery phantom}, journal = {CoRR}, volume = {abs/2110.01840}, year = {2021}, url = {https://arxiv.org/abs/2110.01840}, eprinttype = {arXiv}, eprint = {2110.01840}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimHJCP20, author = {Changseong Kim and Jun Heo and Kyung{-}Young Jung and Hosung Choo and Yong Bae Park}, title = {Propagation From Geostationary Orbit Satellite to Ground Station Considering Dispersive and Inhomogeneous Atmospheric Environments}, journal = {{IEEE} Access}, volume = {8}, pages = {161542--161550}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3021123}, doi = {10.1109/ACCESS.2020.3021123}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimHJCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJYPP20, author = {Young{-}Jin Kim and Young{-}Kyung Jeong and Dong{-}Gi Youn and Hyun Ho Park and Yong Bae Park}, title = {Design of a Small {NEMP} Simulator for the Immunity Test of Core Electronic Components in {HEMP} Environments}, journal = {{IEEE} Access}, volume = {8}, pages = {217773--217780}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3042262}, doi = {10.1109/ACCESS.2020.3042262}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJYPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKLCP20, author = {Chang{-}Min Kim and Ki{-}Hwan Kim and Young Sil Lee and Kyungyong Chung and Roy C. Park}, title = {Real-Time Streaming Image Based {PP2LFA-CRNN} Model for Facial Sentiment Analysis}, journal = {{IEEE} Access}, volume = {8}, pages = {199586--199602}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3034319}, doi = {10.1109/ACCESS.2020.3034319}, timestamp = {Thu, 31 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKLCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkJ20a, author = {Seungyong Park and Kyung{-}Young Jung}, title = {Design of a Circularly-Polarized {UHF} Antenna for Partial Discharge Detection}, journal = {{IEEE} Access}, volume = {8}, pages = {81644--81650}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2991158}, doi = {10.1109/ACCESS.2020.2991158}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkJ20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KimSSPAJKSK20, author = {Young Seok Kim and Myeong Won Son and Hanchan Song and Juseong Park and Jangho An and Jae Bum Jeon and Geun Young Kim and Seoil Son and Kyung Min Kim}, title = {Stateful In-Memory Logic System and Its Practical Implementation in a TaO x -Based Bipolar-Type Memristive Crossbar Array}, journal = {Adv. Intell. Syst.}, volume = {2}, number = {3}, pages = {1900156}, year = {2020}, url = {https://doi.org/10.1002/aisy.201900156}, doi = {10.1002/AISY.201900156}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/KimSSPAJKSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/SongASKPJKK20, author = {Hanchan Song and Jangho An and Seoil Son and Young Seok Kim and Juseong Park and Jae Bum Jeon and Geunyoung Kim and Kyung Min Kim}, title = {Parallel Operation of Self-Limited Analog Programming for Fast Array-Level Weight Programming and Update}, journal = {Adv. Intell. Syst.}, volume = {2}, number = {7}, pages = {2000014}, year = {2020}, url = {https://doi.org/10.1002/aisy.202000014}, doi = {10.1002/AISY.202000014}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/SongASKPJKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HaselimashhadiM20, author = {Hamed Haselimashhadi and Jeremy C. Mason and Violeta Mu{\~{n}}oz{-}Fuentes and Federico L{\'{o}}pez{-}G{\'{o}}mez and Kolawole O. Babalola and Elif F. Acar and Vivek Kumar and Jacqui White and Ann M. Flenniken and Ruairidh King and Ewan Straiton and John Richard Seavitt and Angelina Gaspero and Arturo Garza and Audrey E. Christianson and Chih{-}Wei Hsu and Corey L. Reynolds and Denise G. Lanza and Isabel Lorenzo and Jennie R. Green and Juan J. Gallegos and Ritu Bohat and Rodney C. Samaco and Surabi Veeraragavan and Jong Kyoung Kim and Gregor Miller and Helmut Fuchs and Lillian Garrett and Lore Becker and Yeon Kyung Kang and David Clary and Soo Young Cho and Masaru Tamura and Nobuhiko Tanaka and Kyung Dong Soo and Alexandr Bezginov and Ghina Bou About and Marie{-}France Champy and Laurent Vasseur and Sophie Leblanc and Hamid Meziane and Mohammed Selloum and Patrick T. Reilly and Nadine Spielmann and Holger Maier and Val{\'{e}}rie Gailus{-}Durner and Tania Sorg and Hiroshi Masuya and Yuichi Obata and Jason D. Heaney and Mary E. Dickinson and Wolfgang Wurst and Glauco P. Tocchini{-}Valentini and Kevin C. Kent Lloyd and Colin McKerlie and Je Kyung Seong and Yann Herault and Martin Hrab{\'{e}} de Angelis and Steve D. M. Brown and Damian Smedley and Paul Flicek and Ann{-}Marie Mallon and Helen E. Parkinson and Terrence F. Meehan}, title = {Soft windowing application to improve analysis of high-throughput phenotyping data}, journal = {Bioinform.}, volume = {36}, number = {5}, pages = {1492--1500}, year = {2020}, url = {https://doi.org/10.1093/bioinformatics/btz744}, doi = {10.1093/BIOINFORMATICS/BTZ744}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/HaselimashhadiM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/creativity/NohJKP20, author = {Boram Noh and Sangsu Jang and Kyungjin Kim and Young Woo Park}, title = {Bringing the colour senses of personal photos to everyday living environment: the design and deployment of a tangible interactive lighting artifact}, journal = {Digit. Creativity}, volume = {31}, number = {2}, pages = {114--132}, year = {2020}, url = {https://doi.org/10.1080/14626268.2020.1764978}, doi = {10.1080/14626268.2020.1764978}, timestamp = {Wed, 12 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/creativity/NohJKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/HanLP20, author = {Kyunghee Han and Young K. Lee and Byeong U. Park}, title = {Smooth backfitting for errors-in-variables varying coefficient regression models}, journal = {Comput. Stat. Data Anal.}, volume = {145}, pages = {106909}, year = {2020}, url = {https://doi.org/10.1016/j.csda.2019.106909}, doi = {10.1016/J.CSDA.2019.106909}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/HanLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hf/LeeKKCCHPKL20, author = {Songil Lee and Gyouhyung Kyung and Minjoong Kim and Donghee Choi and Hyeeun Choi and Kitae Hwang and Seonghyeok Park and Su Young Kim and Seungbae Lee}, title = {Shaping Rollable Display Devices: Effects of Gripping Condition, Device Thickness, and Hand Length on Bimanual Perceived Grip Comfort}, journal = {Hum. Factors}, volume = {62}, number = {5}, year = {2020}, url = {https://doi.org/10.1177/0018720819855225}, doi = {10.1177/0018720819855225}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hf/LeeKKCCHPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimPK20, author = {Young{-}Hyun Kim and Dae{-}Kil Park and Kyung{-}Heon Koo}, title = {Design of Multiple-Receiving {WPT} System Using Ferrite-Embedded {LTCC}}, journal = {{IEICE} Trans. Commun.}, volume = {103-B}, number = {3}, pages = {247--252}, year = {2020}, url = {http://search.ieice.org/bin/summary.php?id=e103-b\_3\_247}, doi = {10.1587/TRANSCOM.2019EBP3008}, timestamp = {Mon, 06 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieicet/KimPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/JungKPLA20, author = {Woo{-}Kyun Jung and Hyungjung Kim and Young{-}Chul Park and Jae{-}Won Lee and Sung{-}Hoon Ahn}, title = {Smart sewing work measurement system using IoT-based power monitoring device and approximation algorithm}, journal = {Int. J. Prod. Res.}, volume = {58}, number = {20}, pages = {6202--6216}, year = {2020}, url = {https://doi.org/10.1080/00207543.2019.1671629}, doi = {10.1080/00207543.2019.1671629}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/JungKPLA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/ParkKLHO20, author = {Joon{-}Young Park and Seok{-}Tae Kim and Jae{-}Kyung Lee and Ji{-}Wan Ham and Ki{-}Yong Oh}, title = {Method of operating a GIS-based autopilot drone to inspect ultrahigh voltage power lines and its field tests}, journal = {J. Field Robotics}, volume = {37}, number = {3}, pages = {345--361}, year = {2020}, url = {https://doi.org/10.1002/rob.21916}, doi = {10.1002/ROB.21916}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/ParkKLHO20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jid/LeeCCHKKLLLOPPP20, author = {Hyun{-}Kyung Lee and Sue{-}Yeon Chae and Seung{-}Yeon Choi and Dong{-}Hwan Hong and Sang{-}Gu Kang and Gyomin Koo and Seo{-}Hyeon Lee and Sun{-}Woo Lee and Young{-}Seo Lee and Myung{-}Woo Oh and Geena Park and Ji{-}Hyun Park and Sangha Park}, title = {Design Thinking with Appropriate Technology for Improving Social Sustainability: Critical and Comprehensive Criteria}, journal = {J. Integr. Des. Process. Sci.}, volume = {24}, number = {2}, pages = {29--51}, year = {2020}, url = {https://doi.org/10.3233/JID200012}, doi = {10.3233/JID200012}, timestamp = {Thu, 24 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jid/LeeCCHKKLLLOPPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaLPKOSBPLLLMHP20, author = {Kyung{-}Soo Ha and Seungseob Lee and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Tae{-}Young Oh and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Hyong{-}Ryol Hwang and Dukha Park and Young{-}Hwa Kim and Young Hoon Son and Byongwook Na}, title = {A 7.5 Gb/s/pin 8-Gb {LPDDR5} {SDRAM} With Various High-Speed and Low-Power Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {1}, pages = {157--166}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2019.2938396}, doi = {10.1109/JSSC.2019.2938396}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaLPKOSBPLLLMHP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeP20, author = {Eun{-}Young Lee and Kyung{-}Ae Park}, title = {Validation of Satellite Sea Surface Temperatures and Long-Term Trends in Korean Coastal Regions over Past Decades {(1982-2018)}}, journal = {Remote. Sens.}, volume = {12}, number = {22}, pages = {3742}, year = {2020}, url = {https://doi.org/10.3390/rs12223742}, doi = {10.3390/RS12223742}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungPPK20, author = {Kyungmi Chung and Jin Young Park and Kiwan Park and Yaeri Kim}, title = {Which Visual Modality Is Important When Judging the Naturalness of the Agent (Artificial Versus Human Intelligence) Providing Recommendations in the Symbolic Consumption Context?}, journal = {Sensors}, volume = {20}, number = {17}, pages = {5016}, year = {2020}, url = {https://doi.org/10.3390/s20175016}, doi = {10.3390/S20175016}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChungPPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JoPP20, author = {Younggeun Jo and Juhwan Park and Je{-}Kyun Park}, title = {Colorimetric Detection of Escherichia coli {O157:} {H7} with Signal Enhancement Using Size-Based Filtration on a Finger-Powered Microfluidic Device}, journal = {Sensors}, volume = {20}, number = {8}, pages = {2267}, year = {2020}, url = {https://doi.org/10.3390/s20082267}, doi = {10.3390/S20082267}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JoPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLPYSHKYY20, author = {Do Hun Kim and Yang Soo Lee and Won Kyu Park and Jin Sun Yoo and Changup Shim and Young Joon Hong and Bong Kyun Kang and Dae Ho Yoon and Woo Seok Yang}, title = {Flexible Graphite/PPG Hybrid Composite-Based Resistive Sensor for Sensing Organic Compounds}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2651}, year = {2020}, url = {https://doi.org/10.3390/s20092651}, doi = {10.3390/S20092651}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLPYSHKYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJKK20, author = {Sang{-}Jin Park and Young{-}Sang Joo and Hoe{-}Woong Kim and Sung{-}Kyun Kim}, title = {Selective Generation of Lamb Wave Modes in a Finite-Width Plate by Angle-Beam Excitation Method}, journal = {Sensors}, volume = {20}, number = {14}, pages = {3868}, year = {2020}, url = {https://doi.org/10.3390/s20143868}, doi = {10.3390/S20143868}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/JoLPLSLKCYL20, author = {Hyun{-}Woo Jo and Sujong Lee and Eunbeen Park and Chul{-}Hee Lim and Cholho Song and Halim Lee and Youngjin Ko and Sungeun Cha and Hoonjoo Yoon and Woo{-}Kyun Lee}, title = {Deep Learning Applications on Multitemporal {SAR} (Sentinel-1) Image Classification Using Confined Labeled Data: The Case of Detecting Rice Paddy in South Korea}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {58}, number = {11}, pages = {7589--7601}, year = {2020}, url = {https://doi.org/10.1109/TGRS.2020.2981671}, doi = {10.1109/TGRS.2020.2981671}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/JoLPLSLKCYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/JooPPLKLELLKK20, author = {Kyung{-}Il Joo and Min{-}Kyu Park and Heewon Park and Tae{-}Hyun Lee and Ki{-}Chul Kwon and Young{-}Tae Lim and Munkh{-}Uchral Erdenebat and Hyun Lee and Gwangsoon Lee and Nam Kim and Hak{-}Rin Kim}, title = {Light-Field Camera for Fast Switching of Time-Sequential Two-Dimensional and Three-Dimensional Image Capturing at Video Rate}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {67}, number = {8}, pages = {6975--6985}, year = {2020}, url = {https://doi.org/10.1109/TIE.2019.2935992}, doi = {10.1109/TIE.2019.2935992}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/JooPPLKLELLKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/WuGAHBBRKNXLFXT20, author = {Dufan Wu and Kuang Gong and Chiara Daniela Arru and Fatemeh Homayounieh and Bernardo Bizzo and Varun Buch and Hui Ren and Kyung Sang Kim and Nir Neumark and Pengcheng Xu and Zhiyuan Liu and Wei Fang and Nuobei Xie and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Alessandro Carriero and Luca Saba and Mahsa Masjedi and Hamidreza Talari and Rosa Babaei and Hadi Karimi Mobin and Shadi Ebrahimian and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Severity and Consolidation Quantification of {COVID-19} From {CT} Images Using Deep Learning Based on Hybrid Weak Labels}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {24}, number = {12}, pages = {3529--3538}, year = {2020}, url = {https://doi.org/10.1109/JBHI.2020.3030224}, doi = {10.1109/JBHI.2020.3030224}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/WuGAHBBRKNXLFXT20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/WuPPSHZJFKKWPGL20, author = {Nan Wu and Jason Phang and Jungkyu Park and Yiqiu Shen and Zhe Huang and Masha Zorin and Stanislaw Jastrzebski and Thibault F{\'{e}}vry and Joe Katsnelson and Eric Kim and Stacey Wolfson and Ujas Parikh and Sushma Gaddam and Leng Leng Young Lin and Kara Ho and Joshua D. Weinstein and Beatriu Reig and Yiming Gao and Hildegard Toth and Kristine Pysarenko and Alana Lewin and Jiyon Lee and Krystal Airola and Eralda Mema and Stephanie Chung and Esther Hwang and Naziya Samreen and Sungheon Gene Kim and Laura Heacock and Linda Moy and Kyunghyun Cho and Krzysztof J. Geras}, title = {Deep Neural Networks Improve Radiologists' Performance in Breast Cancer Screening}, journal = {{IEEE} Trans. Medical Imaging}, volume = {39}, number = {4}, pages = {1184--1194}, year = {2020}, url = {https://doi.org/10.1109/TMI.2019.2945514}, doi = {10.1109/TMI.2019.2945514}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/WuPPSHZJFKKWPGL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/LeeJDGLP20, author = {Kyung{-}Ryong Lee and Somi Ju and Temirlan Dzhoroev and Geon{-}il Goh and Moon{-}Hwan Lee and Young{-}Woo Park}, editor = {Ron Wakkary and Kristina Andersen and Will Odom and Audrey Desjardins and Marianne Graves Petersen}, title = {DayClo: An Everyday Table Clock Providing Interaction with Personal Schedule Data for Self-reflection}, booktitle = {{DIS} '20: Designing Interactive Systems Conference 2020, Eindhoven, The Netherlands, July 6-10, 2020}, pages = {1793--1806}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3357236.3395439}, doi = {10.1145/3357236.3395439}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/LeeJDGLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/KoKPWH20, author = {Kyungchan Ko and Changhoon Kang and Youngbok Park and Jongsoo Woo and James Won{-}Ki Hong}, title = {Towards Blockchain-based Stainless Steel Tracking}, booktitle = {21st Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2020, Daegu, South Korea, September 22-25, 2020}, pages = {318--321}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/APNOMS50412.2020.9237041}, doi = {10.23919/APNOMS50412.2020.9237041}, timestamp = {Thu, 29 Oct 2020 12:28:14 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/KoKPWH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedATBCZ20, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yue Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2077--2088}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Abdelhamed\_NTIRE\_2020\_Challenge\_on\_Real\_Image\_Denoising\_Dataset\_Methods\_and\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedATBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkOY20, author = {Kyungchul Park and Chanyoung Oh and Youngmin Yi}, title = {BPNet: Branch-pruned Conditional Neural Network for Systematic Time-accuracy Tradeoff}, booktitle = {57th {ACM/IEEE} Design Automation Conference, {DAC} 2020, San Francisco, CA, USA, July 20-24, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/DAC18072.2020.9218545}, doi = {10.1109/DAC18072.2020.9218545}, timestamp = {Wed, 14 Oct 2020 10:56:17 +0200}, biburl = {https://dblp.org/rec/conf/dac/ParkOY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbinb/JungKKP20, author = {Seungjae Jung and Kyung{-}Min Kim and Hanock Kwak and Young{-}Jin Park}, editor = {Jessica Zosa Forde and Francisco J. R. Ruiz and Melanie F. Pradier and Aaron Schein}, title = {A Worrying Analysis of Probabilistic Time-series Models for Sales Forecasting}, booktitle = {"I Can't Believe It's Not Better!" at NeurIPS Workshops, Virtual, December 12, 2020}, series = {Proceedings of Machine Learning Research}, volume = {137}, pages = {98--105}, publisher = {{PMLR}}, year = {2020}, url = {https://proceedings.mlr.press/v137/jung20a.html}, timestamp = {Thu, 14 Jul 2022 17:31:05 +0200}, biburl = {https://dblp.org/rec/conf/icbinb/JungKKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPLCH20, author = {Jangkyum Kim and Hyunseo Park and Gyeong Ho Lee and Jun Kyun Choi and Youngjoo Heo}, title = {Seal-bid renewable energy certification trading in power system using blockchain technology}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1752--1756}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289395}, doi = {10.1109/ICTC49870.2020.9289395}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimPLCH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkCSNS20, author = {Hyeong{-}Sook Park and Eun{-}Young Choi and Young Seog Song and Song Noh and Kyungsik Seo}, title = {DNN-based Phase Noise Compensation for Sub-THz Communications}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {866--868}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289411}, doi = {10.1109/ICTC49870.2020.9289411}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkCSNS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/GargVGJJHKPKKLM20, author = {Abhinav Garg and Gowtham P. Vadisetti and Dhananjaya Gowda and Sichen Jin and Aditya Jayasimha and Youngho Han and Jiyeon Kim and Junmo Park and Kwangyoun Kim and Sooyeon Kim and Young{-}Yoon Lee and Kyungbo Min and Chanwoo Kim}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Streaming On-Device End-to-End {ASR} System for Privacy-Sensitive Voice-Typing}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {3371--3375}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-3172}, doi = {10.21437/INTERSPEECH.2020-3172}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/GargVGJJHKPKKLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkOHJKKK20, author = {Kibaek Park and Youngtaek Oh and Soomin Ham and Kyungdon Joo and Hyokyoung Kim and Hyoyoung Kum and In So Kweon}, title = {SideGuide: {A} Large-scale Sidewalk Dataset for Guiding Impaired People}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2020, Las Vegas, NV, USA, October 24, 2020 - January 24, 2021}, pages = {10022--10029}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IROS45743.2020.9340734}, doi = {10.1109/IROS45743.2020.9340734}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ParkOHJKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonLLHKSLCKLKP20, author = {Minho Kwon and Seunghyun Lim and Hyeokjong Lee and Il{-}Seon Ha and Moo{-}Young Kim and Il{-}Jin Seo and Suho Lee and Yongsuk Choi and Kyunghoon Kim and Hansoo Lee and Won{-}Woong Kim and Seonghye Park and Kyongmin Koh and Jesuk Lee and Yongin Park}, title = {A Low-Power 65/14nm Stacked {CMOS} Image Sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180435}, doi = {10.1109/ISCAS45731.2020.9180435}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KwonLLHKSLCKLKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sma2/ChoiCPJLS20, author = {Han{-}Suk Choi and Jeong{-}Hyeon Choi and Kyung{-}Woo Park and Seok Won Jung and Han Kyu Lim and Younghak Shin}, title = {Fish Activity State and Fuzzy Inference based an Intelligence Fish Feeding Model}, booktitle = {{SMA} 2020: The 9th International Conference on Smart Media and Applications, Jeju, Republic of Korea, September 17 - 19, 2020}, pages = {405--406}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3426020.3426146}, doi = {10.1145/3426020.3426146}, timestamp = {Tue, 09 Nov 2021 11:47:09 +0100}, biburl = {https://dblp.org/rec/conf/sma2/ChoiCPJLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/ParkKYKL20, author = {Keunwoo Park and Sunbum Kim and Youngwoo Yoon and Tae{-}Kyun Kim and Geehyuk Lee}, editor = {Shamsi T. Iqbal and Karon E. MacLean and Fanny Chevalier and Stefanie Mueller}, title = {DeepFisheye: Near-Surface Multi-Finger Tracking Technology Using Fisheye Camera}, booktitle = {{UIST} '20: The 33rd Annual {ACM} Symposium on User Interface Software and Technology, Virtual Event, USA, October 20-23, 2020}, pages = {1132--1146}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379337.3415818}, doi = {10.1145/3379337.3415818}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/uist/ParkKYKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-00577, author = {Kwangyoun Kim and Kyungmin Lee and Dhananjaya Gowda and Junmo Park and Sungsoo Kim and Sichen Jin and Young{-}Yoon Lee and Jinsu Yeo and Daehyun Kim and Seokyeong Jung and Jungin Lee and Myoungji Han and Chanwoo Kim}, title = {Attention based on-device streaming speech recognition with large speech corpus}, journal = {CoRR}, volume = {abs/2001.00577}, year = {2020}, url = {http://arxiv.org/abs/2001.00577}, eprinttype = {arXiv}, eprint = {2001.00577}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-00577.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-11819, author = {Younghwan Na and Jun Hee Kim and Kyungsu Lee and Juhum Park and Jae Youn Hwang and Jihwan P. Choi}, title = {Domain Adaptive Transfer Attack (DATA)-based Segmentation Networks for Building Extraction from Aerial Images}, journal = {CoRR}, volume = {abs/2004.11819}, year = {2020}, url = {https://arxiv.org/abs/2004.11819}, eprinttype = {arXiv}, eprint = {2004.11819}, timestamp = {Tue, 28 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-11819.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04117, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yun Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2005.04117}, year = {2020}, url = {https://arxiv.org/abs/2005.04117}, eprinttype = {arXiv}, eprint = {2005.04117}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-14897, author = {Young{-}Jin Park and Kyuyong Shin and Kyung{-}Min Kim}, title = {Hop Sampling: {A} Simple Regularized Graph Learning for Non-Stationary Environments}, journal = {CoRR}, volume = {abs/2006.14897}, year = {2020}, url = {https://arxiv.org/abs/2006.14897}, eprinttype = {arXiv}, eprint = {2006.14897}, timestamp = {Thu, 02 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-14897.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-02334, author = {Kyuyong Shin and Young{-}Jin Park and Kyung{-}Min Kim and Sunyoung Kwon}, title = {Multi-Manifold Learning for Large-scale Targeted Advertising System}, journal = {CoRR}, volume = {abs/2007.02334}, year = {2020}, url = {https://arxiv.org/abs/2007.02334}, eprinttype = {arXiv}, eprint = {2007.02334}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-02334.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-12610, author = {Young{-}Gon Kim and Kyung Sang Kim and Dufan Wu and Hui Ren and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep Learning-based Four-region Lung Segmentation in Chest Radiography for {COVID-19} Diagnosis}, journal = {CoRR}, volume = {abs/2009.12610}, year = {2020}, url = {https://arxiv.org/abs/2009.12610}, eprinttype = {arXiv}, eprint = {2009.12610}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-12610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-10715, author = {Seungjae Jung and Kyung{-}Min Kim and Hanock Kwak and Young{-}Jin Park}, title = {A Worrying Analysis of Probabilistic Time-series Models for Sales Forecasting}, journal = {CoRR}, volume = {abs/2011.10715}, year = {2020}, url = {https://arxiv.org/abs/2011.10715}, eprinttype = {arXiv}, eprint = {2011.10715}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-10715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-03663, author = {Aoxiao Zhong and Xiang Li and Dufan Wu and Hui Ren and Kyung Sang Kim and Young{-}Gon Kim and Varun Buch and Nir Neumark and Bernardo Bizzo and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Ning Guo and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep Metric Learning-based Image Retrieval System for Chest Radiograph and its Clinical Applications in {COVID-19}}, journal = {CoRR}, volume = {abs/2012.03663}, year = {2020}, url = {https://arxiv.org/abs/2012.03663}, eprinttype = {arXiv}, eprint = {2012.03663}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-03663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JangMPJKC19, author = {Young Rok Jang and Kyungsik Min and Sangjoon Park and Minchae Jung and Kyeongjun Ko and Sooyong Choi}, title = {Dispersed Signal Transmission and Reception Scheme for Full-Duplex Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {138771--138778}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2941139}, doi = {10.1109/ACCESS.2019.2941139}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JangMPJKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKKPYC19, author = {Juyul Lee and Kyung{-}Won Kim and Myung Don Kim and Jae Joon Park and YoungKeun Yoon and Young Jun Chong}, title = {Measurement-Based Millimeter-Wave Angular and Delay Dispersion Characteristics of Outdoor-to-Indoor Propagation for 5G Millimeter-Wave Systems}, journal = {{IEEE} Access}, volume = {7}, pages = {150492--150504}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2947704}, doi = {10.1109/ACCESS.2019.2947704}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKKPYC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/LeeHCCHLNPC19, author = {Uichin Lee and Kyungsik Han and Hyunsung Cho and Kyong{-}Mee Chung and Hwajung Hong and Sung{-}Ju Lee and Youngtae Noh and Sooyoung Park and John M. Carroll}, title = {Intelligent positive computing with mobile, wearable, and IoT devices: Literature review and research directions}, journal = {Ad Hoc Networks}, volume = {83}, pages = {8--24}, year = {2019}, url = {https://doi.org/10.1016/j.adhoc.2018.08.021}, doi = {10.1016/J.ADHOC.2018.08.021}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/LeeHCCHLNPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/HuhHCPKWCWK19, author = {Jimi Huh and Su Jung Ham and Young Chul Cho and Bumwoo Park and Bohyun Kim and Chul{-}Woong Woo and Yoonseok Choi and Dong{-}Cheol Woo and Kyung Won Kim}, title = {Gadoxetate-enhanced dynamic contrast-enhanced {MRI} for evaluation of liver function and liver fibrosis in preclinical trials}, journal = {{BMC} Medical Imaging}, volume = {19}, number = {1}, pages = {89:1--89:9}, year = {2019}, url = {https://doi.org/10.1186/s12880-019-0378-5}, doi = {10.1186/S12880-019-0378-5}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/HuhHCPKWCWK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimRYNYPYPCCK19, author = {Joo Young Kim and Kyunghan Ro and Sungmin You and Borum Nam and Sunhyun Yook and Hee Seol Park and Jae Chul Yoo and Eunkyoung Park and Kyeongwon Cho and Baek Hwan Cho and In Young Kim}, title = {Development of an automatic muscle atrophy measuring algorithm to calculate the ratio of supraspinatus in supraspinous fossa using deep learning}, journal = {Comput. Methods Programs Biomed.}, volume = {182}, year = {2019}, url = {https://doi.org/10.1016/j.cmpb.2019.105063}, doi = {10.1016/J.CMPB.2019.105063}, timestamp = {Tue, 26 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimRYNYPYPCCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/YooOPRKJ19, author = {Chang Hyun Yoo and Janghoon Oh and Soonchan Park and Chang{-}Woo Ryu and Young Kyun Kwon and Geon{-}Ho Jahng}, title = {Comparative evaluation of the polynomial and spline fitting methods for the {B0} correction of {CEST} {MRI} data acquired from human brains}, journal = {Int. J. Imaging Syst. Technol.}, volume = {29}, number = {3}, pages = {272--282}, year = {2019}, url = {https://doi.org/10.1002/ima.22313}, doi = {10.1002/IMA.22313}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/YooOPRKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/NohPCKR19, author = {Yung{-}Kyun Noh and Ji Young Park and Byoung Geol Choi and Kee{-}Eung Kim and Seung{-}Woon Rha}, title = {A Machine Learning-Based Approach for the Prediction of Acute Coronary Syndrome Requiring Revascularization}, journal = {J. Medical Syst.}, volume = {43}, number = {8}, pages = {253:1--253:8}, year = {2019}, url = {https://doi.org/10.1007/s10916-019-1359-5}, doi = {10.1007/S10916-019-1359-5}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/NohPCKR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HeoKLKPC19, author = {Young Jin Heo and Dayeon Kim and Woongyong Lee and Hyoungkyun Kim and Jonghoon Park and Wan Kyun Chung}, title = {Collision Detection for Industrial Collaborative Robots: {A} Deep Learning Approach}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {4}, number = {2}, pages = {740--746}, year = {2019}, url = {https://doi.org/10.1109/LRA.2019.2893400}, doi = {10.1109/LRA.2019.2893400}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HeoKLKPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeP19, author = {Eun{-}Young Lee and Kyung{-}Ae Park}, title = {Change in the Recent Warming Trend of Sea Surface Temperature in the East Sea (Sea of Japan) over Decades {(1982-2018)}}, journal = {Remote. Sens.}, volume = {11}, number = {22}, pages = {2613}, year = {2019}, url = {https://doi.org/10.3390/rs11222613}, doi = {10.3390/RS11222613}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YimLKKALCPC19, author = {Dae Hyeon Yim and Won Hyuk Lee and Johanna Inhyang Kim and Kangryul Kim and Dong Hyun Ahn and Young{-}Hyo Lim and Seok Hyun Cho and Hyun{-}Kyung Park and Sung Ho Cho}, title = {Quantified Activity Measurement for Medical Use in Movement Disorders through {IR-UWB} Radar Sensor}, journal = {Sensors}, volume = {19}, number = {3}, pages = {688}, year = {2019}, url = {https://doi.org/10.3390/s19030688}, doi = {10.3390/S19030688}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YimLKKALCPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KhanKLHCYLKPK19, author = {Muhammad Umar Karim Khan and Asim Khan and Jinyeon Lim and Said Hamidov and Won{-}Seok Choi and Woojin Yun and Yeongmin Lee and Young{-}Gyu Kim and Hyun Sang Park and Chong{-}Min Kyung}, title = {Offset Aperture: {A} Passive Single-Lens Camera for Depth Sensing}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {29}, number = {5}, pages = {1380--1393}, year = {2019}, url = {https://doi.org/10.1109/TCSVT.2018.2840053}, doi = {10.1109/TCSVT.2018.2840053}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KhanKLHCYLKPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/LimLJKPSS19, author = {Bokman Lim and Jusuk Lee and Junwon Jang and Kyungrock Kim and Young Jin Park and Keehong Seo and Youngbo Shim}, title = {Delayed Output Feedback Control for Gait Assistance With a Robotic Hip Exoskeleton}, journal = {{IEEE} Trans. Robotics}, volume = {35}, number = {4}, pages = {1055--1062}, year = {2019}, url = {https://doi.org/10.1109/TRO.2019.2913318}, doi = {10.1109/TRO.2019.2913318}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/LimLJKPSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimJKKP19, author = {Kyung Jin Kim and Sangsu Jang and Bomin Kim and Hyosun Kwon and Young Woo Park}, editor = {Steve Harrison and Shaowen Bardzell and Carman Neustaedter and Deborah G. Tatar}, title = {muRedder: Shredding Speaker for Ephemeral Musical Experience}, booktitle = {Proceedings of the 2019 on Designing Interactive Systems Conference, {DIS} 2019, San Diego, CA, USA, June 23-28, 2019}, pages = {127--134}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3322276.3322362}, doi = {10.1145/3322276.3322362}, timestamp = {Fri, 17 Nov 2023 08:06:23 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/KimJKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimJKKP19a, author = {Kyung Jin Kim and Sangsu Jang and Bomin Kim and Hyosun Kwon and Young Woo Park}, editor = {Steve Harrison and Shaowen Bardzell and Carman Neustaedter and Deborah G. Tatar}, title = {muRedder: Shredding Speaker for Ephemeral Musical Experience}, booktitle = {Companion Publication of the 2019 on Designing Interactive Systems Conference, {DIS} 2019, San Diego, CA, USA, June 23-28, 2019}, pages = {37--40}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3301019.3325161}, doi = {10.1145/3301019.3325161}, timestamp = {Fri, 17 Nov 2023 08:06:23 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/KimJKKP19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/KimJLHKLGPKJLYK19, author = {Kwangyoun Kim and Seokyeong Jung and Jungin Lee and Myoungji Han and Chanwoo Kim and Kyungmin Lee and Dhananjaya Gowda and Junmo Park and Sungsoo Kim and Sichen Jin and Young{-}Yoon Lee and Jinsu Yeo and Daehyun Kim}, title = {Attention Based On-Device Streaming Speech Recognition with Large Speech Corpus}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2019, Singapore, December 14-18, 2019}, pages = {956--963}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASRU46091.2019.9004027}, doi = {10.1109/ASRU46091.2019.9004027}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asru/KimJLHKLGPKJLYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JuLKP19, author = {Somi Ju and Kyung{-}Ryong Lee and Subin Kim and Young{-}Woo Park}, editor = {Stephen A. Brewster and Geraldine Fitzpatrick and Anna L. Cox and Vassilis Kostakos}, title = {Bookly: An Interactive Everyday Artifact Showing the Time of Physically Accumulated Reading Activity}, booktitle = {Proceedings of the 2019 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2019, Glasgow, Scotland, UK, May 04-09, 2019}, pages = {384}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3290605.3300614}, doi = {10.1145/3290605.3300614}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/JuLKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/codes/ParkY19, author = {Kyungchul Park and Youngmin Yi}, title = {BPNet: Branch-pruned conditional neural network for systematic time-accuracy tradeoff in {DNN} inference: work-in-progress}, booktitle = {Proceedings of the International Conference on Hardware/Software Codesign and System Synthesis Companion, {CODES+ISSS} 2019, part of {ESWEEK} 2019, New York, NY, USA, October 13-18, 2019}, pages = {2:1--2:2}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3349567.3351721}, doi = {10.1145/3349567.3351721}, timestamp = {Wed, 27 Nov 2019 17:07:16 +0100}, biburl = {https://dblp.org/rec/conf/codes/ParkY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/ImRSPJLH19, author = {Youngbin Im and Parisa Rahimzadeh and Brett Shouse and Shinik Park and Carlee Joe{-}Wong and Kyunghan Lee and Sangtae Ha}, editor = {George Candea and Robbert van Renesse and Christof Fetzer}, title = {I Sent It: Where Does Slow Data Go to Wait?}, booktitle = {Proceedings of the Fourteenth EuroSys Conference 2019, Dresden, Germany, March 25-28, 2019}, pages = {22:1--22:15}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3302424.3303961}, doi = {10.1145/3302424.3303961}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/ImRSPJLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icict/EunJHP19, author = {Sung{-}Jong Eun and Eun{-}Young Jung and Hyun Ki Hong and Dong{-}Kyun Park}, editor = {Xin{-}She Yang and R. Simon Sherratt and Nilanjan Dey and Amit Joshi}, title = {Stroke Diagnosis Algorithm Based on Similarity Analysis}, booktitle = {Fourth International Congress on Information and Communication Technology - {ICICT} 2019, London, UK, February 25-26, 2019, Volume 2}, series = {Advances in Intelligent Systems and Computing}, volume = {1027}, pages = {347--352}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-32-9343-4\_27}, doi = {10.1007/978-981-32-9343-4\_27}, timestamp = {Tue, 28 Jan 2020 18:28:18 +0100}, biburl = {https://dblp.org/rec/conf/icict/EunJHP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YooCLPJLK19, author = {Seung{-}mok Yoo and Chang{-}Sik Cho and KyungHee Lee and Jaebok Park and Seok Jin and Young{-}Woon Lee and Byung{-}Gyu Kim}, title = {Structure of Deep Learning Inference Engines for Embedded Systems}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {920--922}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939843}, doi = {10.1109/ICTC46691.2019.8939843}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/YooCLPJLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icvisp/ParkKLHO19, author = {Joon{-}Young Park and Seok{-}Tae Kim and Jae{-}Kyung Lee and Ji{-}Wan Ham and Ki{-}Yong Oh}, title = {Automatic Inspection Drone with Deep Learning-based Auto-tracking Camera Gimbal to Detect Defects in Power Lines}, booktitle = {{ICVISP} 2019: 3rd International Conference on Vision, Image and Signal Processing, Vancouver, BC, Canada, August 26-28, 2019}, pages = {46:1--46:6}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3387168.3387176}, doi = {10.1145/3387168.3387176}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icvisp/ParkKLHO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/LeeKSGPR19, author = {Kyung{-}Min Lee and Dae{-}Hyun Kim and Jae{-}Hyuck Shin and Tae{-}Dong Goh and Jun{-}Hyeong Park and Young{-}Ho Ryu}, title = {Reconfigurable battery system with active balancing circuit}, booktitle = {{IECON} 2019 - 45th Annual Conference of the {IEEE} Industrial Electronics Society, Lisbon, Portugal, October 14-17, 2019}, pages = {4583--4586}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IECON.2019.8927747}, doi = {10.1109/IECON.2019.8927747}, timestamp = {Wed, 01 Jan 2020 14:47:53 +0100}, biburl = {https://dblp.org/rec/conf/iecon/LeeKSGPR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/LeeNLKLCPC19, author = {Won Hyuk Lee and Jae Yoon Na and Hyun Ju Lee and Seung Hyun Kim and Young{-}Hyo Lim and Seok Hyun Cho and Hyun{-}Kyung Park and Sung Ho Cho}, title = {Analysis of Heart Rate Variability Using Impulse Radio Ultra-wideband Radar in Neonatal Intensive Care Unit}, booktitle = {2019 {IEEE} SENSORS, Montreal, QC, Canada, October 27-30, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SENSORS43011.2019.8956949}, doi = {10.1109/SENSORS43011.2019.8956949}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ieeesensors/LeeNLKLCPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ParkKKJLL19, author = {Hyeongmin Park and Taeeun Kim and Gaeul Kim and Wonyoung Jang and Kyungroul Lee and Sun{-}Young Lee}, editor = {Leonard Barolli and Fatos Xhafa and Omar Khadeer Hussain}, title = {Improvement of {QR} Code Access Control System Based on Lamport Hash Chain}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 13th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2019), Sydney, NSW, Australia, 3-5 July 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {994}, pages = {824--833}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-22263-5\_79}, doi = {10.1007/978-3-030-22263-5\_79}, timestamp = {Tue, 25 Jun 2019 20:42:25 +0200}, biburl = {https://dblp.org/rec/conf/imis/ParkKKJLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiLLLLPKKS19, author = {Byungjune Choi and Younbaek Lee and Jongwon Lee and Minhyung Lee and Bokman Lim and Young Jin Park and Kyungrock Kim and Yong{-}Jae Kim and Youngbo Shim}, title = {Development of Adjustable Knee Assist Device for Wearable Robot based on Linkage and Rolling Joint}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {4043--4050}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967826}, doi = {10.1109/IROS40897.2019.8967826}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ChoiLLLLPKKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLMJHCPSPKLPC19, author = {Kyung{-}Soo Ha and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Jin{-}Hun Jang and Hyong{-}Ryol Hwang and Hyung{-}Joon Chi and Junghwan Park and Seungjun Shin and Dukha Park and Sang{-}Yun Kim and Sukhyun Lim and Kiwon Park and YeonKyu Choi and Young{-}Hwa Kim and Younghoon Son and Hyunyoon Cho and Byongwook Na and Hyo{-}Joo Ahn and Seungseob Lee and Seouk{-}Kyu Choi and Youn{-}Sik Park and Seok{-}Hun Hyun and Soobong Chang and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Tae{-}Young Oh and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 7.5Gb/s/pin {LPDDR5} {SDRAM} With {WCK} Clocking and Non-Target {ODT} for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {378--380}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662509}, doi = {10.1109/ISSCC.2019.8662509}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaLLMJHCPSPKLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/KimKKPSCKKSH19, author = {Kyung{-}Min Kim and Dong{-}Hyun Kwak and Hanock Kwak and Young{-}Jin Park and Sangkwon Sim and Jae{-}Han Cho and Minkyu Kim and Jihun Kwon and Nako Sung and Jung{-}Woo Ha}, editor = {Marko Tkalcic and Sole Pera}, title = {Tripartite Heterogeneous Graph Propagation for Large-scale Social Recommendation}, booktitle = {Proceedings of {ACM} RecSys 2019 Late-Breaking Results co-located with the 13th {ACM} Conference on Recommender Systems, RecSys 2019 Late-Breaking Results, Copenhagen, Denmark, September 16-20, 2019}, series = {{CEUR} Workshop Proceedings}, volume = {2431}, pages = {56--60}, publisher = {CEUR-WS.org}, year = {2019}, url = {https://ceur-ws.org/Vol-2431/paper12.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:14 +0100}, biburl = {https://dblp.org/rec/conf/recsys/KimKKPSCKKSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sc/KarlinPSWSBBCCC19, author = {Ian Karlin and Yoonho Park and Bronis R. de Supinski and Peng Wang and Bert Still and David Beckingsale and Robert Blake and Tong Chen and Guojing Cong and Carlos H. A. Costa and Johann Dahm and Giacomo Domeniconi and Thomas Epperly and Aaron Fisher and Sara Kokkila Schumacher and Steven H. Langer and Hai Le and Eun Kyung Lee and Naoya Maruyama and Xinyu Que and David F. Richards and Bj{\"{o}}rn Sj{\"{o}}green and Jonathan Wong and Carol S. Woodward and Ulrike Meier Yang and Xiaohua Zhang and Bob Anderson and David Appelhans and Levi Barnes and Peter D. Barnes Jr. and Sorin Bastea and David B{\"{o}}hme and Jamie A. Bramwell and James M. Brase and Jos{\'{e}} R. Brunheroto and Barry Chen and Charway R. Cooper and Tony Degroot and Robert D. Falgout and Todd Gamblin and David J. Gardner and James N. Glosli and John A. Gunnels and Max P. Katz and Tzanio V. Kolev and I{-}Feng W. Kuo and Matthew P. LeGendre and Ruipeng Li and Pei{-}Hung Lin and Shelby Lockhart and Kathleen McCandless and Claudia Misale and Jaime H. Moreno and Rob Neely and Jarom Nelson and Rao Nimmakayala and Kathryn M. O'Brien and Kevin O'Brien and Ramesh Pankajakshan and Roger Pearce and Slaven Peles and Phil Regier and Steven C. Rennich and Martin Schulz and Howard Scott and James C. Sexton and Kathleen Shoga and Shiv Sundram and Guillaume Thomas{-}Collignon and Brian Van Essen and Alexey Voronin and Bob Walkup and Lu Wang and Chris Ward and Hui{-}Fang Wen and Daniel A. White and Christopher Young and Cyril Zeller and Edward Zywicz}, editor = {Michela Taufer and Pavan Balaji and Antonio J. Pe{\~{n}}a}, title = {Preparation and optimization of a diverse workload for a large-scale heterogeneous system}, booktitle = {Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis, {SC} 2019, Denver, Colorado, USA, November 17-19, 2019}, pages = {32:1--32:17}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3295500.3356192}, doi = {10.1145/3295500.3356192}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sc/KarlinPSWSBBCCC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/KimLKL19, author = {Taeyoung Kim and SeungSeob Lee and Chang Kyung Kim and SuKyoung Lee}, editor = {Raghu K. Ganti and Xiaofan Fred Jiang and Gian Pietro Picco and Xia Zhou}, title = {Caching scheme for internet of vehicles using parked vehicles: poster abstract}, booktitle = {Proceedings of the 17th Conference on Embedded Networked Sensor Systems, SenSys 2019, New York, NY, USA, November 10-13, 2019}, pages = {414--415}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3356250.3361965}, doi = {10.1145/3356250.3361965}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sensys/KimLKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HeoKLLMKBYYKKPP19, author = {Jin{-}Seok Heo and Kihan Kim and Dong{-}Hoon Lee and Chang{-}Kyo Lee and Daesik Moon and Kiho Kim and Jin{-}Hyeok Baek and Sung{-}Woo Yoon and Hui{-}Kap Yang and Kyungryun Kim and Youngjae Kim and Bokgue Park and Su{-}Jin Park and Joung{-}Wook Moon and Jae{-}Hyung Lee and Yun{-}Sik Park and Soobong Jang and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {A 5Gb/s/pin 16Gb {LPDDR4/4X} Reconfigurable {SDRAM} with Voltage-High Keeper and a Prediction-based Fast-tracking {ZQ} Calibration}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {114}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778102}, doi = {10.23919/VLSIC.2019.8778102}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HeoKLLMKBYYKKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-08297, author = {Nan Wu and Jason Phang and Jungkyu Park and Yiqiu Shen and Zhe Huang and Masha Zorin and Stanislaw Jastrzebski and Thibault F{\'{e}}vry and Joe Katsnelson and Eric Kim and Stacey Wolfson and Ujas Parikh and Sushma Gaddam and Leng Leng Young Lin and Kara Ho and Joshua D. Weinstein and Beatriu Reig and Yiming Gao and Hildegard Toth and Kristine Pysarenko and Alana Lewin and Jiyon Lee and Krystal Airola and Eralda Mema and Stephanie Chung and Esther Hwang and Naziya Samreen and Sungheon Gene Kim and Laura Heacock and Linda Moy and Kyunghyun Cho and Krzysztof J. Geras}, title = {Deep Neural Networks Improve Radiologists' Performance in Breast Cancer Screening}, journal = {CoRR}, volume = {abs/1903.08297}, year = {2019}, url = {http://arxiv.org/abs/1903.08297}, eprinttype = {arXiv}, eprint = {1903.08297}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-08297.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-02569, author = {Kyung{-}Min Kim and Dong{-}Hyun Kwak and Hanock Kwak and Young{-}Jin Park and Sangkwon Sim and Jae{-}Han Cho and Minkyu Kim and Jihun Kwon and Nako Sung and Jung{-}Woo Ha}, title = {Tripartite Heterogeneous Graph Propagation for Large-scale Social Recommendation}, journal = {CoRR}, volume = {abs/1908.02569}, year = {2019}, url = {http://arxiv.org/abs/1908.02569}, eprinttype = {arXiv}, eprint = {1908.02569}, timestamp = {Wed, 09 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-02569.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-00649, author = {Jungwoo Pyo and Joohyun Lee and Youngjune Park and Tien{-}Cuong Bui and Sang{-}Kyun Cha}, title = {An Attention-Based Speaker Naming Method for Online Adaptation in Non-Fixed Scenarios}, journal = {CoRR}, volume = {abs/1912.00649}, year = {2019}, url = {http://arxiv.org/abs/1912.00649}, eprinttype = {arXiv}, eprint = {1912.00649}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-00649.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwonYCEP18, author = {Young{-}Min Kwon and Jaemin Yu and Byeong{-}Moon Cho and Yongsoon Eun and Kyung{-}Joon Park}, title = {Empirical Analysis of MAVLink Protocol Vulnerability for Attacking Unmanned Aerial Vehicles}, journal = {{IEEE} Access}, volume = {6}, pages = {43203--43212}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2863237}, doi = {10.1109/ACCESS.2018.2863237}, timestamp = {Fri, 14 Sep 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KwonYCEP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SonSKHCJCP18, author = {Dong{-}Chan Son and Hokeun Shin and Yoon Jae Kim and Ic{-}Pyo Hong and Heoung{-}Jae Chun and Kyung{-}Young Jung and Hosung Choo and Yong Bae Park}, title = {Design of a Hemispherical Reconfigurable Frequency Selective Surface Using Water Channels}, journal = {{IEEE} Access}, volume = {6}, pages = {61445--61451}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2873580}, doi = {10.1109/ACCESS.2018.2873580}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SonSKHCJCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/ShinLKP18, author = {Dong{-}Kyun Shin and Jin{-}Young Lee and Gi{-}Eun Kim and Jongwoon Park}, title = {Simulation and analysis on visibility enhancement for laser beam projected on display panels using black matrix with scattering particles}, journal = {Displays}, volume = {54}, pages = {28--33}, year = {2018}, url = {https://doi.org/10.1016/j.displa.2018.09.003}, doi = {10.1016/J.DISPLA.2018.09.003}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/ShinLKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/SongRWPK18, author = {Seulki Song and Young{-}Su Ryu and Jungwook Wee and Kyung Won Park and Ki{-}Won Kwon}, title = {Design and Implementation of 8K {UHD} Encapsulation Method for Efficient Transmission and Reception based on {MMT}}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {12}, number = {2}, pages = {860--872}, year = {2018}, url = {https://doi.org/10.3837/tiis.2018.02.019}, doi = {10.3837/TIIS.2018.02.019}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/SongRWPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/KimPKPCSSKT18, author = {Min Suk Kim and Markondeya Raj Pulugurtha and Youngwoo Kim and Gapyeol Park and Kyungjun Cho and Vanessa Smet and Venky Sundaram and Joungho Kim and Rao R. Tummala}, title = {Miniaturized and high-performance {RF} packages with ultra-thin glass substrates}, journal = {Microelectron. J.}, volume = {77}, pages = {66--72}, year = {2018}, url = {https://doi.org/10.1016/j.mejo.2018.05.002}, doi = {10.1016/J.MEJO.2018.05.002}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mj/KimPKPCSSKT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WooPLL18, author = {Hye{-}Jin Woo and Kyung{-}Ae Park and Xiaofeng Li and Eun{-}Young Lee}, title = {Sea Surface Temperature Retrieval from the First Korean Geostationary Satellite {COMS} Data: Validation and Error Assessment}, journal = {Remote. Sens.}, volume = {10}, number = {12}, pages = {1916}, year = {2018}, url = {https://doi.org/10.3390/rs10121916}, doi = {10.3390/RS10121916}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/WooPLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ParkSR18, author = {Youngho Park and Chul Sur and Kyung{-}Hyune Rhee}, title = {A Secure Incentive Scheme for Vehicular Delay Tolerant Networks Using Cryptocurrency}, journal = {Secur. Commun. Networks}, volume = {2018}, pages = {5932183:1--5932183:13}, year = {2018}, url = {https://doi.org/10.1155/2018/5932183}, doi = {10.1155/2018/5932183}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ParkSR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ParkYL18, author = {Won Park and Youngin You and Kyungho Lee}, title = {Detecting Potential Insider Threat: Analyzing Insiders' Sentiment Exposed in Social Media}, journal = {Secur. Commun. Networks}, volume = {2018}, pages = {7243296:1--7243296:8}, year = {2018}, url = {https://doi.org/10.1155/2018/7243296}, doi = {10.1155/2018/7243296}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ParkYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AbbasLBIHPLKKCK18, author = {Naseem Abbas and Xun Lu and Mohsin Ali Badshah and Jung Bin In and Won Il Heo and Kui Young Park and Mi{-}Kyung Lee and Cho Hee Kim and Pilwon Kang and Woo{-}Jin Chang and Seok{-}Min Kim and Seong Jun Seo}, title = {Development of a Protein Microarray Chip with Enhanced Fluorescence for Identification of Semen and Vaginal Fluid}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3874}, year = {2018}, url = {https://doi.org/10.3390/s18113874}, doi = {10.3390/S18113874}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AbbasLBIHPLKKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkSNR18, author = {Youngho Park and Chul Sur and Si{-}Wan Noh and Kyung{-}Hyune Rhee}, title = {Self-Controllable Secure Location Sharing for Trajectory-Based Message Delivery on Cloud-Assisted VANETs}, journal = {Sensors}, volume = {18}, number = {7}, pages = {2112}, year = {2018}, url = {https://doi.org/10.3390/s18072112}, doi = {10.3390/S18072112}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkSNR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkYKRS18, author = {Ji{-}Sun Park and Taek{-}Young Youn and Hye{-}Bin Kim and Kyung{-}Hyune Rhee and Sang{-}Uk Shin}, title = {Smart Contract-Based Review System for an IoT Data Marketplace}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3577}, year = {2018}, url = {https://doi.org/10.3390/s18103577}, doi = {10.3390/S18103577}, timestamp = {Sat, 01 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkYKRS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YuLLPP18, author = {SungJin Yu and JoonYoung Lee and KyungKeun Lee and KiSung Park and YoungHo Park}, title = {Secure Authentication Protocol for Wireless Sensor Networks in Vehicular Communications}, journal = {Sensors}, volume = {18}, number = {10}, pages = {3191}, year = {2018}, url = {https://doi.org/10.3390/s18103191}, doi = {10.3390/S18103191}, timestamp = {Fri, 18 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YuLLPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/LeePHSK18, author = {Yeongmin Lee and Min{-}Gyu Park and Youngbae Hwang and Youngsoo Shin and Chong{-}Min Kyung}, title = {Memory-Efficient Parametric Semiglobal Matching}, journal = {{IEEE} Signal Process. Lett.}, volume = {25}, number = {2}, pages = {194--198}, year = {2018}, url = {https://doi.org/10.1109/LSP.2017.2778306}, doi = {10.1109/LSP.2017.2778306}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spl/LeePHSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/NkenyereyePR18, author = {Lewis Nkenyereye and Youngho Park and Kyung{-}Hyune Rhee}, title = {Secure vehicle traffic data dissemination and analysis protocol in vehicular cloud computing}, journal = {J. Supercomput.}, volume = {74}, number = {3}, pages = {1024--1044}, year = {2018}, url = {https://doi.org/10.1007/s11227-016-1773-0}, doi = {10.1007/S11227-016-1773-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/NkenyereyePR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ParkPC18, author = {Je{-}Ho Park and Young Bom Park and Soo{-}Kyung Choi}, title = {Representation and automatic generation of state-transition mapping tree}, journal = {J. Supercomput.}, volume = {74}, number = {8}, pages = {3855--3874}, year = {2018}, url = {https://doi.org/10.1007/s11227-018-2393-7}, doi = {10.1007/S11227-018-2393-7}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ParkPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/winet/FengYWPK18, author = {Li Feng and Qinghai Yang and Weihua Wu and Dae Young Park and Kyung Sup Kwak}, title = {Rate allocation and relaying strategy adaption in wireless relay networks}, journal = {Wirel. Networks}, volume = {24}, number = {7}, pages = {2669--2683}, year = {2018}, url = {https://doi.org/10.1007/s11276-017-1498-x}, doi = {10.1007/S11276-017-1498-X}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/winet/FengYWPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimLLYKCKKHPK18, author = {Hyeji Kim and Jinyeon Lim and Yeongmin Lee and Woojin Yun and Young{-}Gyu Kim and Wonseok Choi and Asim Khan and Muhammad Umar Karim Khan and Said Homidov and Hyun Sang Park and Chong{-}Min Kyung}, editor = {Youngsoo Shin}, title = {Real-time depth map processor for offset aperture based single camera system}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {293--294}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297326}, doi = {10.1109/ASPDAC.2018.8297326}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KimLLYKCKKHPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SeoKPCLCLLS18, author = {Keehong Seo and Kyungrock Kim and Young Jin Park and Joon{-}Kee Cho and Jongwon Lee and Byungjune Choi and Bokman Lim and Younbaek Lee and Youngbo Shim}, title = {Adaptive Oscillator-Based Control for Active Lower-Limb Exoskeleton and its Metabolic Impact}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {6752--6758}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8460841}, doi = {10.1109/ICRA.2018.8460841}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/SeoKPCLCLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimYPL18, author = {Kyoungmin Kim and Youngin You and Mookyu Park and Kyungho Lee}, title = {DDoS Mitigation: Decentralized {CDN} Using Private Blockchain}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {693--696}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436643}, doi = {10.1109/ICUFN.2018.8436643}, timestamp = {Thu, 02 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimYPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimCKLLMCYKKLPC18, author = {Jhoon Kim and Myungje Choi and Mijin Kim and Hyungwang Lim and Seovouna Lee and Kyung Jung Moon and Won Joon Choi and Jong Min Yoon and Sang{-}Kyoon Kim and Dai Hn Ko and Seung Hoon Lee and Youngje Park and Chu{-}Yong Chung}, title = {Monitoring Atmospheric Composition by Geo-Kompsat-2: Goci-2, Ami and Gems}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {7750--7752}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8518713}, doi = {10.1109/IGARSS.2018.8518713}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimCKLLMCYKKLPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimCLKP18, author = {Jongpil Kim and Eunji Cheon and Dalgeun Lee and Jinyoung Kim and Kyungwon Park}, title = {Development of a Rainfall Estimation Algorithm Based on Combination of the {COMS} and {GPM} Datasets}, booktitle = {2018 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2018, Valencia, Spain, July 22-27, 2018}, pages = {3894--3896}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IGARSS.2018.8518343}, doi = {10.1109/IGARSS.2018.8518343}, timestamp = {Fri, 09 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimCLKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKLLKPJPBCYCL18, author = {Jin{-}Hee Cho and Jihwan Kim and Wooyoung Lee and Dong{-}Uk Lee and Tae{-}Kyun Kim and Heat Bit Park and Chunseok Jeong and Myeong{-}Jae Park and Seung Geun Baek and Seokwoo Choi and Byung Kuk Yoon and Young Jae Choi and Kyo Yun Lee and Daeyong Shim and Jonghoon Oh and Jinkook Kim and Seok{-}Hee Lee}, title = {A 1.2V 64Gb 341GB/S {HBM2} stacked {DRAM} with spiral point-to-point {TSV} structure and improved bank group data control}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {208--210}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310257}, doi = {10.1109/ISSCC.2018.8310257}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKLLKPJPBCYCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/Noh0R18, author = {Si{-}Wan Noh and Youngho Park and Kyung{-}Hyune Rhee}, editor = {James J. Park and Vincenzo Loia and Kim{-}Kwang Raymond Choo and Gangman Yi}, title = {A Blockchain-Based Access Control with Micropayment Channels}, booktitle = {Advanced Multimedia and Ubiquitous Engineering - MUE/FutureTech 2018, Salerno, Italy, 23-25 April 2018}, series = {Lecture Notes in Electrical Engineering}, volume = {518}, pages = {687--692}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-981-13-1328-8\_88}, doi = {10.1007/978-981-13-1328-8\_88}, timestamp = {Tue, 21 Jul 2020 17:21:23 +0200}, biburl = {https://dblp.org/rec/conf/mue/Noh0R18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/HanPSKR18, author = {Doyeon Han and Moon Young Park and Heonseop Shin and Kyung{-}Sook Kim and Sungsoo Rhim}, title = {Identifying Safety Conditions of Human-Robot Collision based on Skin Injury Analysis}, booktitle = {15th International Conference on Ubiquitous Robots, {UR} 2018, Honolulu, HI, USA, June 26-30, 2018}, pages = {420--423}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/URAI.2018.8441793}, doi = {10.1109/URAI.2018.8441793}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/HanPSKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/HeoPKKC18, author = {Young Jin Heo and Ikjong Park and Ki Hean Kim and Myoung{-}Joon Kim and Wan Kyun Chung}, title = {Optical Coherence Tomography Image Segmentation for Cornea Surgery using Deep Neural Networks}, booktitle = {15th International Conference on Ubiquitous Robots, {UR} 2018, Honolulu, HI, USA, June 26-30, 2018}, pages = {14--18}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/URAI.2018.8441889}, doi = {10.1109/URAI.2018.8441889}, timestamp = {Thu, 30 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/HeoPKKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-09957, author = {Hanjoo Kim and Minkyu Kim and Dongjoo Seo and Jinwoong Kim and Heungseok Park and Soeun Park and Hyunwoo Jo and KyungHyun Kim and Youngil Yang and Youngkwan Kim and Nako Sung and Jung{-}Woo Ha}, title = {{NSML:} Meet the MLaaS platform with a real-world case study}, journal = {CoRR}, volume = {abs/1810.09957}, year = {2018}, url = {http://arxiv.org/abs/1810.09957}, eprinttype = {arXiv}, eprint = {1810.09957}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-09957.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/YangLKCLP17, author = {Jiwon Yang and Seung{-}kyung Lee and Seokho Kang and Sungzoon Cho and Young{-}Hak Lee and Hae{-}Sang Park}, title = {Ranking process parameter association with low yield wafers using spec-out event network analysis}, journal = {Comput. Ind. Eng.}, volume = {113}, pages = {419--424}, year = {2017}, url = {https://doi.org/10.1016/j.cie.2017.09.036}, doi = {10.1016/J.CIE.2017.09.036}, timestamp = {Tue, 27 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/YangLKCLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/ShinYKPL17, author = {Kyung Soon Shin and Yoon Kyoung Yim and Yuwon Kim and Soowon Park and Jun{-}Young Lee}, title = {Effects of Visual Game Experience on Auditory Processing Speed}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {20}, number = {3}, pages = {187--194}, year = {2017}, url = {https://doi.org/10.1089/cyber.2016.0171}, doi = {10.1089/CYBER.2016.0171}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/ShinYKPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimLPOCK17, author = {Sunhee Kim and Deukhee Lee and Se Hyung Park and Kyung{-}soo Oh and Seok Won Chung and Youngjun Kim}, title = {Automatic segmentation of supraspinatus from {MRI} by internal shape fitting and autocorrection}, journal = {Comput. Methods Programs Biomed.}, volume = {140}, pages = {165--174}, year = {2017}, url = {https://doi.org/10.1016/j.cmpb.2016.12.008}, doi = {10.1016/J.CMPB.2016.12.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KimLPOCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ParkPLSP17, author = {YoHan Park and KiSung Park and KyungKeun Lee and Hwangjun Song and YoungHo Park}, title = {Security analysis and enhancements of an improved multi-factor biometric authentication scheme}, journal = {Int. J. Distributed Sens. Networks}, volume = {13}, number = {8}, year = {2017}, url = {https://doi.org/10.1177/1550147717724308}, doi = {10.1177/1550147717724308}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ParkPLSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijwgs/ParkKSKPY17, author = {Seongmin Park and Sekwon Kim and Kyungho Son and Hwankuk Kim and Junyoung Park and Kangbin Yim}, title = {Real threats using {GTP} protocol and countermeasures on a 4G mobile grid computing environment}, journal = {Int. J. Web Grid Serv.}, volume = {13}, number = {1}, pages = {3--24}, year = {2017}, url = {https://doi.org/10.1504/IJWGS.2017.10002838}, doi = {10.1504/IJWGS.2017.10002838}, timestamp = {Tue, 03 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijwgs/ParkKSKPY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/EunJPW17, author = {Sung{-}Jong Eun and Eun{-}Young Jung and Dong{-}Kyun Park and Taeg Keun Whangbo}, title = {Robust Image Similarity Measurement based on {MR} Physical Information}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {9}, pages = {4461--4475}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.09.015}, doi = {10.3837/TIIS.2017.09.015}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/EunJPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/RyuPWK17, author = {Young{-}Su Ryu and Kyung Won Park and Jungwook Wee and Ki{-}Won Kwon}, title = {An Efficient 4K and 8K {UHD} Transmission Scheme on Convergence Networks with Broadcasting and {LTE} by using Coordinated Multi-Point Transmission System}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {8}, pages = {4092--4104}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.08.019}, doi = {10.3837/TIIS.2017.08.019}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/RyuPWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/YoonYPK17, author = {Su{-}Kyung Yoon and Young{-}Sun Youn and Kihyun Park and Shin{-}Dug Kim}, title = {Mobile Unified Memory-Storage Structure Based on Hybrid Non-Volatile Memories}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {13}, number = {3}, pages = {40:1--40:18}, year = {2017}, url = {https://doi.org/10.1145/3007650}, doi = {10.1145/3007650}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jetc/YoonYPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ParkKGKK17, author = {Juyoung Park and Mingon Kang and Jean Gao and Younghoon Kim and Kyungtae Kang}, title = {Cascade Classification with Adaptive Feature Extraction for Arrhythmia Detection}, journal = {J. Medical Syst.}, volume = {41}, number = {1}, pages = {11:1--11:12}, year = {2017}, url = {https://doi.org/10.1007/s10916-016-0660-9}, doi = {10.1007/S10916-016-0660-9}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ParkKGKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ParkJKSOPSLH17, author = {Na{-}Yeon Park and Jae{-}Dong Jang and Youngmi Kim and Eun{-}Ha Sohn and Mi{-}Lim Ou and Jun{-}Dong Park and Minji Seo and Kyeong{-}Sang Lee and Kyung{-}Soo Han}, title = {COMS-Based Retrieval of Daily Actual Evapotranspiration over Korea}, journal = {J. Sensors}, volume = {2017}, pages = {2151809:1--2151809:16}, year = {2017}, url = {https://doi.org/10.1155/2017/2151809}, doi = {10.1155/2017/2151809}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ParkJKSOPSLH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangJKKCKRKLKLY17, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Cheon An Lee and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked {WL} Layers}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {210--217}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2604297}, doi = {10.1109/JSSC.2016.2604297}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangJKKCKRKLKLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/LeeYSPP17, author = {Mi Kyung Lee and Ho Young Yoon and Marc Smith and Hye Jin Park and Han Woo Park}, title = {Mapping a Twitter scholarly communication network: a case of the association of internet researchers' conference}, journal = {Scientometrics}, volume = {112}, number = {2}, pages = {767--797}, year = {2017}, url = {https://doi.org/10.1007/s11192-017-2413-z}, doi = {10.1007/S11192-017-2413-Z}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/LeeYSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/SharmaLKKPYL17, author = {Vishal Sharma and Kyungroul Lee and Soonhyun Kwon and Jiyoon Kim and Hyungjoon Park and Kangbin Yim and Sun{-}Young Lee}, title = {A Consensus Framework for Reliability and Mitigation of Zero-Day Attacks in IoT}, journal = {Secur. Commun. Networks}, volume = {2017}, pages = {4749085:1--4749085:24}, year = {2017}, url = {https://doi.org/10.1155/2017/4749085}, doi = {10.1155/2017/4749085}, timestamp = {Fri, 15 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/SharmaLKKPYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangKP17, author = {Jin{-}Hyeok Kang and Young{-}Min Kwon and Kyung{-}Joon Park}, title = {Cooperative Spatial Retreat for Resilient Drone Networks}, journal = {Sensors}, volume = {17}, number = {5}, pages = {1018}, year = {2017}, url = {https://doi.org/10.3390/s17051018}, doi = {10.3390/S17051018}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/MyungPKLKK17, author = {Seho Myung and Sung Ik Park and Kyung{-}Joong Kim and Jae{-}Young Lee and Sunhyoung Kwon and Jeongchang Kim}, title = {Offset and Normalized Min-Sum Algorithms for {ATSC} 3.0 {LDPC} Decoder}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {4}, pages = {734--739}, year = {2017}, url = {https://doi.org/10.1109/TBC.2017.2686011}, doi = {10.1109/TBC.2017.2686011}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/MyungPKLKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/HoKKPCLS17, author = {Donghyeok Ho and Hyungnam Kim and Wan Kim and Youngho Park and Kyung{-}Ah Chang and Hyogun Lee and Hwangjun Song}, title = {Mobile Cloud-Based Interactive 3D Rendering and Streaming System Over Heterogeneous Wireless Networks}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {27}, number = {1}, pages = {95--109}, year = {2017}, url = {https://doi.org/10.1109/TCSVT.2016.2565902}, doi = {10.1109/TCSVT.2016.2565902}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/HoKKPCLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeGP17, author = {Kyung{-}Ryong Lee and Geon{-}il Goh and Young{-}Woo Park}, editor = {Gloria Mark and Susan R. Fussell and Cliff Lampe and m. c. schraefel and Juan Pablo Hourcade and Caroline Appert and Daniel Wigdor}, title = {Quietto: An Interactive Timepiece Molded in Concrete and Milled Wood}, booktitle = {Proceedings of the 2017 {CHI} Conference on Human Factors in Computing Systems, Denver, CO, USA, May 06-11, 2017}, pages = {2988--2992}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3025453.3025670}, doi = {10.1145/3025453.3025670}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeGP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/PatilT0R17, author = {Akash Suresh Patil and Bayu Adhi Tama and Youngho Park and Kyung{-}Hyune Rhee}, editor = {James J. Park and Vincenzo Loia and Gangman Yi and Yunsick Sung}, title = {A Framework for Blockchain Based Secure Smart Green House Farming}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2017, Taichung, Taiwan, 18-20 December}, series = {Lecture Notes in Electrical Engineering}, volume = {474}, pages = {1162--1167}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-7605-3\_185}, doi = {10.1007/978-981-10-7605-3\_185}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csa2/PatilT0R17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/LeeGP17, author = {Kyung{-}Ryong Lee and Geon{-}il Goh and Young{-}Woo Park}, editor = {Seungyon Claire Lee and Leila Takayama and Khai N. Truong}, title = {Quietto: an interactive timepiece molded in concrete and milled wood}, booktitle = {Adjunct Proceedings of the 2017 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2017 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC 2017, Maui, HI, USA, September 11-15, 2017}, pages = {249--252}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3123024.3123177}, doi = {10.1145/3123024.3123177}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/LeeGP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdar/KangPZ17, author = {Woo{-}Young Kang and Kyung{-}Wha Park and Byoung{-}Tak Zhang}, title = {Extremely Sparse Deep Learning Using Inception Modules with Dropfilters}, booktitle = {14th {IAPR} International Conference on Document Analysis and Recognition, {ICDAR} 2017, Kyoto, Japan, November 9-15, 2017}, pages = {448--453}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICDAR.2017.80}, doi = {10.1109/ICDAR.2017.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdar/KangPZ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YunKLLCKKHKPK17, author = {Woojin Yun and Young{-}Gyu Kim and Yeongmin Lee and Jinyeon Lim and Wonseok Choi and Muhammad Umar Karim Khan and Asim Khan and Said Homidov and Pervaiz Kareem and Hyun Sang Park and Chong{-}Min Kyung}, title = {Offset aperture based hardware architecture for real-time depth extraction}, booktitle = {2017 {IEEE} International Conference on Image Processing, {ICIP} 2017, Beijing, China, September 17-20, 2017}, pages = {4392--4396}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICIP.2017.8297112}, doi = {10.1109/ICIP.2017.8297112}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/YunKLLCKKHKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/JangPSJK17, author = {Woo Sung Jang and Bo Kyung Park and Hyun Seung Son and Byung Kook Jeon and Robert Young Chul Kim}, editor = {Kuinam Kim and Nikolai Joukov}, title = {Extracting Test Cases with Message-Sequence Diagram for Validating the Photovoltaic Energy Integrated Monitoring System}, booktitle = {Information Science and Applications 2017 - {ICISA} 2017, Macau, China, 20-23 March 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {424}, pages = {603--608}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-4154-9\_69}, doi = {10.1007/978-981-10-4154-9\_69}, timestamp = {Wed, 05 Jun 2019 13:59:26 +0200}, biburl = {https://dblp.org/rec/conf/icisa/JangPSJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/ParkJSYK17, author = {Bo Kyung Park and Woo Sung Jang and Hyun Seung Son and Keunsang Yi and Robert Young Chul Kim}, editor = {Kuinam Kim and Nikolai Joukov}, title = {Automatic Test Case Generation with State Diagram for Validating the Solar Integrated System}, booktitle = {Information Science and Applications 2017 - {ICISA} 2017, Macau, China, 20-23 March 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {424}, pages = {609--614}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-4154-9\_70}, doi = {10.1007/978-981-10-4154-9\_70}, timestamp = {Wed, 05 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icisa/ParkJSYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/AfzalPOPY17, author = {Muhammad Raheel Afzal and Sanghun Pyo and Min{-}Kyun Oh and Young{-}Sook Park and Jungwon Yoon}, title = {Identifying the effects of using integrated haptic feedback for gait rehabilitation of stroke patients}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {1055--1060}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009389}, doi = {10.1109/ICORR.2017.8009389}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icorr/AfzalPOPY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiLKLLRPKS17, author = {Byungjune Choi and Younbaek Lee and Yong{-}Jae Kim and Jongwon Lee and Minhyung Lee and Se{-}gon Roh and Young Jin Park and Kyungrock Kim and Youngbo Shim}, title = {Development of adjustable knee joint for walking assistance devices}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {1790--1797}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8205993}, doi = {10.1109/IROS.2017.8205993}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ChoiLKLLRPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/0004SNR17, author = {Youngho Park and Chul Sur and Si{-}Wan Noh and Kyung{-}Hyune Rhee}, title = {Secure vehicle location-sharing for trajectory-based message delivery on VANETs}, booktitle = {26th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2017, Edinburgh, United Kingdom, June 19-21, 2017}, pages = {1451--1456}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISIE.2017.8001459}, doi = {10.1109/ISIE.2017.8001459}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isie/0004SNR17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/MoonYPS17, author = {Jeong{-}Hyeon Moon and Chae{-}Young Yun and Seon{-}Joo Park and Kyung{-}Ah Sohn}, editor = {James Jong Hyuk Park and Shu{-}Ching Chen and Kim{-}Kwang Raymond Choo}, title = {A Case Study on How to Predict Caf{\'{e}} Profit: {A} Dimension Reduction via Factor Analysis}, booktitle = {Advanced Multimedia and Ubiquitous Engineering - MUE/FutureTech 2017, Seoul, Korea, 22-24 May 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {448}, pages = {588--593}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-5041-1\_93}, doi = {10.1007/978-981-10-5041-1\_93}, timestamp = {Wed, 22 Jul 2020 12:38:11 +0200}, biburl = {https://dblp.org/rec/conf/mue/MoonYPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiDPKKS17, author = {Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Jin Ho Kyung and Doo{-}Hyung Kim and Youngsoo Son}, title = {Development of a kinematics library creation software for the module based manipulator}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {190--191}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992708}, doi = {10.1109/URAI.2017.7992708}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiDPKKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/SohnHPCLLJ16, author = {Bo Kyung Sohn and Jae Yeon Hwang and Su Mi Park and Jung{-}Seok Choi and Jun{-}Young Lee and Ji Yeuon Lee and Hee Yeon Jung}, title = {Developing a Virtual Reality-Based Vocational Rehabilitation Training Program for Patients with Schizophrenia}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {19}, number = {11}, pages = {686--691}, year = {2016}, url = {https://doi.org/10.1089/cyber.2016.0215}, doi = {10.1089/CYBER.2016.0215}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/SohnHPCLLJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/BangRPLNSLJKSSC16, author = {Yonghwan Bang and June{-}Koo Kevin Rhee and KyungSoo Park and Kyongchun Lim and Giyoung Nam and John D. Shinn and Jongmin Lee and Sungmin Jo and Ja{-}Ryeong Koo and Jonggyu Sung and Young{-}Il Seo and Taesang Choi and Hong{-}Ik Kim and Junyoung Park and Chang Hee Yun}, title = {{CDN} interconnection service trial: implementation and analysis}, journal = {{IEEE} Commun. Mag.}, volume = {54}, number = {6}, pages = {94--100}, year = {2016}, url = {https://doi.org/10.1109/MCOM.2016.7498094}, doi = {10.1109/MCOM.2016.7498094}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/BangRPLNSLJKSSC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/NkenyereyePR16, author = {Lewis Nkenyereye and Youngho Park and Kyung Hyune Rhee}, title = {A secure billing protocol over attribute-based encryption in vehicular cloud computing}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2016}, pages = {196}, year = {2016}, url = {https://doi.org/10.1186/s13638-016-0687-0}, doi = {10.1186/S13638-016-0687-0}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/NkenyereyePR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ParkJKS16, author = {Young Deok Park and Seokseong Jeon and Kyungjun Kim and Young{-}Joo Suh}, title = {{RAMCAST:} Reliable and Adaptive Multicast Over {IEEE} 802.11n WLANs}, journal = {{IEEE} Commun. Lett.}, volume = {20}, number = {7}, pages = {1441--1444}, year = {2016}, url = {https://doi.org/10.1109/LCOMM.2016.2566642}, doi = {10.1109/LCOMM.2016.2566642}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ParkJKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcm/SurPR16, author = {Chul Sur and Youngho Park and Kyung Hyune Rhee}, title = {An efficient and secure navigation protocol based on vehicular cloud}, journal = {Int. J. Comput. Math.}, volume = {93}, number = {2}, pages = {325--344}, year = {2016}, url = {https://doi.org/10.1080/00207160.2014.934685}, doi = {10.1080/00207160.2014.934685}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcm/SurPR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/ParkLCB16, author = {Young Kyun Park and Pilgu Lee and Jong Kap Choi and Kyung Seok Byun}, title = {Analysis of factors related to vertical vibration of continuous alternate wheels for omnidirectional mobile robots}, journal = {Intell. Serv. Robotics}, volume = {9}, number = {3}, pages = {207--216}, year = {2016}, url = {https://doi.org/10.1007/s11370-016-0196-3}, doi = {10.1007/S11370-016-0196-3}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/ParkLCB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/ParkSR16, author = {Youngho Park and Chul Sur and Kyung Hyune Rhee}, title = {Pseudonymous authentication for secure {V2I} services in cloud-based vehicular networks}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {7}, number = {5}, pages = {661--671}, year = {2016}, url = {https://doi.org/10.1007/s12652-015-0309-4}, doi = {10.1007/S12652-015-0309-4}, timestamp = {Mon, 10 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/ParkSR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLYJJKKKSP16, author = {Soo{-}Min Lee and Ji{-}Hoon Lim and Il{-}Min Yi and Young Jae Jang and Hae{-}Kang Jung and Kyunghoon Kim and Dae{-}Han Kwon and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A Single-Ended Parallel Transceiver With Four-Bit Four-Wire Four-Level Balanced Coding for the Point-to-Point {DRAM} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {8}, pages = {1890--1901}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2559512}, doi = {10.1109/JSSC.2016.2559512}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLYJJKKKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micromachines/JoSHPP16, author = {Younggeun Jo and Fengshan Shen and Young Ki Hahn and Ji{-}Ho Park and Je{-}Kyun Park}, title = {Magnetophoretic Sorting of Single Cell-Containing Microdroplets}, journal = {Micromachines}, volume = {7}, number = {4}, pages = {56}, year = {2016}, url = {https://doi.org/10.3390/mi7040056}, doi = {10.3390/MI7040056}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micromachines/JoSHPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimKPLL16, author = {Sang{-}Kyun Kim and Sang{-}Ick Kang and Young{-}Jin Park and Sanghyuk Lee and Sangmin Lee}, title = {Power Spectral Deviation-Based Voice Activity Detection Incorporating Teager Energy for Speech Enhancement}, journal = {Symmetry}, volume = {8}, number = {7}, pages = {58}, year = {2016}, url = {https://doi.org/10.3390/sym8070058}, doi = {10.3390/SYM8070058}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/KimKPLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/KimMPLKSSK16, author = {Kyung{-}Joong Kim and Seho Myung and Sung Ik Park and Jae{-}Young Lee and Makiko Kan and Yuji Shinohara and Jong{-}Woong Shin and Jinwoo Kim}, title = {Low-Density Parity-Check Codes for {ATSC} 3.0}, journal = {{IEEE} Trans. Broadcast.}, volume = {62}, number = {1}, pages = {189--196}, year = {2016}, url = {https://doi.org/10.1109/TBC.2016.2515538}, doi = {10.1109/TBC.2016.2515538}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/KimMPLKSSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/ParkLS16, author = {Kyungmo Park and Youngkwon Lim and Doug Young Suh}, title = {Delivery of {ATSC} 3.0 Services With {MPEG} Media Transport Standard Considering Redistribution in {MPEG-2} {TS} Format}, journal = {{IEEE} Trans. Broadcast.}, volume = {62}, number = {1}, pages = {338--351}, year = {2016}, url = {https://doi.org/10.1109/TBC.2016.2518625}, doi = {10.1109/TBC.2016.2518625}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/ParkLS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/MinPJKC16, author = {Kyungsik Min and Sangjoon Park and Young Rok Jang and Taehyoung Kim and Sooyong Choi}, title = {Antenna Ratio for Sum-Rate Maximization in Full-Duplex Large-Array Base Station With Half-Duplex Multiantenna Users}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {65}, number = {12}, pages = {10168--10173}, year = {2016}, url = {https://doi.org/10.1109/TVT.2016.2539384}, doi = {10.1109/TVT.2016.2539384}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/MinPJKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/MoonKJIPJ16, author = {Daesung Moon and Ikkyun Kim and Jae Woong Joo and Hyungjin Im and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Intelligent Security Model of Smart Phone Based on Human Behavior in Mobile Cloud Computing}, journal = {Wirel. Pers. Commun.}, volume = {91}, number = {4}, pages = {1697--1710}, year = {2016}, url = {https://doi.org/10.1007/s11277-015-3121-8}, doi = {10.1007/S11277-015-3121-8}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/MoonKJIPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMicec/GataliLPK16, author = {Inkingi Fred Gatali and Kyung Young Lee and Sangun Park and Juyoung Kang}, editor = {Toru Ishida and Norman M. Sadeh and Jae Kyu Lee and Federico Casalegno and Wooju Kim and Sohyeong Kim and Sung{-}Byung Yang}, title = {A qualitative study on adoption of biometrics technologies: Canadian banking industry}, booktitle = {Proceedings of the 18th Annual International Conference on Electronic Commerce - e-Commerce in Smart connected World, {ICEC} '16, Suwon, Republic of Korea, August 17-19, 2016}, pages = {20:1--20:8}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2971603.2971623}, doi = {10.1145/2971603.2971623}, timestamp = {Tue, 06 Nov 2018 11:06:53 +0100}, biburl = {https://dblp.org/rec/conf/ACMicec/GataliLPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKYYJAKKSSPKN16, author = {Hyunui Lee and Sukyong Kang and Hye{-}Seung Yu and Won{-}Joo Yun and Jae{-}Hun Jung and Sungoh Ahn and Wang{-}Soo Kim and Beomyong Kil and Yoo{-}Chang Sung and Sang{-}Hoon Shin and Yong{-}Sik Park and Yong{-}Hwan Kim and Kyung{-}Woo Nam and Indal Song and Kyomin Sohn and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {Design of non-contact 2Gb/s {I/O} test methods for high bandwidth memory {(HBM)}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {169--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844162}, doi = {10.1109/ASSCC.2016.7844162}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeKYYJAKKSSPKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JoungKIHKKP16, author = {Hae Youn Joung and Se Young Kim and Seung Hyun Im and Bo Kyung Huh and Heesun Kim and Gyu Hyun Kwon and Ji{-}Hyung Park}, editor = {Masaaki Kurosu}, title = {Optimal User Interface Parameters for Dual-Sided Transparent Screens in Layered Window Conditions}, booktitle = {Human-Computer Interaction. Interaction Platforms and Techniques - 18th International Conference, {HCI} International 2016, Toronto, ON, Canada, July 17-22, 2016. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {9732}, pages = {162--169}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-39516-6\_15}, doi = {10.1007/978-3-319-39516-6\_15}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/JoungKIHKKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimLCPPCHCSCC16, author = {Minjun Kim and Woongyong Lee and Jae Yeon Choi and Yong Sik Park and Sung Ho Park and Goo Bong Chung and Kyung{-}Lyong Han and Il Seop Choi and Il Hong Suh and Youngjin Choi and Wan Kyun Chung}, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {Powered upper-limb control using passivity-based nonlinear disturbance observer for unknown payload carrying applications}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {2340--2346}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICRA.2016.7487384}, doi = {10.1109/ICRA.2016.7487384}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimLCPPCHCSCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ShimSBJCLPOK16, author = {Inwook Shim and Seunghak Shin and Yunsu Bok and Kyungdon Joo and Dong{-}Geol Choi and Joon{-}Young Lee and Jaesik Park and Jun{-}Ho Oh and In{-}So Kweon}, editor = {Danica Kragic and Antonio Bicchi and Alessandro De Luca}, title = {Vision system and depth processing for {DRC-HUBO+}}, booktitle = {2016 {IEEE} International Conference on Robotics and Automation, {ICRA} 2016, Stockholm, Sweden, May 16-21, 2016}, pages = {2456--2463}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICRA.2016.7487398}, doi = {10.1109/ICRA.2016.7487398}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ShimSBJCLPOK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MinJPC16, author = {Kyungsik Min and Young Rok Jang and Sangjoon Park and Sooyong Choi}, title = {Subframe shift for full-duplex base station in {TD-LTE} systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {1000--1005}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763352}, doi = {10.1109/ICTC.2016.7763352}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/MinJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/JeonPJNBHK16, author = {Jinwoo Jeon and Jun{-}Cheol Park and Youngjoo Jo and Changmo Nam and Kyung{-}Hoon Bae and Youngkyoo Hwang and Dae{-}Shik Kim}, title = {A Real-time Facial Expression Recognizer using Deep Neural Network}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {94:1--94:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857642}, doi = {10.1145/2857546.2857642}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/JeonPJNBHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/RyongKK16, author = {Kim Ryong and Park Kyung{-}Hye and Young{-}Kuk Kim}, title = {Improving Similarity Measurement of User's Rating Value using Sigmoid Function in Personalization System}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {33:1--33:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857580}, doi = {10.1145/2857546.2857580}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/RyongKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ParkLW16, author = {Kyung{-}Ae Park and Eun{-}Young Lee and Hye{-}Jin Woo}, title = {Comparison of hybrid sea surface temperature {(SST)} with empirical regression {SST} in the seas around Korea}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {4016--4018}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7730044}, doi = {10.1109/IGARSS.2016.7730044}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ParkLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/AfzalPOPLY16, author = {Muhammad Raheel Afzal and Sanghun Pyo and Min{-}Kyun Oh and Young{-}Sook Park and Beom{-}Chan Lee and Jungwon Yoon}, title = {Haptic based gait rehabilitation system for stroke patients}, booktitle = {2016 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2016, Daejeon, South Korea, October 9-14, 2016}, pages = {3198--3203}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IROS.2016.7759494}, doi = {10.1109/IROS.2016.7759494}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/AfzalPOPLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/KyungKHCJP16, author = {Yeunwoong Kyung and Youngjun Kim and Kiwon Hong and Hyungoo Choi and Mingyu Joo and Jinwoo Park}, title = {Mobility-aware load distribution scheme for scalable SDN-based mobile networks}, booktitle = {{IEEE} Symposium on Computers and Communication, {ISCC} 2016, Messina, Italy, June 27-30, 2016}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCC.2016.7543725}, doi = {10.1109/ISCC.2016.7543725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/KyungKHCJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JooBSKHA0KKKCSK16, author = {Hye{-}Yoon Joo and Seung{-}Jun Bae and Young{-}Soo Sohn and Young{-}Sik Kim and Kyung{-}Soo Ha and Min{-}Su Ahn and Young{-}Ju Kim and Yong{-}Jun Kim and Ju{-}Hwan Kim and Won{-}Jun Choi and Chang{-}Ho Shin and Soo Hwan Kim and Byeong{-}Cheol Kim and Seung{-}Bum Ko and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.1 {A} 20nm 9Gb/s/pin 8Gb {GDDR5} {DRAM} with an {NBTI} monitor, jitter reduction techniques and improved power distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {314--315}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418033}, doi = {10.1109/ISSCC.2016.7418033}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JooBSKHA0KKKCSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangJKKCKRKLKLY16, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Jeong{-}Don Ihm and Doo{-}Gon Kim and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.1 256Gb 3b/cell {V-NAND} flash memory with 48 stacked {WL} layers}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {130--131}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417941}, doi = {10.1109/ISSCC.2016.7417941}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangJKKCKRKLKLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnYOOSPSJSRYJ16, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Kyung{-}Woo Nam and Seouk{-}Kyu Choi and Jaewook Lee and Uksong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Chi{-}Wook Kim and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.2 {A} 1.2V 20nm 307GB/s {HBM} {DRAM} with at-speed wafer-level {I/O} test scheme and adaptive refresh considering temperature distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {316--317}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418034}, doi = {10.1109/ISSCC.2016.7418034}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnYOOSPSJSRYJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/RyooPLB16, author = {Young{-}Jae Ryoo and Susan Park and Yong{-}Jun Lee and Kyung Seok Byun}, title = {Active Steerable Driving Mechanism Using Two In-Wheel Motors for Omnidirectional Motion of Robotic Mobile Platform}, booktitle = {2016 Joint 8th International Conference on Soft Computing and Intelligent Systems {(SCIS)} and 17th International Symposium on Advanced Intelligent Systems (ISIS), Sapporo, Japan, August 25-28, 2016}, pages = {382--385}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SCIS-ISIS.2016.0086}, doi = {10.1109/SCIS-ISIS.2016.0086}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/scisisis/RyooPLB16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YiBCLJCSCJKSP16, author = {Il{-}Min Yi and Seung{-}Jun Bae and Min{-}Kyun Chae and Soo{-}Min Lee and Young Jae Jang and Young{-}Chul Cho and Young{-}Soo Sohn and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A low-EMI four-bit four-wire single-ended {DRAM} interface by using a three-level balanced coding scheme}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573524}, doi = {10.1109/VLSIC.2016.7573524}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YiBCLJCSCJKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/YooPPLK16, author = {Donggeun Yoo and Sunggyun Park and Kyunghyun Paeng and Joon{-}Young Lee and In{-}So Kweon}, title = {Action-Driven Object Detection with Top-Down Visual Attentions}, journal = {CoRR}, volume = {abs/1612.06704}, year = {2016}, url = {http://arxiv.org/abs/1612.06704}, eprinttype = {arXiv}, eprint = {1612.06704}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/YooPPLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LimGPKLJSKKC15, author = {Jae{-}A. Lim and Ah Reum Gwak and Su Mi Park and Jun{-}Gun Kwon and Jun{-}Young Lee and Hee Yeon Jung and Bo Kyung Sohn and Jae{-}Won Kim and Dai Jin Kim and Jung{-}Seok Choi}, title = {Are Adolescents with Internet Addiction Prone to Aggressive Behavior? The Mediating Effect of Clinical Comorbidities on the Predictability of Aggression in Adolescents with Internet Addiction}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {18}, number = {5}, pages = {260--267}, year = {2015}, url = {https://doi.org/10.1089/cyber.2014.0568}, doi = {10.1089/CYBER.2014.0568}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LimGPKLJSKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/digearth/ParkLLCSH15, author = {Kyung{-}Ae Park and Eun{-}Young Lee and Xiaofeng Li and Sung{-}Rae Chung and Eun{-}Ha Sohn and Sungwook Hong}, title = {{NOAA/AVHRR} sea surface temperature accuracy in the East/Japan Sea}, journal = {Int. J. Digit. Earth}, volume = {8}, number = {10}, pages = {784--804}, year = {2015}, url = {https://doi.org/10.1080/17538947.2014.937363}, doi = {10.1080/17538947.2014.937363}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/digearth/ParkLLCSH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KwonPP15, author = {Keehang Kwon and Kyunghwan Park and Mi{-}Young Park}, title = {Towards Interactive Object-Oriented Programming}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {98-D}, number = {2}, pages = {437--438}, year = {2015}, url = {https://doi.org/10.1587/transinf.2013EDL8047}, doi = {10.1587/TRANSINF.2013EDL8047}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KwonPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/YangKPLJK15, author = {Ju{-}Hyun Yang and Mira Kim and Young{-}Taek Park and Eui{-}Kyung Lee and Chai Young Jung and Sukil Kim}, title = {The effect of the introduction of a nationwide {DUR} system where local {DUR} systems are operating - The Korean experience}, journal = {Int. J. Medical Informatics}, volume = {84}, number = {11}, pages = {912--919}, year = {2015}, url = {https://doi.org/10.1016/j.ijmedinf.2015.08.007}, doi = {10.1016/J.IJMEDINF.2015.08.007}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/YangKPLJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijndc/ParkLKY15, author = {Na Young Park and Byung Jun Lee and Kyung Tae Kim and Hee Yong Youn}, title = {Effective Management of ReRAM-based Hybrid {SSD} for Multiple Node {HDFS}}, journal = {Int. J. Networked Distributed Comput.}, volume = {3}, number = {3}, pages = {167--176}, year = {2015}, url = {https://doi.org/10.2991/ijndc.2015.3.3.4}, doi = {10.2991/IJNDC.2015.3.3.4}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijndc/ParkLKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/ParkLCPSLS15, author = {Sang{-}In Park and Jin{-}Hee Lee and Yong{-}An Chung and Moon{-}Seo Park and Hyun Sunwoo and Kwan{-}Sung Lee and Yun{-}Young Sunwoo}, title = {The neuroprotective effect of a traditional herbal (kyung-ok-ko) on transient middle cerebral artery occlusion-Induced ischemic rat brain}, journal = {Int. J. Imaging Syst. Technol.}, volume = {25}, number = {2}, pages = {131--138}, year = {2015}, url = {https://doi.org/10.1002/ima.22129}, doi = {10.1002/IMA.22129}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/ParkLCPSLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimPC15, author = {Minjun Kim and Young Jin Park and Wan Kyun Chung}, title = {Design of a momentum-based disturbance observer for rigid and flexible joint robots}, journal = {Intell. Serv. Robotics}, volume = {8}, number = {1}, pages = {57--65}, year = {2015}, url = {https://doi.org/10.1007/s11370-014-0163-9}, doi = {10.1007/S11370-014-0163-9}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/KimPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/NkenyereyeTPR15, author = {Lewis Nkenyereye and Bayu Adhi Tama and Youngho Park and Kyung Hyune Rhee}, title = {A Fine-Grained Privacy Preserving Protocol over Attribute Based Access Control for VANETs}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {6}, number = {2}, pages = {98--112}, year = {2015}, url = {https://doi.org/10.22667/JOWUA.2015.06.31.098}, doi = {10.22667/JOWUA.2015.06.31.098}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/NkenyereyeTPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhCPLODKLKLLHCCBJPPJC15, author = {Tae{-}Young Oh and Hoeju Chung and Jun{-}Young Park and Ki{-}Won Lee and Seung{-}Hoon Oh and Su{-}Yeon Doo and Hyoung{-}Joo Kim and ChangYong Lee and Hye{-}Ran Kim and Jong{-}Ho Lee and Jin{-}Il Lee and Kyung{-}Soo Ha and Young{-}Ryeol Choi and Young{-}Chul Cho and Yong{-}Cheol Bae and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi}, title = {A 3.2 Gbps/pin 8 Gbit 1.0 {V} {LPDDR4} {SDRAM} With Integrated {ECC} Engine for Sub-1 {V} {DRAM} Core Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {178--190}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2353799}, doi = {10.1109/JSSC.2014.2353799}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhCPLODKLKLLHCCBJPPJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JungKSP15, author = {Eun{-}Young Jung and Jong Tak Kim and Jaeyoung Soh and Dong{-}Kyun Park}, title = {Development of U-healthcare monitoring system based on context-aware for knowledge service}, journal = {Multim. Tools Appl.}, volume = {74}, number = {7}, pages = {2467--2482}, year = {2015}, url = {https://doi.org/10.1007/s11042-014-2037-8}, doi = {10.1007/S11042-014-2037-8}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JungKSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkJLL15, author = {Dong{-}Kyun Park and Eun{-}Young Jung and Sang{-}Hong Lee and Joon S. Lim}, title = {A composite gene selection for {DNA} microarray data analysis}, journal = {Multim. Tools Appl.}, volume = {74}, number = {20}, pages = {9031--9041}, year = {2015}, url = {https://doi.org/10.1007/s11042-013-1583-9}, doi = {10.1007/S11042-013-1583-9}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ParkJLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HamCLBSHSSLL15, author = {Jee Hyun Ham and Jungho Cha and Jae Jung Lee and Gwang{-}Min Baek and Mun Kyung Sunwoo and Jin Yong Hong and Na{-}Young Shin and Young Ho Sohn and Jong{-}Min Lee and Phil Hyu Lee}, title = {Nigrostriatal dopamine-independent resting-state functional networks in Parkinson's disease}, journal = {NeuroImage}, volume = {119}, pages = {296--304}, year = {2015}, url = {https://doi.org/10.1016/j.neuroimage.2015.06.077}, doi = {10.1016/J.NEUROIMAGE.2015.06.077}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HamCLBSHSSLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLPLK15, author = {Jin Young Kim and Changho Lee and Kyungjin Park and Geunbae Lim and Chulhong Kim}, title = {A PDMS-Based 2-Axis Waterproof Scanner for Photoacoustic Microscopy}, journal = {Sensors}, volume = {15}, number = {5}, pages = {9815--9826}, year = {2015}, url = {https://doi.org/10.3390/s150509815}, doi = {10.3390/S150509815}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLPLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LimPLLKK15, author = {Butaek Lim and Ji{-}In Park and Kyung Jin Lee and Jin{-}won Lee and Tae{-}Wuk Kim and Young{-}Pil Kim}, title = {Zn(II)-Coordinated Quantum Dot-FRET Nanosensors for the Detection of Protein Kinase Activity}, journal = {Sensors}, volume = {15}, number = {8}, pages = {17977--17989}, year = {2015}, url = {https://doi.org/10.3390/s150817977}, doi = {10.3390/S150817977}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LimPLLKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/JungARPJ15, author = {Dong{-}Hoon Jung and Young{-}Jae An and Kyungho Ryu and Jung{-}Hyun Park and Seong{-}Ook Jung}, title = {All-Digital Fast-Locking Delay-Locked Loop Using a Cyclic-Locking Loop for {DRAM}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {62-II}, number = {11}, pages = {1023--1027}, year = {2015}, url = {https://doi.org/10.1109/TCSII.2015.2456111}, doi = {10.1109/TCSII.2015.2456111}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/JungARPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/OhPLEL15, author = {Ki{-}Yong Oh and Joon{-}Young Park and Jun{-}Shin Lee and Bogdan I. Epureanu and Jae{-}Kyung Lee}, title = {A Novel Method and its Field Tests for Monitoring and Diagnosing Blade Health for Wind Turbines}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {64}, number = {6}, pages = {1726--1733}, year = {2015}, url = {https://doi.org/10.1109/TIM.2014.2381791}, doi = {10.1109/TIM.2014.2381791}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/OhPLEL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:books/sp/15/KwonLRHCKJOLKCPL15, author = {Oh{-}Woog Kwon and Ki{-}Young Lee and Yoon{-}Hyung Roh and Jin{-}Xia Huang and Sung{-}Kwon Choi and Young Kil Kim and Hyung{-}Bae Jeon and Yoo Rhee Oh and Yun{-}Kyung Lee and Byung Ok Kang and Euisok Chung and Jeon Gue Park and Yunkeun Lee}, editor = {Gary Geunbae Lee and Hong Kook Kim and Minwoo Jeong and Ji{-}Hwan Kim}, title = {GenieTutor: {A} Computer-Assisted Second-Language Learning System Based on Spoken Language Understanding}, booktitle = {Natural Language Dialog Systems and Intelligent Assistants, 6th International Workshop on Spoken Dialogue Systems, {IWSDS} 2015, Busan, Korea, January 11-13, 2015}, pages = {257--262}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19291-8\_26}, doi = {10.1007/978-3-319-19291-8\_26}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/15/KwonLRHCKJOLKCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apgv/JeongSCPL15, author = {Kyungho Jeong and Youngah Seong and Junsung Chung and Yonggook Park and Woohyoung Lee}, editor = {Laura C. Trutoiu and Michael Geuss and Scott Kuhl and Betsy Sanders and Rafal Mantiuk}, title = {Directional thermal perception for wearable device}, booktitle = {Proceedings of the {ACM} {SIGGRAPH} Symposium on Applied Perception, {SAP} '15, T{\"{u}}bingen, Germany, September 13-14, 2015}, pages = {133}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2804408.2814184}, doi = {10.1145/2804408.2814184}, timestamp = {Tue, 06 Nov 2018 11:07:21 +0100}, biburl = {https://dblp.org/rec/conf/apgv/JeongSCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ParkKKK15, author = {Juyoung Park and Mingon Kang and Younghoon Kim and Kyungtae Kang}, editor = {Jun Huan and Satoru Miyano and Amarda Shehu and Xiaohua Tony Hu and Bin Ma and Sanguthevar Rajasekaran and Vijay K. Gombar and Matthieu{-}P. Schapranow and Illhoi Yoo and Jiayu Zhou and Brian Chen and Vinay Pai and Brian G. Pierce}, title = {Heartbeat classification for detecting arrhythmia using normalized beat morphology features}, booktitle = {2015 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2015, Washington, DC, USA, November 9-12, 2015}, pages = {1743--1744}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBM.2015.7359947}, doi = {10.1109/BIBM.2015.7359947}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/ParkKKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdas/ParkKCJLCC15, author = {Han{-}Sol Park and Jin{-}Hyuk Kim and Chi{-}Hwan Choi and Bo{-}Ra Jung and Kyung{-}Hee Lee and Su{-}Young Chi and Wan{-}Sup Cho}, editor = {Carson K. Leung and Aziz Nasridinov and Jongsup Choi and Sun Hwa Han and Joo{-}Yeoun Lee and Taeho Park and Yoo{-}Sung Kim and Young{-}Koo Lee}, title = {In-Memory Data Grid System for Real-Time Processing of Machine Sensor Data in a Smart Factory Environment}, booktitle = {Proceedings of the 2015 International Conference on Big Data Applications and Services, BigDAS '15, Jeju Island, Republic of Korea, October 20-23, 2015}, pages = {92--97}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2837060.2837073}, doi = {10.1145/2837060.2837073}, timestamp = {Thu, 20 Jan 2022 09:49:18 +0100}, biburl = {https://dblp.org/rec/conf/bigdas/ParkKCJLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ParkKHKLKJKLHPS15, author = {Joonheung Park and Taehoon Koh and Jun{-}Ho Huh and Taeyoung Kim and Jeongho Lee and Jaesoon Kang and Donghyun Ju and Jeongdae Kim and Junwon Lee and Taewook Hwang and Youngjoon Park and Kyungryong Seo}, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Design of the Real-Time Mobile Push System for Implementation of the Shipboard Smart Working}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {541--548}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_78}, doi = {10.1007/978-981-10-0281-6\_78}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ParkKHKLKJKLHPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/JangMPC15, author = {Young Rok Jang and Kyungsik Min and Sangjoon Park and Sooyong Choi}, title = {Spatial resource utilization to maximize uplink spectral efficiency in full-duplex massive {MIMO}}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {1583--1588}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7248550}, doi = {10.1109/ICC.2015.7248550}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/JangMPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/MinJPC15, author = {Kyungsik Min and Young Rok Jang and Sangjoon Park and Sooyong Choi}, title = {Antenna ratio for sum-rate maximization in {MU-MIMO} with full-duplex large array {BS}}, booktitle = {2015 {IEEE} International Conference on Communications, {ICC} 2015, London, United Kingdom, June 8-12, 2015}, pages = {1589--1594}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICC.2015.7248551}, doi = {10.1109/ICC.2015.7248551}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/MinJPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmu/LimHPS15, author = {Geunsik Lim and Jae{-}Young Hwang and Kyungmin Park and Sang{-}Bum Suh}, title = {Enhancing init scheme for improving bootup time in mobile devices}, booktitle = {Eighth International Conference on Mobile Computing and Ubiquitous Networking, {ICMU} 2015, Hakodate, Japan, January 20-22, 2015}, pages = {149--154}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICMU.2015.7061058}, doi = {10.1109/ICMU.2015.7061058}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmu/LimHPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/ChoiKPKNL15, author = {Yun Won Choi and Kwang{-}Young Kim and Mi{-}Ryong Park and Jong{-}Hyo Kim and Kyung{-}Jin Na and Suk{-}Gyu Lee}, title = {Development of around view system based on moving object detection for safe bus getting on and off}, booktitle = {International Symposium on Consumer Electronics, {ISCE} 2015, Madrid, Spain, June 24-26, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCE.2015.7177786}, doi = {10.1109/ISCE.2015.7177786}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/ChoiKPKNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PyoSLBKKSKOLLLH15, author = {Jungyul Pyo and Youngmin Shin and Hoi{-}Jin Lee and Sung{-}il Bae and Min{-}Su Kim and Kwangil Kim and Ken Shin and Yohan Kwon and Heungchul Oh and Jaeyoung Lim and Dong{-}Wook Lee and Jongho Lee and Inpyo Hong and Kyungkuk Chae and Heon{-}Hee Lee and Sung{-}Wook Lee and Seongho Song and Chunghee Kim and Jin{-}Soo Park and Heesoo Kim and Sunghee Yun and Ukrae Cho and Jae Cheol Son and Sungho Park}, title = {23.1 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core {GPU} for high-performance and energy-efficient mobile application processor}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063105}, doi = {10.1109/ISSCC.2015.7063105}, timestamp = {Thu, 19 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PyoSLBKKSKOLLLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/KimJJP15, author = {Jinsoo Kim and Jin Han Jeong and Kyung Young Jhang and Jahng Hyon Park}, title = {Demonstration of disturbance propagation and amplification in car-following situation for enhancement of vehicle platoon system}, booktitle = {2015 {IEEE} Intelligent Vehicles Symposium, {IV} 2015, Seoul, South Korea, June 28 - July 1, 2015}, pages = {999--1005}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IVS.2015.7225815}, doi = {10.1109/IVS.2015.7225815}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ivs/KimJJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/ParkLKY15, author = {Na Young Park and Byung Jun Lee and Kyung Tae Kim and Hee Yong Youn}, title = {Cold data eviction using node congestion probability for {HDFS} based on Hybrid {SSD}}, booktitle = {16th {IEEE/ACIS} International Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} 2015, Takamatsu, Japan, June 1-3, 2015}, pages = {383--388}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SNPD.2015.7176230}, doi = {10.1109/SNPD.2015.7176230}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/ParkLKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tei/KimHKP15, author = {Younghui Kim and Sanghwa Hong and Kyungmee Kim and Kwanu Park}, editor = {Bill Verplank and Wendy Ju and Alissa Nicole Antle and Ali Mazalek and Florian 'Floyd' Mueller}, title = {Metamorphosis}, booktitle = {Proceedings of the Ninth International Conference on Tangible, Embedded, and Embodied Interaction, {TEI} '15, Stanford, California, USA, January 15-19, 2015}, pages = {415--416}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2677199.2690876}, doi = {10.1145/2677199.2690876}, timestamp = {Mon, 27 Feb 2023 08:37:18 +0100}, biburl = {https://dblp.org/rec/conf/tei/KimHKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/hai/2015, editor = {Minho Lee and Takashi Omori and Hirotaka Osawa and Hyeyoung Park and James E. Young}, title = {Proceedings of the 3rd International Conference on Human-Agent Interaction, {HAI} 2015, Daegu, Kyungpook, Republic of Korea, October 21-24, 2015}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2814940}, doi = {10.1145/2814940}, isbn = {978-1-4503-3527-0}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hai/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ShimSBJCLPOK15, author = {Inwook Shim and Seunghak Shin and Yunsu Bok and Kyungdon Joo and Dong{-}Geol Choi and Joon{-}Young Lee and Jaesik Park and Jun{-}Ho Oh and In So Kweon}, title = {Vision System and Depth Processing for {DRC-HUBO+}}, journal = {CoRR}, volume = {abs/1509.06114}, year = {2015}, url = {http://arxiv.org/abs/1509.06114}, eprinttype = {arXiv}, eprint = {1509.06114}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ShimSBJCLPOK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/JungKCP14, author = {Eun{-}Young Jung and Jong{-}Hun Kim and Kyung{-}Yong Chung and Dong{-}Kyun Park}, title = {Mobile healthcare application with {EMR} interoperability for diabetes patients}, journal = {Clust. Comput.}, volume = {17}, number = {3}, pages = {871--880}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0315-2}, doi = {10.1007/S10586-013-0315-2}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/JungKCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KimLPLLJ14, author = {Jae{-}Kwon Kim and Jong{-}Sik Lee and Dong{-}Kyun Park and Yong{-}Soo Lim and Young{-}Ho Lee and Eun{-}Young Jung}, title = {Adaptive mining prediction model for content recommendation to coronary heart disease patients}, journal = {Clust. Comput.}, volume = {17}, number = {3}, pages = {881--891}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0308-1}, doi = {10.1007/S10586-013-0308-1}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/KimLPLLJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/SongCLPR14, author = {Gil{-}Young Song and Youngjoon Cheon and Kihwang Lee and Kyung Min Park and Hae{-}Chang Rim}, title = {Inter-category Map: Building Cognition Network of General Customers through Big Data Mining}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {8}, number = {2}, pages = {583--600}, year = {2014}, url = {https://doi.org/10.3837/tiis.2014.02.015}, doi = {10.3837/TIIS.2014.02.015}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/SongCLPR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/HoJLALISMTAPGKR14, author = {Joshua Wing Kei Ho and Youngsook L. Jung and Tao Liu and Burak Han Alver and Soohyun Lee and Kohta Ikegami and Kyung{-}Ah Sohn and Aki Minoda and Michael Y. Tolstorukov and Alex Appert and Stephen C. J. Parker and Tingting Gu and Anshul Kundaje and Nicole C. Riddle and Eric Bishop and Thea A. Egelhofer and Sheng'en Shawn Hu and Artyom A. Alekseyenko and Andreas Rechtsteiner and Dalal Asker and Jason A. Belsky and Sarah K. Bowman and Q. Brent Chen and Ron A.{-}J. Chen and Daniel S. Day and Yan Dong and Andrea C. Dose and Xikun Duan and Charles B. Epstein and Sevinc Ercan and Elise A. Feingold and Francesco Ferrari and Jacob M. Garrigues and Nils Gehlenborg and Peter J. Good and Psalm Haseley and Daniel He and Moritz Herrmann and Michael M. Hoffman and Tess E. Jeffers and Peter V. Kharchenko and Paulina Kolasinska{-}Zwierz and Chitra V. Kotwaliwale and Nischay Kumar and Sasha A. Langley and Erica Larschan and Isabel Latorre and Maxwell W. Libbrecht and Xueqiu Lin and Richard Park and Michael J. Pazin and Hoang N. Pham and Annette Plachetka and Bo Qin and Yuri B. Schwartz and Noam Shoresh and Przemyslaw Stempor and Anne Vielle and Chengyang Wang and Christina M. Whittle and Huiling Xue and Robert E. Kingston and Ju Han Kim and Bradley E. Bernstein and Abby F. Dernburg and Vincenzo Pirrotta and Mitzi I. Kuroda and William S. Noble and Thomas D. Tullius and Manolis Kellis and David M. MacAlpine and Susan Strome and Sarah C. R. Elgin and Xiaole Shirley Liu and Jason D. Lieb and Julie Ahringer and Gary H. Karpen and Peter J. Park}, title = {Comparative analysis of metazoan chromatin organization Open}, journal = {Nat.}, volume = {512}, number = {7515}, pages = {449--452}, year = {2014}, url = {https://doi.org/10.1038/nature13415}, doi = {10.1038/NATURE13415}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/HoJLALISMTAPGKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/UmKCCSKLBKCKSP14, author = {Ji{-}Yong Um and Yoon{-}Jee Kim and Seong{-}Eun Cho and Min{-}Kyun Chae and Jongkeun Song and Bae{-}Hyung Kim and Seung{-}Hun Lee and Jihoon Bang and Youngil Kim and Kyungil Cho and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {An Analog-Digital Hybrid {RX} Beamformer Chip With Non-Uniform Sampling for Ultrasound Medical Imaging With 2D {CMUT} Array}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {8}, number = {6}, pages = {799--809}, year = {2014}, url = {https://doi.org/10.1109/TBCAS.2014.2375958}, doi = {10.1109/TBCAS.2014.2375958}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/UmKCCSKLBKCKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/NamLPSK14, author = {Min{-}Young Nam and Jaemyoun Lee and Kyung{-}Joon Park and Lui Sha and Kyungtae Kang}, title = {Guaranteeing the End-to-End Latency of an {IMA} System with an Increasing Workload}, journal = {{IEEE} Trans. Computers}, volume = {63}, number = {6}, pages = {1460--1473}, year = {2014}, url = {https://doi.org/10.1109/TC.2012.300}, doi = {10.1109/TC.2012.300}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/NamLPSK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ParkYLJKKK14, author = {Jiheum Park and Chiyul Yoon and Jung Chan Lee and Jae Yun Jung and Do Kyun Kim and Young Ho Kwak and Hee Chan Kim}, title = {Manikin-Integrated Digital Measuring System for Assessment of Infant Cardiopulmonary Resuscitation Techniques}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {18}, number = {5}, pages = {1659--1667}, year = {2014}, url = {https://doi.org/10.1109/JBHI.2013.2288641}, doi = {10.1109/JBHI.2013.2288641}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/ParkYLJKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/WangPCC14, author = {Bo Ram Wang and Ji{-}Yun Park and Kyungyong Chung and In Young Choi}, title = {Influential Factors of Smart Health Users according to Usage Experience and Intention to Use}, journal = {Wirel. Pers. Commun.}, volume = {79}, number = {4}, pages = {2671--2683}, year = {2014}, url = {https://doi.org/10.1007/s11277-014-1769-0}, doi = {10.1007/S11277-014-1769-0}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/WangPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bhi/ParkYJKKLK14, author = {Jiheum Park and Chiyul Yoon and Jae Yun Jung and Do Kyun Kim and Young Ho Kwak and Jung Chan Lee and Hee Chan Kim}, title = {Accuracy assessment of different finger placements for cardiopulmonary resuscitation on infants}, booktitle = {Proceedings of {IEEE-EMBS} International Conference on Biomedical and Health Informatics, {BHI} 2014, Valencia, Spain, June 1-4, 2014}, pages = {716--719}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BHI.2014.6864464}, doi = {10.1109/BHI.2014.6864464}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/bhi/ParkYJKKLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ShinJLPM14, author = {Do{-}Kyung Shin and Woo Jin Jeong and Jong Min Lee and Ki Tae Park and Young Shik Moon}, title = {Car accident detection in parking lots}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914378}, doi = {10.1109/ELINFOCOM.2014.6914378}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ShinJLPM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/JangPYKCLCKCA14, author = {Minsu Jang and Cheonshu Park and Hyun{-}Seung Yang and Jaehong Kim and Young{-}Jo Cho and Dong{-}Wook Lee and Hye{-}Kyung Cho and Young{-}Ae Kim and Kyoungwha Chae and Byeong{-}Kyu Ahn}, editor = {Gerhard Sagerer and Michita Imai and Tony Belpaeme and Andrea Lockerd Thomaz}, title = {Building an automated engagement recognizer based on video analysis}, booktitle = {{ACM/IEEE} International Conference on Human-Robot Interaction, HRI'14, Bielefeld, Germany, March 3-6, 2014}, pages = {182--183}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559636.2563687}, doi = {10.1145/2559636.2563687}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hri/JangPYKCLCKCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/WonCPS14, author = {Daehee Won and Yongwoo Cho and Kyungmo Park and Doug Young Suh}, title = {High resolution video streaming method by cloud and {DASH}}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {552--553}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6776129}, doi = {10.1109/ICCE.2014.6776129}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/WonCPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkC14a, author = {Young Jin Park and Wan Kyun Chung}, title = {External torque sensing algorithm for flexible-joint robot based on disturbance observer structure}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {4735--4741}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS.2014.6943236}, doi = {10.1109/IROS.2014.6943236}, timestamp = {Tue, 05 Sep 2023 15:07:47 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AhnLKJKKPJPLPCC14, author = {JungChak Ahn and Kyungho Lee and Yitae Kim and Heegeun Jeong and Bumsuk Kim and Hongki Kim and Jongeun Park and Taesub Jung and Wonje Park and Taeheon Lee and Eunkyung Park and Sangjun Choi and Gyehun Choi and Haeyong Park and Yujung Choi and Seungwook Lee and Yunkyung Kim and Y. J. Jung and Donghyuk Park and Seungjoo Nah and Youngsun Oh and Mihye Kim and Yooseung Lee and Youngwoo Chung and Ihara Hisanori and Joon{-}Hyuk Im and Daniel{-}K J Lee and Byunghyun Yim and GiDoo Lee and Heesang Kown and Sungho Choi and Jeonsook Lee and Dongyoung Jang and Youngchan Kim and Tae Chan Kim and Hiroshige Goto and Chi{-}Young Choi and Duckhyung Lee and Gab{-}soo Han}, title = {7.1 {A} 1/4-inch 8Mpixel {CMOS} image sensor with 3D backside-illuminated 1.12{\(\mu\)}m pixel with front-side deep-trench isolation and vertical transfer gate}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {124--125}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757365}, doi = {10.1109/ISSCC.2014.6757365}, timestamp = {Sun, 04 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AhnLKJKKPJPLPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKKKPKKPSCKK14, author = {Dong{-}Uk Lee and Kyung Whan Kim and Kwan{-}Weon Kim and Hongjung Kim and Ju Young Kim and Young Jun Park and Jae Hwan Kim and Dae Suk Kim and Heat Bit Park and Jin Wook Shin and Jang Hwan Cho and Ki Hun Kwon and Min Jeong Kim and Jaejin Lee and Kunwoo Park and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.2 {A} 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory {(HBM)} stacked {DRAM} with effective microbump {I/O} test methods using 29nm process and {TSV}}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {432--433}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757501}, doi = {10.1109/ISSCC.2014.6757501}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKKKPKKPSCKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCCRLLLKJHKMBP14, author = {Tae{-}Young Oh and Hoeju Chung and Young{-}Chul Cho and Jang{-}Woo Ryu and Kiwon Lee and Changyoung Lee and Jin{-}Il Lee and Hyoung{-}Joo Kim and Min{-}Soo Jang and Gong{-}Heum Han and Kihan Kim and Daesik Moon and Seung{-}Jun Bae and Joon{-}Young Park and Kyung{-}Soo Ha and Jaewoong Lee and Su{-}Yeon Doo and Jung{-}Bum Shin and Chang{-}Ho Shin and Kiseok Oh and Doo{-}Hee Hwang and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {25.1 {A} 3.2Gb/s/pin 8Gb 1.0V {LPDDR4} {SDRAM} with integrated {ECC} engine for sub-1V {DRAM} core operation}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {430--431}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757500}, doi = {10.1109/ISSCC.2014.6757500}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCCRLLLKJHKMBP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/UmSKCCSKLBKCKSP14, author = {Ji{-}Yong Um and Eun{-}Woo Song and Yoon{-}Jee Kim and Seong{-}Eun Cho and Min{-}Kyun Chae and Jongkeun Song and Bae{-}Hyung Kim and Seunghun Lee and Jihoon Bang and Youngil Kim and Kyungil Cho and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {24.8 An analog-digital-hybrid single-chip {RX} beamformer with non-uniform sampling for 2D-CMUT ultrasound imaging to achieve wide dynamic range of delay and small chip area}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {426--427}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757499}, doi = {10.1109/ISSCC.2014.6757499}, timestamp = {Mon, 10 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/UmSKCCSKLBKCKSP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/HahmPLKHC14, author = {YoungGyun Hahm and Jungyeul Park and Kyungtae Lim and Youngsik Kim and Dosam Hwang and Key{-}Sun Choi}, editor = {Nicoletta Calzolari and Khalid Choukri and Thierry Declerck and Hrafn Loftsson and Bente Maegaard and Joseph Mariani and Asunci{\'{o}}n Moreno and Jan Odijk and Stelios Piperidis}, title = {Named Entity Corpus Construction using Wikipedia and DBpedia Ontology}, booktitle = {Proceedings of the Ninth International Conference on Language Resources and Evaluation, {LREC} 2014, Reykjavik, Iceland, May 26-31, 2014}, pages = {2565--2569}, publisher = {European Language Resources Association {(ELRA)}}, year = {2014}, url = {http://www.lrec-conf.org/proceedings/lrec2014/summaries/688.html}, timestamp = {Mon, 19 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/HahmPLKHC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/ParkSKK14, author = {Kyung Jun Park and Kwang Yong Song and Young Kie Kim and Byoung Yoon Kim}, title = {All-fiber mode division multiplexer optimized for C-band}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2014, San Francisco, CA, USA, March 9-13, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1364/OFC.2014.M3K.2}, doi = {10.1364/OFC.2014.M3K.2}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/ofc/ParkSKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/ParkKYKK14, author = {Jongwon Park and Young Kook Kim and Byungho Yoon and Kyung{-}Soo Kim and Soohyun Kim}, title = {Design, analysis and simulation of biped running robot}, booktitle = {The 23rd {IEEE} International Symposium on Robot and Human Interactive Communication, {IEEE} {RO-MAN} 2014, Edinburgh, UK, August 25-29, 2014}, pages = {73--78}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ROMAN.2014.6926233}, doi = {10.1109/ROMAN.2014.6926233}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/ParkKYKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KwakPLKCK14, author = {Kyungmin Kwak and Daegil Park and Gihyeon Lee and Young Hyeon Kim and Wan Kyun Chung and Jinhyun Kim}, title = {Research of 3D omni-directional {RF} antenna attenuation model for using underwater vehicle localization}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {629--630}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057482}, doi = {10.1109/URAI.2014.7057482}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KwakPLKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/ShimLP13, author = {Kyung{-}Ah Shim and Young{-}Ran Lee and Cheol{-}Min Park}, title = {{EIBAS:} An efficient identity-based broadcast authentication scheme in wireless sensor networks}, journal = {Ad Hoc Networks}, volume = {11}, number = {1}, pages = {182--189}, year = {2013}, url = {https://doi.org/10.1016/j.adhoc.2012.04.015}, doi = {10.1016/J.ADHOC.2012.04.015}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/ShimLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/MoonJKHHLPK13, author = {Sanghoon Moon and Kwang Su Jung and Young Jin Kim and Mi Yeong Hwang and Kyungsook Han and Jong{-}Young Lee and Kiejung Park and Bong{-}Jo Kim}, title = {{KGVDB:} a population-based genomic map of CNVs tagged by SNPs in Koreans}, journal = {Bioinform.}, volume = {29}, number = {11}, pages = {1481--1483}, year = {2013}, url = {https://doi.org/10.1093/bioinformatics/btt173}, doi = {10.1093/BIOINFORMATICS/BTT173}, timestamp = {Wed, 16 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/MoonJKHHLPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeemm/LimPLAF13, author = {Youngkwon Lim and Kyungmo Park and Jin Young Lee and Shuichi Aoki and Gerard Fernando}, title = {{MMT:} An Emerging {MPEG} Standard for Multimedia Delivery over the Internet}, journal = {{IEEE} Multim.}, volume = {20}, number = {1}, pages = {80--85}, year = {2013}, url = {https://doi.org/10.1109/MMUL.2013.7}, doi = {10.1109/MMUL.2013.7}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeemm/LimPLAF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/BangIKPC13, author = {Kwanhu Bang and Kyung{-}Il Im and Dong{-}gun Kim and Sang{-}Hoon Park and Eui{-}Young Chung}, title = {Power Failure Protection Scheme for Reliable High-Performance Solid State Disks}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {96-D}, number = {5}, pages = {1078--1085}, year = {2013}, url = {https://doi.org/10.1587/transinf.E96.D.1078}, doi = {10.1587/TRANSINF.E96.D.1078}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/BangIKPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jowua/ChoPSR13, author = {Wonjun Cho and Youngho Park and Chul Sur and Kyung Hyune Rhee}, title = {An Improved Privacy-Preserving Navigation Protocol in \{VANET\}s}, journal = {J. Wirel. Mob. Networks Ubiquitous Comput. Dependable Appl.}, volume = {4}, number = {4}, pages = {80--92}, year = {2013}, url = {https://doi.org/10.22667/JOWUA.2013.12.31.080}, doi = {10.22667/JOWUA.2013.12.31.080}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jowua/ChoPSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoPYLJSCHKJ13, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive Multi-Pulse Program Scheme Based on Tunneling Speed Classification for Next Generation Multi-Bit/Cell {NAND} {FLASH}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {948--959}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2237974}, doi = {10.1109/JSSC.2013.2237974}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoPYLJSCHKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/ParkSSRS13, author = {Youngho Park and Chul Sur and Sang{-}Uk Shin and Kyung Hyune Rhee and Changho Seo}, title = {A Privacy Preserving Message Delivery Protocol Using Identity-Hidden Index in VDTNs}, journal = {J. Univers. Comput. Sci.}, volume = {19}, number = {16}, pages = {2385--2403}, year = {2013}, url = {https://doi.org/10.3217/jucs-019-16-2385}, doi = {10.3217/JUCS-019-16-2385}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jucs/ParkSSRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeSPCK13, author = {Sang Mi Lee and Gil{-}Ok Shin and Kyung Min Park and Pahn{-}Shick Chang and Young{-}Suk Kim}, title = {Determination of Odor Release in Hydrocolloid Model Systems Containing Original or Carboxylated Cellulose at Different pH Values Using Static Headspace Gas Chromatographic {(SHS-GC)} Analysis}, journal = {Sensors}, volume = {13}, number = {3}, pages = {2818--2829}, year = {2013}, url = {https://doi.org/10.3390/s130302818}, doi = {10.3390/S130302818}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeSPCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/JungKCP13, author = {Eun{-}Young Jung and Jong{-}Hun Kim and Kyung{-}Yong Chung and Dong{-}Kyun Park}, title = {Home Health Gateway Based Healthcare Services Through U-Health Platform}, journal = {Wirel. Pers. Commun.}, volume = {73}, number = {2}, pages = {207--218}, year = {2013}, url = {https://doi.org/10.1007/s11277-013-1231-8}, doi = {10.1007/S11277-013-1231-8}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/JungKCP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/LeeKYHP13, author = {Joong{-}Ho Lee and Sei{-}Young Kim and Hae Cheol Yoon and Bo Kyung Huh and Ji{-}Hyung Park}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {A preliminary investigation of human adaptations for various virtual eyes in video see-through {HMDS}}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013}, pages = {309--312}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2470654.2470698}, doi = {10.1145/2470654.2470698}, timestamp = {Tue, 01 Jun 2021 15:21:42 +0200}, biburl = {https://dblp.org/rec/conf/chi/LeeKYHP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/YunPS13, author = {Jihyeok Yun and Kyungmo Park and Doug Young Suh}, title = {Dynamic voltage and frequency scaling over delay constrained mobile multimedia service}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {414--417}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486955}, doi = {10.1109/ICCE.2013.6486955}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/YunPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/ChangYP13, author = {Young{-}Hyun Chang and Kyung{-}Bae Yoon and Dea{-}Woo Park}, title = {Technology for Forensic Analysis of Synchronized Smartphone Backup Data}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579430}, doi = {10.1109/ICISA.2013.6579430}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/ChangYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/ChangYP13a, author = {Young{-}Hyun Chang and Kyung{-}Bae Yoon and Dea{-}Woo Park}, title = {A Study on the {IP} Spoofing Attack through Proxy Server and Defense Thereof}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579417}, doi = {10.1109/ICISA.2013.6579417}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/ChangYP13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/ChangYP13b, author = {Young{-}Hyun Chang and Kyung{-}Bae Yoon and Dea{-}Woo Park}, title = {A Study on the Development of One Source Multi Use Cross-Platform Based on Zero Coding}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579501}, doi = {10.1109/ICISA.2013.6579501}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/ChangYP13b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/KimLPJ13, author = {Jae{-}Kwon Kim and Kyoung{-}Hak Lee and Dong{-}Kyun Park and Eun{-}Young Jung}, title = {Context-Aware U-Health Service: Identification of Exercise Recommendation Factors and Creation of Decision-Making Model Using Association Rule}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579439}, doi = {10.1109/ICISA.2013.6579439}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/KimLPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ict-eurasia/ParkSR13, author = {Youngho Park and Chul Sur and Kyung Hyune Rhee}, editor = {Khabib Mustofa and Erich J. Neuhold and A Min Tjoa and Edgar R. Weippl and Ilsun You}, title = {A Simplified Privacy Preserving Message Delivery Protocol in VDTNs}, booktitle = {Information and Communicatiaon Technology - International Conference, ICT-EurAsia 2013, Yogyakarta, Indonesia, March 25-29, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7804}, pages = {416--425}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-36818-9\_46}, doi = {10.1007/978-3-642-36818-9\_46}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/ict-eurasia/ParkSR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/LeeLPYY13, author = {Kyungroul Lee and Youngjun Lee and Junyoung Park and Kangbin Yim and Ilsun You}, editor = {Leonard Barolli and Ilsun You and Fatos Xhafa and Fang{-}Yie Leu and Hsing{-}Chung Chen}, title = {Security Issues on the {CNG} Cryptography Library (Cryptography {API:} Next Generation)}, booktitle = {Seventh International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {709--713}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IMIS.2013.128}, doi = {10.1109/IMIS.2013.128}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/LeeLPYY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/SurPSRS13, author = {Chul Sur and Youngho Park and Sang{-}Uk Shin and Kyung Hyune Rhee and Changho Seo}, editor = {Leonard Barolli and Ilsun You and Fatos Xhafa and Fang{-}Yie Leu and Hsing{-}Chung Chen}, title = {Certificate-Based Proxy Re-encryption for Public Cloud Storage}, booktitle = {Seventh International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {159--166}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IMIS.2013.35}, doi = {10.1109/IMIS.2013.35}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/SurPSRS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/ParkCLCPL10, author = {Byung{-}Yun Park and Byung{-}Mo Cho and Kyung{-}Hwan Lee and Jungsan Cho and Sangdeok Park and Youngsoo Lee}, title = {Development of engine speed control system for power supply of the robot}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695688}, doi = {10.1109/ISR.2013.6695688}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isr/ParkCLCPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/JungPKL13, author = {Eun{-}Young Jung and Dong{-}Kyun Park and Hyung Wook Kang and Yong Su Lim}, editor = {Christoph Ulrich Lehmann and Elske Ammenwerth and Christian N{\o}hr}, title = {Personalized Health Management Services Based on Personal Health Record {(PHR)}}, booktitle = {{MEDINFO} 2013 - Proceedings of the 14th World Congress on Medical and Health Informatics, 20-13 August 2013, Copenhagen, Denmark}, series = {Studies in Health Technology and Informatics}, volume = {192}, pages = {956}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-289-9-956}, doi = {10.3233/978-1-61499-289-9-956}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/JungPKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/music/KimKP13, author = {Youngsoo Kim and Ikkyun Kim and Namje Park}, editor = {James J. Park and Hojjat Adeli and Namje Park and Isaac Woungang}, title = {Analysis of Cyber Attacks and Security Intelligence}, booktitle = {Mobile, Ubiquitous, and Intelligent Computing - {MUSIC} 2013, {FTRA} 4th International Conference on Mobile, Ubiquitous, and Intelligent Computing, September 4-6, 2013, Gwangju, Korea}, series = {Lecture Notes in Electrical Engineering}, volume = {274}, pages = {489--494}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40675-1\_73}, doi = {10.1007/978-3-642-40675-1\_73}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/music/KimKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/ParkLLKVY13, author = {Junyoung Park and Youngjun Lee and Kyungroul Lee and Hanggon Kim and Dmitry Volokhov and Kangbin Yim}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa and James J. Park}, title = {{GEESE:} {A} Cognitive {USN} Platform}, booktitle = {16th International Conference on Network-Based Information Systems, NBiS 2013, Seo-gu, Gwangju, CA, Korea (South), September 4-6, 2013}, pages = {267--269}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/NBiS.2013.145}, doi = {10.1109/NBIS.2013.145}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/ParkLLKVY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkC13a, author = {Young Jin Park and Wan Kyun Chung}, title = {Unified external torque-sensing algorithm for flexible-joint robot based on Kalman filter}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {78--79}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677476}, doi = {10.1109/URAI.2013.6677476}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkC13c, author = {Young Jin Park and Wan Kyun Chung}, title = {Discrete-time implementation of disturbance observer based on robust internal-loop compensator framework: Easy-to-implement approach}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {335--339}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677381}, doi = {10.1109/URAI.2013.6677381}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkC13c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkLCKYJR13, author = {Hoon Chae Park and Ji Eun Lee and Hang Seok Choi and Jin Ho Kyung and Dongwon Yun and Sanghyun Jeong and Youngsun Ryuh}, title = {Application of {FSI} (Fluid Structure Interaction) to biomimetic robot fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {439--441}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677305}, doi = {10.1109/URAI.2013.6677305}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkLCKYJR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkRCC13, author = {Jong{-}hun Park and Hyejeong Ryu and Young{-}Ho Choi and Wan Kyun Chung}, title = {User following strategy for mobile robots}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {717--719}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677434}, doi = {10.1109/URAI.2013.6677434}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkRCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/YunKJPCR13, author = {Dongwon Yun and Jin Ho Kyung and Sanghyun Jeong and Chanhun Park and Hang Seok Choi and Youngsun Ryuh}, title = {Water tank experiment for a robotic fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {436--438}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677304}, doi = {10.1109/URAI.2013.6677304}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/YunKJPCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1301-6836, author = {Keehang Kwon and Kyunghwan Park and Mi{-}Young Park}, title = {Towards Interactive Object-Oriented Programming}, journal = {CoRR}, volume = {abs/1301.6836}, year = {2013}, url = {http://arxiv.org/abs/1301.6836}, eprinttype = {arXiv}, eprint = {1301.6836}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1301-6836.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cn/KimLPCP12, author = {Young{-}Min Kim and Eun{-}Jung Lee and Hea{-}Sook Park and Jun{-}Kyun Choi and Hong{-}Shik Park}, title = {Ant colony based self-adaptive energy saving routing for energy efficient Internet}, journal = {Comput. Networks}, volume = {56}, number = {10}, pages = {2343--2354}, year = {2012}, url = {https://doi.org/10.1016/j.comnet.2012.03.024}, doi = {10.1016/J.COMNET.2012.03.024}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cn/KimLPCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisis/KimPLYY12, author = {Sungkwan Kim and Junyoung Park and Kyungroul Lee and Ilsun You and Kangbin Yim}, title = {A Brief Survey on Rootkit Techniques in Malicious Codes}, journal = {J. Internet Serv. Inf. Secur.}, volume = {2}, number = {3/4}, pages = {134--147}, year = {2012}, url = {https://doi.org/10.22667/JISIS.2012.11.31.134}, doi = {10.22667/JISIS.2012.11.31.134}, timestamp = {Thu, 23 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisis/KimPLYY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12, author = {Chulbum Kim and Jinho Ryu and Tae{-}Sung Lee and Hyunggon Kim and Jaewoo Lim and Jaeyong Jeong and Seonghwan Seo and Hongsoo Jeon and Bokeun Kim and Inyoul Lee and Dooseop Lee and Pansuk Kwak and Seongsoon Cho and Yongsik Yim and Changhyun Cho and Woopyo Jeong and Kwang{-}Il Park and Jin{-}Man Han and Duheon Song and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 21 nm High Performance 64 Gb {MLC} {NAND} Flash Memory With 400 MB/s Asynchronous Toggle {DDR} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {981--989}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185341}, doi = {10.1109/JSSC.2012.2185341}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCSKKKKJKPKKCRCKKCC12, author = {Hyun{-}Woo Lee and Hoon Choi and Beom{-}Ju Shin and Kyung{-}Hoon Kim and Kyung Whan Kim and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Jae{-}Hwan Kim and Eun Young Park and Jong{-}Sam Kim and Jong{-}Hwan Kim and Jin{-}Hee Cho and Nam Gyu Rye and Jun Hyun Chun and Yunsaing Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.0-ns/1.0-V Delay-Locked Loop With Racing Mode and Countered {CAS} Latency Controller for {DRAM} Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {6}, pages = {1436--1447}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2191027}, doi = {10.1109/JSSC.2012.2191027}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCSKKKKJKPKKCRCKKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/presence/KyungLPS12, author = {Ki{-}Uk Kyung and Jun{-}Young Lee and Junseok Park and Mandayam A. Srinivasan}, title = {wUbi-Pen: Sensory Feedback Stylus Interacting with Graphical User Interface}, journal = {Presence Teleoperators Virtual Environ.}, volume = {21}, number = {2}, pages = {142--155}, year = {2012}, url = {https://doi.org/10.1162/PRES\_a\_00088}, doi = {10.1162/PRES\_A\_00088}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/presence/KyungLPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soca/LiLTXSPM12, author = {Wu Li and Yann{-}Hang Lee and Wei{-}Tek Tsai and Jingjing Xu and Young{-}Sung Son and Jun{-}Hee Park and Kyung{-}Duk Moon}, title = {Service-oriented smart home applications: composition, code generation, deployment, and execution}, journal = {Serv. Oriented Comput. Appl.}, volume = {6}, number = {1}, pages = {65--79}, year = {2012}, url = {https://doi.org/10.1007/s11761-011-0086-7}, doi = {10.1007/S11761-011-0086-7}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soca/LiLTXSPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeeLPKLSK12, author = {Young{-}Jun Lee and Ji{-}Bong Lee and Sung Ik Park and Heung Mook Kim and Yong{-}Tae Lee and Kyung Sik Son and Hyoung{-}Nam Kim}, title = {Correlation Canceling-Type Feedback Canceler Based on Decision-Directed Pilot Symbols for {T-DMB} Repeaters}, journal = {{IEEE} Trans. Broadcast.}, volume = {58}, number = {3}, pages = {499--507}, year = {2012}, url = {https://doi.org/10.1109/TBC.2012.2196322}, doi = {10.1109/TBC.2012.2196322}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/LeeLPKLSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tecs/NamKPPKS12, author = {Min{-}Young Nam and Kyungtae Kang and Rodolfo Pellizzoni and Kyung{-}Joon Park and Jung{-}Eun Kim and Lui Sha}, title = {Modeling towards incremental early analyzability of networked avionics systems using virtual integration}, journal = {{ACM} Trans. Embed. Comput. Syst.}, volume = {11}, number = {4}, pages = {81:1--81:23}, year = {2012}, url = {https://doi.org/10.1145/2362336.2362348}, doi = {10.1145/2362336.2362348}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tecs/NamKPPKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acl-alr/HahmLPYC12, author = {YoungGyun Hahm and Kyungtae Lim and Jungyeul Park and Yongun Yoon and Key{-}Sun Choi}, editor = {Ruvan Weerasinghe and Sarmad Hussain and Virach Sornlertlamvanich and Rachel Edita O{\~{n}}ate Roxas}, title = {Korean {NLP2RDF} Resources}, booktitle = {Proceedings of the 10th Workshop on Asian Language Resources, ALR@COLING 2012, Mumbai, India, December 9, 2012}, pages = {1--10}, publisher = {The {COLING} 2012 Organizing Committee}, year = {2012}, url = {https://aclanthology.org/W12-5201/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/acl-alr/HahmLPYC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/KimMLPC12, author = {Youngsoo Kim and Edwin Monroy and Okhwan Lee and Kyung{-}Joon Park and Sunghyun Choi}, title = {Adaptive two-level frame aggregation in {IEEE} 802.11n {WLAN}}, booktitle = {18th Asia-Pacific Conference on Communications, {APCC} 2012, Jeju, Korea (South), October 15-17, 2012}, pages = {658--663}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCC.2012.6388276}, doi = {10.1109/APCC.2012.6388276}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apcc/KimMLPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aswc/RezkPYLLHC12, author = {Mart{\'{\i}}n Rezk and Jungyeul Park and Yongun Yoon and Kyungtae Lim and John Bruntse Larsen and YoungGyun Hahm and Key{-}Sun Choi}, editor = {Hideaki Takeda and Yuzhong Qu and Riichiro Mizoguchi and Yoshinobu Kitamura}, title = {Korean Linked Data on the Web: Text to {RDF}}, booktitle = {Semantic Technology, Second Joint International Conference, {JIST} 2012, Nara, Japan, December 2-4, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7774}, pages = {368--374}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-37996-3\_31}, doi = {10.1007/978-3-642-37996-3\_31}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aswc/RezkPYLLHC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KangNLPYS12, author = {Kyungtae Kang and Min{-}Young Nam and Jaemyoun Lee and Juyoung Park and Homin Yoo and Lui Sha}, title = {Model-based design of a wireless telemetry system and QoS assessment using {AADL}}, booktitle = {2012 {IEEE} International Conference on Bioinformatics and Biomedicine Workshops, {BIBMW} 2012, Philadelphia, USA, October 4-7, 2012}, pages = {748--749}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/BIBMW.2012.6470230}, doi = {10.1109/BIBMW.2012.6470230}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KangNLPYS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KimPLPC12, author = {Hyoungkyun Kim and Byungjae Park and Jongwon Lee and Young Jin Park and Wan Kyun Chung}, title = {A parameter estimation method for the bilateral teleoperation framework for an {O2} lance manipulator}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {564--568}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CoASE.2012.6386468}, doi = {10.1109/COASE.2012.6386468}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/case/KimPLPC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/YuPCCK12, author = {Ho Yu and Young Jin Park and Il Seop Choi and Wan Kyun Chung and Kihwan Kim}, title = {Design and control of multi-degree-of-freedom shroud nozzle hydraulic manipulator in steel manufacturing}, booktitle = {2012 {IEEE} International Conference on Automation Science and Engineering, {CASE} 2012, Seoul, Korea (South), August 20-24, 2012}, pages = {538--543}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/CoASE.2012.6386308}, doi = {10.1109/COASE.2012.6386308}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/YuPCCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ParkK12, author = {Youngjun Park and Kyung{-}il Kim}, editor = {Naomi Miyake and David Peebles and Richard P. Cooper}, title = {Judgment under uncertainty is not always certainty-oriented}, booktitle = {Proceedings of the 34th Annual Meeting of the Cognitive Science Society, CogSci 2012, Sapporo, Japan, August 1-4, 2012}, publisher = {cognitivesciencesociety.org}, year = {2012}, url = {https://mindmodeling.org/cogsci2012/papers/0671/index.html}, timestamp = {Wed, 17 Apr 2024 12:44:19 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ParkK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/KimPLLYKK12, author = {Joo{-}Seok Kim and No{-}Uk Park and Ju{-}Young Lim and Tae{-}Hyuk Lim and Chang{-}Hyun Yoo and Kun{-}Sup Kwon and Kyungseok Kim}, editor = {Tai{-}Hoon Kim and Hyun Seob Cho and Osvaldo Gervasi and Stephen S. Yau}, title = {Radio Propagation Analysis for Various Urban Sites on Ka-Band Satellite Communication}, booktitle = {Computer Applications for Graphics, Grid Computing, and Industrial Environment - International Conferences, GDC, {IESH} and {CGAG} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {351}, pages = {299--306}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35600-1\_45}, doi = {10.1007/978-3-642-35600-1\_45}, timestamp = {Sun, 22 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/KimPLLYKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/ParkKLYY12, author = {Byung{-}Seok Park and Cheoul{-}Shin Kang and Young{-}Hun Lee and Hyun Woo Yoo and Kyung Shub Yoon}, editor = {Tai{-}Hoon Kim and Dae{-}Sik Ko and Thanos Vasilakos and Adrian Stoica and Jemal H. Abawajy}, title = {Design of {PLC} {NMS} Protocol for {AMI}}, booktitle = {Computer Applications for Communication, Networking, and Digital Contents - International Conferences, {FGCN} and {DCA} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {350}, pages = {123--130}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35594-3\_17}, doi = {10.1007/978-3-642-35594-3\_17}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/ParkKLYY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/KimPK12, author = {Youngsung Kim and Suntak Park and Ki{-}Uk Kyung}, editor = {Poika Isokoski and Jukka Springare}, title = {Transparent Haptics}, booktitle = {Haptics: Perception, Devices, Mobility, and Communication - International Conference, EuroHaptics 2012, Tampere, Finland, June 13-15, 2012 Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7283}, pages = {199--201}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-31404-9\_35}, doi = {10.1007/978-3-642-31404-9\_35}, timestamp = {Tue, 14 May 2019 10:00:37 +0200}, biburl = {https://dblp.org/rec/conf/haptics/KimPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ShinLLHHYLPKRKCC12, author = {Sunghwan Shin and In Lee and Hojin Lee and Gabjong Han and Kyungpyo Hong and Sunghoon Yim and Jongwon Lee and Young Jin Park and Byeong Ki Kang and Dae Ho Ryoo and Dae Whan Kim and Seungmoon Choi and Wan Kyun Chung}, title = {Haptic simulation of refrigerator door}, booktitle = {2012 {IEEE} Haptics Symposium, {HAPTICS} 2012, Vancouver, BC, Canada, March 4-7, 2012}, pages = {147--154}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/HAPTIC.2012.6183783}, doi = {10.1109/HAPTIC.2012.6183783}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/haptics/ShinLLHHYLPKRKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/KimSLP12, author = {Jae{-}Kwon Kim and Eun{-}Ji Son and Young{-}Ho Lee and Dong{-}Kyun Park}, editor = {Kuinam J. Kim and Kyung{-}Yong Chung}, title = {Decision Tree Driven Rule Induction for Heart Disease Prediction Model: Korean National Health and Nutrition Examinations Survey {V-1}}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2012, Pyeong Chang, Korea, December 5-7, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {215}, pages = {1015--1020}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5860-5\_123}, doi = {10.1007/978-94-007-5860-5\_123}, timestamp = {Wed, 24 May 2017 08:27:16 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/KimSLP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkLOC12, author = {Young Jin Park and Hosun Lee and Yonghwan Oh and Wan Kyun Chung}, title = {Development of Kalman Filter based two-port Body Force Observer for the flexible joint: Design and experiments}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2012, 14-18 May, 2012, St. Paul, Minnesota, {USA}}, pages = {2717--2722}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICRA.2012.6225384}, doi = {10.1109/ICRA.2012.6225384}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkLOC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ChoiJNJP12, author = {Kyungmook Choi and Yunseon Jang and Jingyoung Noh and MinChul Ju and Youngil Park}, title = {Visible light communications with color and dimming control by employing {VPPM} coding}, booktitle = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, pages = {10--12}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICUFN.2012.6261654}, doi = {10.1109/ICUFN.2012.6261654}, timestamp = {Wed, 27 Jul 2022 15:52:03 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ChoiJNJP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JangCRDJP12, author = {Yunseon Jang and Kyungmook Choi and Fahmida Rawshan and Seungrok Dan and MinChul Ju and Youngil Park}, title = {Bi-directional visible light communication using performance-based selection of IR-LEDs in upstream transmission}, booktitle = {Fourth International Conference on Ubiquitous and Future Networks, {ICUFN} 2012, Puket, Thailand, July 4-6, 2012}, pages = {8--9}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICUFN.2012.6261653}, doi = {10.1109/ICUFN.2012.6261653}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JangCRDJP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkLPKRJJLYJCK12, author = {Jaeseok Park and Ingeol Lee and Young{-}Seok Park and Sung{-}Geun Kim and Kyungho Ryu and Dong{-}Hoon Jung and Kangwook Jo and Choong Keun Lee and Hongil Yoon and Seong{-}Ook Jung and Woo{-}Young Choi and Sungho Kang}, title = {Integration of dual channel timing formatter system for high speed memory test equipment}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {185--187}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6407070}, doi = {10.1109/ISOCC.2012.6407070}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkLPKRJJLYJCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkLWS12, author = {Young{-}Kyun Park and Ji{-}Hoon Lim and Jae{-}Kyung Wee and Inchae Song}, title = {One-chip multi-output {SMPS} using a shared digital controller and a pseudo relaxation oscillating technique}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {513--516}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406909}, doi = {10.1109/ISOCC.2012.6406909}, timestamp = {Tue, 29 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkLWS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaePRKJNSYCCKYKJCYLCO12, author = {Yong{-}Cheol Bae and Joon{-}Young Park and Sang Jae Rhee and Seung{-}Bum Ko and Yonggwon Jeong and Kwang{-}Sook Noh and Young Hoon Son and Jaeyoun Youn and Yonggyu Chu and Hyunyoon Cho and Mijo Kim and Daesik Yim and Hyo{-}Chang Kim and Sang{-}Hoon Jung and Hye{-}In Choi and Sungmin Yim and Jung{-}Bae Lee and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 1.6Gb/s/pin 4Gb {LPDDR3} {SDRAM} with input skew calibration and enhanced control scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {44--46}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176871}, doi = {10.1109/ISSCC.2012.6176871}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaePRKJNSYCCKYKJCYLCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Hanki Jeoung and Ki Won Lee and Junsuk Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Jang Seok Choi and Byung{-}Sick Moon and Jung{-}Hwan Choi and Byungchul Kim and Seong{-}Jin Jang and Joo{-}Sun Choi and Kyungseok Oh}, title = {A 1.2V 30nm 3.2Gb/s/pin 4Gb {DDR4} {SDRAM} with dual-error detection and PVT-tolerant data-fetch scheme}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {38--40}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176868}, doi = {10.1109/ISSCC.2012.6176868}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnNSSBKLJJLPLLJPPCKCCJCMCKJCO12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iswcs/ChoLJPJL12, author = {Young{-}Kyun Cho and Sung Jun Lee and Seung Hyun Jang and Bong Hyuk Park and Jae Ho Jung and Kwang Chun Lee}, title = {20-MHz bandwidth continuous-time delta-sigma modulator for {EPWM} transmitter}, booktitle = {2012 International Symposium on Wireless Communication Systems (ISWCS), Paris, France, August 28-31, 2012}, pages = {885--889}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISWCS.2012.6328495}, doi = {10.1109/ISWCS.2012.6328495}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iswcs/ChoLJPJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ShinLCPLCH12, author = {Junghoon Shin and Byung{-}Ju Lim and Eunseok Choi and Youngsu Park and Sangjun Lee and Kyung{-}Yul Chung and Jiman Hong}, editor = {Yookun Cho and Rex E. Gantenbein and Tei{-}Wei Kuo and Vahid Tarokh}, title = {Design and implementation of the fault data providing system using mobile web service}, booktitle = {Research in Applied Computation Symposium, {RACS} '12, San Antonio, TX, USA, October 23-26, 2012}, pages = {114--115}, publisher = {{ACM}}, year = {2012}, url = {https://doi.org/10.1145/2401603.2401629}, doi = {10.1145/2401603.2401629}, timestamp = {Mon, 18 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/racs/ShinLCPLCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChoPYLJSCHKJ12, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive multi-pulse program scheme based on tunneling speed classification for next generation multi-bit/cell {NAND} {FLASH}}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {136--137}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243827}, doi = {10.1109/VLSIC.2012.6243827}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChoPYLJSCHKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ShinSJKYCKPYSCS12, author = {Seung{-}Hwan Shin and Dong{-}Kyo Shim and Jaeyong Jeong and Ohsuk Kwon and Sangyong Yoon and Myung{-}Hoon Choi and Tae{-}Young Kim and Hyun Wook Park and Hyun{-}Jun Yoon and Youngsun Song and Yoon{-}Hee Choi and Sang{-}Won Shim and Yang{-}Lo Ahn and Ki{-}Tae Park and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {A new 3-bit programming algorithm using SLC-to-TLC migration for 8MB/s high performance {TLC} {NAND} flash memory}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2012, Honolulu, HI, USA, June 13-15, 2012}, pages = {132--133}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VLSIC.2012.6243825}, doi = {10.1109/VLSIC.2012.6243825}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ShinSJKYCKPYSCS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/ParkEKKS11, author = {Cheon{-}Hyeon Park and Gershon Elber and Ku{-}Jin Kim and Gye{-}Young Kim and Joon{-}Kyung Seong}, title = {A hybrid parallel solver for systems of multivariate polynomials using CPUs and GPUs}, journal = {Comput. Aided Des.}, volume = {43}, number = {11}, pages = {1360--1369}, year = {2011}, url = {https://doi.org/10.1016/j.cad.2011.08.030}, doi = {10.1016/J.CAD.2011.08.030}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/ParkEKKS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChoiBCPC11, author = {Bum{-}Gon Choi and Sueng Jae Bae and Kyung{-}Yul Cheon and Aesoon Park and Min Young Chung}, title = {Relay Selection and Resource Allocation Schemes for Effective Utilization of Relay Zones in Relay-Based Cellular Networks}, journal = {{IEEE} Commun. Lett.}, volume = {15}, number = {4}, pages = {407--409}, year = {2011}, url = {https://doi.org/10.1109/LCOMM.2011.022411.101831}, doi = {10.1109/LCOMM.2011.022411.101831}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ChoiBCPC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeePYK11, author = {Jae{-}Seong Lee and Young{-}Cheol Park and Dae Hee Youn and Kyung{-}ok Kang}, title = {Efficient Windowing Scheme for MDCT-Based {TCX} in {AMR-WB+}}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {94-D}, number = {6}, pages = {1341--1344}, year = {2011}, url = {https://doi.org/10.1587/transinf.E94.D.1341}, doi = {10.1587/TRANSINF.E94.D.1341}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeePYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkKYK11, author = {Kyungmin Park and Chungha Koh and Kang Jin Yoon and Young Yong Kim}, title = {Dynamic Channel Adaptation for {IP} Based Split Spectrum Femto/Macro Cellular Systems}, journal = {{IEICE} Trans. Commun.}, volume = {94-B}, number = {3}, pages = {694--697}, year = {2011}, url = {https://doi.org/10.1587/transcom.E94.B.694}, doi = {10.1587/TRANSCOM.E94.B.694}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkKYK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/JungP11, author = {Kyung{-}goo Jung and Seung Young Park}, title = {Resource management scheme for multicasting in orthogonal frequency division multiple access-based single frequency networks}, journal = {{IET} Commun.}, volume = {5}, number = {14}, pages = {1987--1998}, year = {2011}, url = {https://doi.org/10.1049/iet-com.2010.0483}, doi = {10.1049/IET-COM.2010.0483}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/JungP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LeePKC11, author = {Hyungho Lee and Kyung{-}Joon Park and Young{-}Bae Ko and Chong{-}Ho Choi}, title = {Wireless {LAN} with medical-grade QoS for e-healthcare}, journal = {J. Commun. Networks}, volume = {13}, number = {2}, pages = {149--159}, year = {2011}, url = {https://doi.org/10.1109/JCN.2011.6157414}, doi = {10.1109/JCN.2011.6157414}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LeePKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/SeoKKKJPLY11, author = {Mincheol Seo and Kyungwon Kim and Min{-}Su Kim and Hyungchul Kim and Jeongbae Jeon and Myung{-}Kyu Park and Hyojoon Lim and Youngoo Yang}, title = {Ultrabroadband Linear Power Amplifier Using a Frequency-Selective Analog Predistorter}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {58-II}, number = {5}, pages = {264--268}, year = {2011}, url = {https://doi.org/10.1109/TCSII.2011.2149170}, doi = {10.1109/TCSII.2011.2149170}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/SeoKKKJPLY11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/SuhPLMS11, author = {Young{-}Woo Suh and Sung Ik Park and Jaekwon Lee and Ha{-}Kyun Mok and Jong{-}Soo Seo}, title = {A novel TxID insertion system for {ATSC} {DTV} auxiliary data transmission}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {57}, number = {1}, pages = {35}, year = {2011}, url = {https://doi.org/10.1109/TCE.2011.5735478}, doi = {10.1109/TCE.2011.5735478}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/SuhPLMS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/ParkSR11, author = {Youngho Park and Chul Sur and Kyung Hyune Rhee}, title = {A Privacy-Preserving Location Assurance Protocol for Location-Aware Services in VANETs}, journal = {Wirel. Pers. Commun.}, volume = {61}, number = {4}, pages = {779--791}, year = {2011}, url = {https://doi.org/10.1007/s11277-011-0432-2}, doi = {10.1007/S11277-011-0432-2}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/ParkSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEares/SurPNSR11, author = {Chul Sur and Youngho Park and Takashi Nishide and Kouichi Sakurai and Kyung Hyune Rhee}, editor = {A Min Tjoa and Gerald Quirchmayr and Ilsun You and Lida Xu}, title = {Securing Location-Aware Services Based on Online/Offline Signatures in VANETs}, booktitle = {Availability, Reliability and Security for Business, Enterprise and Health Information Systems - {IFIP} {WG} 8.4/8.9 International Cross Domain Conference and Workshop, {ARES} 2011, Vienna, Austria, August 22-26, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6908}, pages = {271--285}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23300-5\_21}, doi = {10.1007/978-3-642-23300-5\_21}, timestamp = {Tue, 14 May 2019 10:00:49 +0200}, biburl = {https://dblp.org/rec/conf/IEEEares/SurPNSR11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accas/KimKRP11, author = {Youngjun Kim and Kyunghwan Kim and Fr{\'{e}}d{\'{e}}rick Roy and Sehyung Park}, editor = {Takeyoshi Dohi and Hongen Liao}, title = {Development of a Laparoscopic Surgical Training System with Simulation Open Framework Architecture {(SOFA)}}, booktitle = {Computer Aided Surgery - 7th Asian Conference on Computer Aided Surgery, {ACCAS} 2011, Bangkok, Thailand, August 2011, Proceedings}, series = {Proceedings in Information and Communications Technology}, volume = {3}, pages = {83--91}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-4-431-54094-6\_10}, doi = {10.1007/978-4-431-54094-6\_10}, timestamp = {Wed, 12 Sep 2018 18:15:56 +0200}, biburl = {https://dblp.org/rec/conf/accas/KimKRP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/MoonPYGJLJJ11, author = {Dai{-}Tchul Moon and Inhag Park and Hyoung Kie Yun and Xu Gang and In{-}Kyu Jang and Woo{-}Kyung Lee and Jae{-}Min Jang and Ki{-}Young Jung}, title = {An {FPGA} implementation of energy saving embedded system with multiple vision sensors}, booktitle = {{IEEE} 17th Asia-Pacific Conference on Communications, {APCC} 2011, Sabah, Malaysia, October 2-5, 2011}, pages = {905--909}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/APCC.2011.6152938}, doi = {10.1109/APCC.2011.6152938}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/apcc/MoonPYGJLJJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/LeeSRKKP11, author = {Young Hoon Lee and Heeyeon Seo and Farrukh Rasheed and Kyung Sup Kim and Seung Ho Kim and Incheol Park}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Haeng{-}Kon Kim and Heau{-}Jo Kang and Kyung Jung Kim and Kiumi Akingbehin and Byeong Ho Kang}, title = {'Surge Capacity Evaluation of an Emergency Department in Case of Mass Casualty'}, booktitle = {Software Engineering, Business Continuity, and Education - International Conferences ASEA, {DRBC} and {EL} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {257}, pages = {522--531}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27207-3\_57}, doi = {10.1007/978-3-642-27207-3\_57}, timestamp = {Mon, 04 Nov 2019 12:36:13 +0100}, biburl = {https://dblp.org/rec/conf/fgit/LeeSRKKP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/NamJP11, author = {Young Jin Nam and Soon Hwan Jeong and Young Kyun Park}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Jianhua Ma and Wai{-}Chi Fang and Byeong Ho Kang and Byungjoo Park and Frode Eika Sandnes and Kun Chang Lee}, title = {Hybrid Storage Architecture for Networked Digital Signage Systems}, booktitle = {{U-} and E-Service, Science and Technology - International Conference, {UNESST} 2011, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2011, in Conjunction with {GDC} 2011, Jeju Island, Korea, December 8-10, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {264}, pages = {10--16}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-27210-3\_2}, doi = {10.1007/978-3-642-27210-3\_2}, timestamp = {Tue, 20 Aug 2024 07:54:44 +0200}, biburl = {https://dblp.org/rec/conf/fgit/NamJP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/NamCPP11, author = {Kyung{-}Won Nam and Eui{-}Yeol Choi and Jin{-}Su Park and Hye{-}Young Park}, editor = {Parimala Thulasiraman and Laurence Tianruo Yang and Qiwen Pan and Xingang Liu and Yaw{-}Chung Chen and Yo{-}Ping Huang and Lin{-}Huang Chang and Che{-}Lun Hung and Che{-}Rung Lee and Justin Y. Shi and Ying Zhang}, title = {Information Lifecycle Management in City-Wide Ubiquitous Computing Environment}, booktitle = {13th {IEEE} International Conference on High Performance Computing {\&} Communication, {HPCC} 2011, Banff, Alberta, Canada, September 2-4, 2011}, pages = {910--915}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/HPCC.2011.131}, doi = {10.1109/HPCC.2011.131}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/NamCPP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ChoiCCCP11, author = {Bum{-}Gon Choi and Eun Sam Cho and Min Young Chung and Kyung{-}Yul Cheon and Aesoon Park}, editor = {Cheeha Kim and Yongtae Shin}, title = {A femtocell power control scheme to mitigate interference using listening {TDD} frame}, booktitle = {2011 International Conference on Information Networking, {ICOIN} 2011, Kuala Lumpur, Malaysia, January 26-28, 2011}, pages = {241--244}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/ICOIN.2011.5723186}, doi = {10.1109/ICOIN.2011.5723186}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ChoiCCCP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ChoiLLKPKGCSW11, author = {Jaewon Choi and Yoon{-}Kyung Lee and Moung{-}Jin Lee and Kidong Kim and Youngjin Park and Seongsam Kim and Shinhoi Goo and Myeongheum Cho and Jaehyeon Sim and Joong{-}Sun Won}, title = {Landslide susceptibility mapping by using an adaptive neuro-fuzzy inference system {(ANFIS)}}, booktitle = {2011 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2011, Vancouver, BC, Canada, July 24-29, 2011}, pages = {1989--1992}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/IGARSS.2011.6049518}, doi = {10.1109/IGARSS.2011.6049518}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ChoiLLKPKGCSW11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ParkRS11, author = {Youngho Park and Kyung Hyune Rhee and Chul Sur}, editor = {Ilsun You and Leonard Barolli and Feilong Tang and Fatos Xhafa}, title = {A Secure and Location Assurance Protocol for Location-Aware Services in VANETs}, booktitle = {Proceedings of the Fifth International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2011, Seoul, Korea, June 30-July 02, 2011}, pages = {456--461}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/IMIS.2011.40}, doi = {10.1109/IMIS.2011.40}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/ParkRS11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Kyehyun Kyung and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2V 12.8GB/s 2Gb mobile Wide-I/O {DRAM} with 4{\texttimes}128 I/Os using TSV-based stacking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {496--498}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746413}, doi = {10.1109/ISSCC.2011.5746413}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPHPJJKKHHBMALGL11, author = {Sangjoo Lee and Kyungho Lee and Jongeun Park and Hyungjun Han and Younghwan Park and Taesub Jung and Youngheup Jang and Bumsuk Kim and Yitae Kim and Shay Hamami and Uzi Hizi and Mickey Bahar and Changrok Moon and JungChak Ahn and Duckhyung Lee and Hiroshige Goto and Yun{-}Tae Lee}, title = {A 1/2.33-inch 14.6M 1.4{\(\mu\)}m-pixel backside-illuminated {CMOS} image sensor with floating diffusion boosting}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {416--418}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746377}, doi = {10.1109/ISSCC.2011.5746377}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPHPJJKKHHBMALGL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/KoLPKISK11, author = {Yi{-}Woong Ko and Jung{-}Bin Lim and Young{-}Ho Park and Cheong Kim and In{-}Sik In and Jong{-}Tae Son and Kyung{-}Min Kim}, title = {Fabrication of hybrid nanoparticle/CNT nanocomposite by self-assembly method via ionic interaction}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {772--778}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181380}, doi = {10.1109/ROBIO.2011.6181380}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/KoLPKISK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/ParkKJKK11, author = {Jongwon Park and Young Kook Kim and Won Suk Jung and Kyung{-}Soo Kim and Soohyun Kim}, title = {Ground following locomotion of a robot inspired by pill bugs}, booktitle = {2011 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2011, Karon Beach, Thailand, December 7-11, 2011}, pages = {2361--2365}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ROBIO.2011.6181651}, doi = {10.1109/ROBIO.2011.6181651}, timestamp = {Sat, 20 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/robio/ParkKJKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/NamSSPK11, author = {Min{-}Young Nam and Eunsoo Seo and Lui Sha and Kyung{-}Joon Park and Kyungtae Kang}, title = {Limiting Worst-Case End-to-End Latency When Traffic Increases in a Switched Avionics Network}, booktitle = {17th {IEEE} International Conference on Embedded and Real-Time Computing Systems and Applications, {RTCSA} 2011, Toyama, Japan, August 28-31, 2011, Volume 1}, pages = {285--294}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/RTCSA.2011.9}, doi = {10.1109/RTCSA.2011.9}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/NamSSPK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sersc-isa/ChoP11, author = {Yong{-}Kyun Cho and Young{-}Bum Park}, editor = {Tai{-}Hoon Kim and Hojjat Adeli and Rosslin John Robles and Maricel O. Balitanas}, title = {Requirements Analysis and Critical Requirement Derivation Method Using Macrostruktur}, booktitle = {Information Security and Assurance - International Conference, {ISA} 2011, Brno, Czech Republic, August 15-17, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {200}, pages = {367--377}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23141-4\_38}, doi = {10.1007/978-3-642-23141-4\_38}, timestamp = {Wed, 24 May 2017 08:30:52 +0200}, biburl = {https://dblp.org/rec/conf/sersc-isa/ChoP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wpmc/OhPKLK11, author = {Seung{-}Hun Oh and Il{-}Kyun Park and Seok{-}Kap Ko and Byung{-}Tak Lee and Young{-}Sun Kim}, title = {Transitional approaches in {DTN} routing algorithm}, booktitle = {14th International Symposium on Wireless Personal Multimedia Communications, {WPMC} 2011, Brest, France, October 3-7, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://ieeexplore.ieee.org/document/6081588/}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wpmc/OhPKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkCS10, author = {Min{-}Chul Park and Kyung Joo Cheoi and Jung{-}Young Son}, title = {An intelligent remote controller for Free-Viewpoint {TV}}, journal = {{IEICE} Electron. Express}, volume = {7}, number = {6}, pages = {383--389}, year = {2010}, url = {https://doi.org/10.1587/elex.7.383}, doi = {10.1587/ELEX.7.383}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimPS10, author = {Kyung{-}Yong Kim and Gwang Hoon Park and Doug Young Suh}, title = {Adaptive Depth-Map Coding for 3D-Video}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {93-D}, number = {8}, pages = {2262--2272}, year = {2010}, url = {https://doi.org/10.1587/transinf.E93.D.2262}, doi = {10.1587/TRANSINF.E93.D.2262}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimPS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KohYPK10, author = {Chungha Koh and Kang Jin Yoon and Kyungmin Park and Young Yong Kim}, title = {Joint Realtime Adaptation of Channel Assignment and Cell Coverage in Femto Cell Systems}, journal = {{IEICE} Trans. Commun.}, volume = {93-B}, number = {1}, pages = {203--206}, year = {2010}, url = {https://doi.org/10.1587/transcom.E93.B.203}, doi = {10.1587/TRANSCOM.E93.B.203}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KohYPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkLJ10, author = {Seung Young Park and Hyun{-}hee Lee and Kyung{-}goo Jung}, title = {Distributed Channel Assignment Scheme Supporting Various Traffic Loads in Microcellular Systems}, journal = {{IEICE} Trans. Commun.}, volume = {93-B}, number = {3}, pages = {766--770}, year = {2010}, url = {https://doi.org/10.1587/transcom.E93.B.766}, doi = {10.1587/TRANSCOM.E93.B.766}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkLJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/YangPCLKK10, author = {Kiyull Yang and Young Hee Park and Soo Gyeong Cho and Hai Whang Lee and Chan Kyung Kim and Hyun{-}Joo Koo}, title = {Theoretical studies on the formation mechanism and explosive performance of nitro-substituted 1, 3, 5-triazines}, journal = {J. Comput. Chem.}, volume = {31}, number = {13}, pages = {2483--2492}, year = {2010}, url = {https://doi.org/10.1002/jcc.21542}, doi = {10.1002/JCC.21542}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/YangPCLKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ParkSJR10, author = {Youngho Park and Chul Sur and Chae Duk Jung and Kyung Hyune Rhee}, title = {An Efficient Anonymous Authentication Protocol for Secure Vehicular Communications}, journal = {J. Inf. Sci. Eng.}, volume = {26}, number = {3}, pages = {785--800}, year = {2010}, url = {http://www.iis.sinica.edu.tw/page/jise/2010/201005\_04.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ParkSJR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKYKKCKKPKTPKLOJYPOKJ10, author = {Ki{-}Whan Song and Jinyoung Kim and Jae{-}Man Yoon and Sua Kim and Huijung Kim and Hyun{-}Woo Chung and Hyungi Kim and Kanguk Kim and Hwan{-}Wook Park and Hyun Chul Kang and Nam{-}Kyun Tak and Dukha Park and Woo{-}Seop Kim and Yeong{-}Taek Lee and Yong Chul Oh and Gyo{-}Young Jin and Jei{-}Hwan Yoo and Donggun Park and Kyungseok Oh and Changhyun Kim and Young{-}Hyun Jun}, title = {A 31 ns Random Cycle VCAT-Based 4F \({}^{\mbox{2}}\) {DRAM} With Manufacturability and Enhanced Cell Efficiency}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {4}, pages = {880--888}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2040229}, doi = {10.1109/JSSC.2010.2040229}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongKYKKCKKPKTPKLOJYPOKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/SuhPMKCS10, author = {Young{-}Woo Suh and Sung Ik Park and Ha{-}Kyun Mok and Heung Mook Kim and Jin{-}Yong Choi and Jong{-}Soo Seo}, title = {Network Design and Field Application of {ATSC} Distributed Translators}, journal = {{IEEE} Trans. Broadcast.}, volume = {56}, number = {2}, pages = {150--159}, year = {2010}, url = {https://doi.org/10.1109/TBC.2010.2043899}, doi = {10.1109/TBC.2010.2043899}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/SuhPMKCS10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimLJKLMCPP10, author = {Young{-}Ju Kim and Kyung{-}Hoon Lee and Seung{-}Hak Ji and Yi{-}Gi Kwon and Seung{-}Hoon Lee and Kyoung{-}Jun Moon and Michael Choi and Ho{-}Jin Park and Byeong{-}Ha Park}, editor = {Jacqueline Snyder and Rakesh Patel and Tom Andre}, title = {A 10b 120MS/s 45nm {CMOS} {ADC} using {A} re-configurable three-stage switched op-amp}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2010, San Jose, California, USA, 19-22 September, 2010, Proceedings}, pages = {1--4}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/CICC.2010.5617409}, doi = {10.1109/CICC.2010.5617409}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimLJKLMCPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cms/SurJPR10, author = {Chul Sur and Chae Duk Jung and Youngho Park and Kyung Hyune Rhee}, editor = {Bart De Decker and Ingrid Schaum{\"{u}}ller{-}Bichl}, title = {Chosen-Ciphertext Secure Certificateless Proxy Re-Encryption}, booktitle = {Communications and Multimedia Security, 11th {IFIP} {TC} 6/TC 11 International Conference, {CMS} 2010, Linz, Austria, May 31 - June 2, 2010. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6109}, pages = {214--232}, publisher = {Springer}, year = {2010}, url = {https://doi.org/10.1007/978-3-642-13241-4\_20}, doi = {10.1007/978-3-642-13241-4\_20}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/cms/SurJPR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/NamPLI10, author = {Young Jin Nam and Young Kyun Park and Jong Tae Lee and Fredrick Ishengoma}, title = {Cost-Aware Virtual {USB} Drive: Providing Cost-Effective Block {I/O} Management Commercial Cloud Storage for Mobile Devices}, booktitle = {13th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2010, Hong Kong, China, December 11-13, 2010}, pages = {427--432}, publisher = {{IEEE} Computer Society}, year = {2010}, url = {https://doi.org/10.1109/CSE.2010.75}, doi = {10.1109/CSE.2010.75}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/NamPLI10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeonPRK10, author = {Seil Jeon and Kyung{-}Won Park and Hee Wook Ryu and Younghan Kim}, title = {A design of M2M-based intelligent operating system for effective pollution control facilities}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {521--522}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674786}, doi = {10.1109/ICTC.2010.5674786}, timestamp = {Mon, 30 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JeonPRK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkC10, author = {Young Jin Park and Wan Kyun Chung}, title = {Reinterpretation of Force Integral Control considering the control ability of system input}, booktitle = {2010 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, October 18-22, 2010, Taipei, Taiwan}, pages = {3586--3591}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IROS.2010.5649976}, doi = {10.1109/IROS.2010.5649976}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKYPLKKJKRKCKCCK10, author = {Hyun{-}Woo Lee and Yong{-}Hoon Kim and Won{-}Joo Yun and Eun Young Park and Kang Youl Lee and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Kyung Whan Kim and Nam Gyu Rye and Kwan{-}Weon Kim and Jun Hyun Chun and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Joong Sik Kih}, title = {A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and {OA-DCC} for {DRAM} interface}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3861--3864}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537703}, doi = {10.1109/ISCAS.2010.5537703}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKYPLKKJKRKCKCCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JooCCOPKJ10, author = {Sanghoon Joo and Wu{-}Hsin Chen and Tae{-}Young Choi and Mi{-}Kyung Oh and Joo{-}Ho Park and Jae{-}Young Kim and Byunghoo Jung}, title = {A fully integrated 802.15.4a {IR-UWB} Transceiver in 0.13{\(\mathrm{\mu}\)}m {CMOS} with digital {RRC} synthesis}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {228--229}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433957}, doi = {10.1109/ISSCC.2010.5433957}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JooCCOPKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KimSJPHKC10, author = {Young{-}Ah Kim and Soo{-}Yong Shin and Eun{-}Mi Jo and Chan{-}Hee Park and Min{-}A. Hwang and Kyung Hwan Kim and Chun Kee Chung}, editor = {Charles Safran and Shane R. Reti and Heimar F. Marin}, title = {Case Study: Analysis of End-User Requests on Electronic Medical Record and Computerized Physician Order Entry System of Seoul National University Hospital in Korea}, booktitle = {{MEDINFO} 2010 - Proceedings of the 13th World Congress on Medical Informatics, Cape Town, South Africa, September 12-15, 2010}, series = {Studies in Health Technology and Informatics}, volume = {160}, pages = {169--172}, publisher = {{IOS} Press}, year = {2010}, url = {https://doi.org/10.3233/978-1-60750-588-4-169}, doi = {10.3233/978-1-60750-588-4-169}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KimSJPHKC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkR09, author = {Youngho Park and Kyung Hyune Rhee}, title = {An Authenticated On-Demand Routing Protocol with Key Exchange for Secure {MANET}}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {92-D}, number = {5}, pages = {810--817}, year = {2009}, url = {https://doi.org/10.1587/transinf.E92.D.810}, doi = {10.1587/TRANSINF.E92.D.810}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/JungSPR09, author = {Chae Duk Jung and Chul Sur and Youngho Park and Kyung Hyune Rhee}, title = {A robust and efficient anonymous authentication protocol in VANETs}, journal = {J. Commun. Networks}, volume = {11}, number = {6}, pages = {607--614}, year = {2009}, url = {https://doi.org/10.1109/JCN.2009.6388414}, doi = {10.1109/JCN.2009.6388414}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/JungSPR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HaKBPCJK09, author = {Kyung{-}Soo Ha and Lee{-}Sup Kim and Seung{-}Jun Bae and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 0.13-{\(\mathrm{\mu}\)}m {CMOS} 6 Gb/s/pin Memory Transceiver Using Pseudo-Differential Signaling for Removing Common-Mode Noise Due to {SSN}}, journal = {{IEEE} J. Solid State Circuits}, volume = {44}, number = {11}, pages = {3146--3162}, year = {2009}, url = {https://doi.org/10.1109/JSSC.2009.2031527}, doi = {10.1109/JSSC.2009.2031527}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HaKBPCJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spic/ParkJOLKLLYHK09, author = {Young Kyung Park and Kwanghee Jung and Youngjin Oh and Sunyoung Lee and Joongkyu Kim and Gwangsoon Lee and Hyun Lee and Kugjin Yun and Namho Hur and Jinwoong Kim}, title = {Depth-image-based rendering for 3DTV service over {T-DMB}}, journal = {Signal Process. Image Commun.}, volume = {24}, number = {1-2}, pages = {122--136}, year = {2009}, url = {https://doi.org/10.1016/j.image.2008.10.008}, doi = {10.1016/J.IMAGE.2008.10.008}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/spic/ParkJOLKLLYHK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LimAJPKVCJPY09, author = {Kyung{-}Hoon Lim and Gunhyun Ahn and Sung{-}Chan Jung and Hyun{-}Chul Park and Min{-}Su Kim and Ju{-}Ho Van and Hanjin Cho and Jonghyuk Jeong and Cheon{-}Seok Park and Youngoo Yang}, title = {A 60-W Multicarrier {WCDMA} Power Amplifier Using an {RF} Predistorter}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {56-II}, number = {4}, pages = {265--269}, year = {2009}, url = {https://doi.org/10.1109/TCSII.2009.2015385}, doi = {10.1109/TCSII.2009.2015385}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LimAJPKVCJPY09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/OhP009, author = {Mi{-}Kyung Oh and Joo{-}Ho Park and Jae{-}Young Kim}, title = {{IR-UWB} packet-based precise ranging system for u-Home networks}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {55}, number = {1}, pages = {119--125}, year = {2009}, url = {https://doi.org/10.1109/TCE.2009.4814423}, doi = {10.1109/TCE.2009.4814423}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/OhP009.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/ParkPKLP09, author = {Dong{-}Hyun Park and Young{-}Ho Park and Kyung{-}Sook Kim and Gi{-}Ja Lee and Hun{-}Kuk Park}, title = {Multiple X-Ray Beam Radiography for Detecting Dental Demineralization}, booktitle = {Proceedings of the 2nd International Conference on BioMedical Engineering and Informatics, {BMEI} 2009, October 17-19, 2009, Tianjin, China}, pages = {1--5}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/BMEI.2009.5305027}, doi = {10.1109/BMEI.2009.5305027}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/bmei/ParkPKLP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimCLALKMCMPP09, author = {Young{-}Ju Kim and Hee{-}Cheol Choi and Kyung{-}Hoon Lee and Gil{-}Cho Ahn and Seung{-}Hoon Lee and Ju{-}Hwa Kim and Kyoung{-}Jun Moon and Michael Choi and Kyoung{-}Ho Moon and Ho{-}Jin Park and Byeong{-}Ha Park}, title = {A 9.43-ENOB 160MS/s 1.2V 65nm {CMOS} {ADC} based on multi-stage amplifiers}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2009, San Jose, California, USA, 13-16 September, 2009, Proceedings}, pages = {271--274}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/CICC.2009.5280857}, doi = {10.1109/CICC.2009.5280857}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimCLALKMCMPP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaESA/YunPKOP09, author = {Nam{-}Sik Yun and Yoon{-}Young Park and Hee{-}Kuk Kang and Moon{-}Kyun Oh and Hojoon Park}, editor = {Hamid R. Arabnia and Ashu M. G. Solo}, title = {ETTesto: The Integrated Development and Monitoring Environment for Embedded System based on Eclipse Platform}, booktitle = {Proceedings of the 2009 International Conference on Embedded Systems {\&} Applications, {ESA} 2009, July 13-16, 2009, Las Vegas Nevada, {USA}}, pages = {280--283}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Tue, 03 Nov 2009 11:09:08 +0100}, biburl = {https://dblp.org/rec/conf/csreaESA/YunPKOP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasc/ShinPKKKCA09, author = {Juseok Shin and Yongsoo Park and Sungsoo Kim and Youngbak Kim and Kyungoul Kim and Wonseok Choi and Kwangseon Ahn}, title = {A Symmetric Key Based {RFID} Authentication Protocol Using Encrypted Tag {ID}}, booktitle = {Eighth {IEEE} International Conference on Dependable, Autonomic and Secure Computing, {DASC} 2009, Chengdu, China, 12-14 December, 2009}, pages = {851--852}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/DASC.2009.106}, doi = {10.1109/DASC.2009.106}, timestamp = {Mon, 08 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasc/ShinPKKKCA09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icara/ChuJCHLPLLKK09, author = {Baeksuk Chu and Kyungmo Jung and Youngsu Chu and Daehie Hong and Myo{-}Taeg Lim and Shinsuk Park and Yongkwun Lee and Sung{-}Uk Lee and Min Chul Kim and Kang Ho Ko}, editor = {Gourab Sen Gupta and Subhas Chandra Mukhopadhyay}, title = {Robotic automation system for steel beam assembly in building construction}, booktitle = {4th International Conference on Autonomous Robots and Agents, {ICARA} 2009, Wellington, New Zealand, February 10-12, 2009}, pages = {38--43}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICARA.2000.4803937}, doi = {10.1109/ICARA.2000.4803937}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icara/ChuJCHLPLLKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icess/XuLTLSPM09, author = {Jingjing Xu and Yann{-}Hang Lee and Wei{-}Tek Tsai and Wu Li and Young{-}Sung Son and Jun{-}Hee Park and Kyung{-}Duk Moon}, editor = {Tianzhou Chen and Dimitrios N. Serpanos and Walid Taha}, title = {Ontology-Based Smart Home Solution and Service Composition}, booktitle = {International Conference on Embedded Software and Systems, {ICESS} '09, Hangzhou, Zhejiang, P. R. China, May 25-27, 2009}, pages = {297--304}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICESS.2009.60}, doi = {10.1109/ICESS.2009.60}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icess/XuLTLSPM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/KimZQMPSLK09, author = {Kane Kim and Qian Zhou and Jing Qian and Kyung{-}Deok Moon and Jun Hee Park and Young{-}Sung Son and Chang{-}Eun Lee and Tai{-}Yeon Ku}, title = {Realization of Fault-Tolerant Home Network Management Middleware with the {TMO} Structuring Approach and an Integration of Fault Detection and Reconfiguration Mechanisms}, booktitle = {2009 {IEEE} International Symposium on Object/Component/Service-Oriented Real-Time Distributed Computing, {ISORC} 2009, Tokyo, Japan, 17-20 March 2009}, pages = {188--197}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ISORC.2009.52}, doi = {10.1109/ISORC.2009.52}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/KimZQMPSLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaKBPCJK09, author = {Kyung{-}Soo Ha and Lee{-}Sup Kim and Seung{-}Jun Bae and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 6Gb/s/pin pseudo-differential signaling using common-mode noise rejection techniques without reference signal for {DRAM} interfaces}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {138--139}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977346}, doi = {10.1109/ISSCC.2009.4977346}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HaKBPCJK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/micad/LeeKSPLK09, author = {Youngjoo Lee and Namkug Kim and Joon Beom Seo and Sang Ok Park and Young Kyung Lee and Suk{-}Ho Kang}, editor = {Nico Karssemeijer and Maryellen L. Giger}, title = {Improvement of computational efficiency using a cascade classification scheme for the classification of diffuse infiltrative lung disease on {HRCT}}, booktitle = {Medical Imaging 2009: Computer-Aided Diagnosis, Lake Buena Vista (Orlando Area), Florida, United States, 7-12 February 2009}, series = {{SPIE} Proceedings}, volume = {7260}, pages = {72603A}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.811439}, doi = {10.1117/12.811439}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/micad/LeeKSPLK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobilight/ParkSJR09, author = {Youngho Park and Chul Sur and Chae Duk Jung and Kyung Hyune Rhee}, editor = {Fabrizio Granelli and Charalabos Skianis and Periklis Chatzimisios and Yang Xiao and Simone Redana}, title = {Efficient Anonymous Authentication Protocol Using Key-Insulated Signature Scheme for Secure {VANET}}, booktitle = {Mobile Lightweight Wireless Systems, First International {ICST} Conference, {MOBILIGHT} 2009, Athens, Greece, May 18-20, 2009, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {13}, pages = {35--44}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-03819-8\_4}, doi = {10.1007/978-3-642-03819-8\_4}, timestamp = {Thu, 22 Dec 2022 15:41:57 +0100}, biburl = {https://dblp.org/rec/conf/mobilight/ParkSJR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisec/JungSPR09, author = {Chae Duk Jung and Chul Sur and Youngho Park and Kyung Hyune Rhee}, editor = {Andreas U. Schmidt and Shiguo Lian}, title = {A Robust Conditional Privacy-Preserving Authentication Protocol in {VANET}}, booktitle = {Security and Privacy in Mobile Information and Communication Systems, First International {ICST} Conference, MobiSec 2009, Turin, Italy, June 3-5, 2009, Revised Selected Papers}, series = {Lecture Notes of the Institute for Computer Sciences, Social Informatics and Telecommunications Engineering}, volume = {17}, pages = {35--45}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04434-2\_4}, doi = {10.1007/978-3-642-04434-2\_4}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisec/JungSPR09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ParkYJWCKJKLAP09, author = {Ihn{-}sook Park and Cheong{-}suk Yoo and Young{-}hee Joo and Kyung{-}shun Woo and Woan{-}heui Choi and Hyun{-}sook Kang and Mi{-}ra Jung and Hee{-}jin Kim and Su{-}hee Lee and Seon{-}yeong Ahn and Mi{-}ok Park}, editor = {Kaija Saranto and Patricia Flatley Brennan and Hyeoun{-}Ae Park and Marianne Tallberg and Anneli Ensio}, title = {Evaluation of the Completeness of the Nursing Process for Patients Having Gastrectomy Using Electronic Nursing Records}, booktitle = {Connecting Health and Humans - Proceedings of NI2009, The 10th International Congress on Nursing Informatics, 28 June - 1 July 2009, Helsinki, Finland}, series = {Studies in Health Technology and Informatics}, volume = {146}, pages = {739--740}, publisher = {{IOS} Press}, year = {2009}, url = {https://doi.org/10.3233/978-1-60750-024-7-739}, doi = {10.3233/978-1-60750-024-7-739}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/ParkYJWCKJKLAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sersc-isa/LeePKC09, author = {Song{-}Hee Lee and Nam{-}Sup Park and Soo{-}Kyun Kim and Jin{-}Young Choi}, editor = {Jong Hyuk Park and Hsiao{-}Hwa Chen and Mohammed Atiquzzaman and Changhoon Lee and Tai{-}Hoon Kim and Sang{-}Soo Yeo}, title = {Cryptanalysis of Secure Key Exchange Protocol between {STB} and Smart Card in {IPTV} Broadcasting}, booktitle = {Advances in Information Security and Assurance, Third International Conference and Workshops, {ISA} 2009, Seoul, Korea, June 25-27, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5576}, pages = {797--803}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-02617-1\_81}, doi = {10.1007/978-3-642-02617-1\_81}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sersc-isa/LeePKC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/TohKJPBBPKCK09, author = {Ying{-}Wei Toh and Dong Kyun Kang and Jihong Jeung and Younghwan Pan and Seul Ye Bhang and Song Yee Baik and Soo A. Park and Ji Yong Kim and Mi Hwa Chang and Kang Min Kim}, editor = {Ken{-}ichi Anjyo}, title = {Green-i: an interactive reusable brochure paper for eco-touring}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} {ASIA} 2009, Yokohama, Japan, December 16-19, 2009, Poster Proceedings}, pages = {4:1}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1666778.1666782}, doi = {10.1145/1666778.1666782}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/TohKJPBBPKCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeePKHYCCE09, author = {Sang{-}Jin Lee and Kyung{-}Chang Park and Yeon{-}Ho Kim and Yun{-}ki Hong and Younggap You and Kyoung{-}Rok Cho and Tae Won Cho and Kamran Eshraghian}, title = {System-on-System (SoS) architecture for 3-D secure imaging}, booktitle = {Annual {IEEE} International SoC Conference, SoCC 2009, September 9-11, 2009, Belfast, Northern Ireland, UK, Proceedings}, pages = {436--439}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/SOCCON.2009.5397999}, doi = {10.1109/SOCCON.2009.5397999}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeePKHYCCE09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biosystems/KimLNPLYCKZ08, author = {Joon Shik Kim and Ji{-}Woo Lee and Yung{-}Kyun Noh and Ji{-}Yoon Park and Dong{-}Yoon Lee and Kyung{-}Ae Yang and Young{-}Gyu Chai and Jong Chan Kim and Byoung{-}Tak Zhang}, title = {An evolutionary Monte Carlo algorithm for predicting {DNA} hybridization}, journal = {Biosyst.}, volume = {91}, number = {1}, pages = {69--75}, year = {2008}, url = {https://doi.org/10.1016/j.biosystems.2007.07.005}, doi = {10.1016/J.BIOSYSTEMS.2007.07.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biosystems/KimLNPLYCKZ08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/KimKCPHKKKK08, author = {Kwanguk Kim and Chan{-}Hyung Kim and Kyung Ryeol Cha and Junyoung Park and Kiwan Han and Yun Ki Kim and Jae{-}Jin Kim and In{-}Young Kim and Sun I. Kim}, title = {Anxiety Provocation and Measurement Using Virtual Reality in Patients with Obsessive-Compulsive Disorder}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {11}, number = {6}, pages = {637--641}, year = {2008}, url = {https://doi.org/10.1089/cpb.2008.0003}, doi = {10.1089/CPB.2008.0003}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/KimKCPHKKKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/LeePCPKYLSM08, author = {Jung Chan Lee and Chan Young Park and Seong Wook Choi and Joong Yull Park and Jeong Chul Kim and Young{-}Min Yun and Kyoung{-}Kap Lee and Kyung Sun and Byoung Goo Min}, title = {Computational dose predictions for combined treatment of hemofiltration with weekly hemodialysis}, journal = {Comput. Methods Programs Biomed.}, volume = {89}, number = {3}, pages = {275--281}, year = {2008}, url = {https://doi.org/10.1016/j.cmpb.2007.11.004}, doi = {10.1016/J.CMPB.2007.11.004}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/LeePCPKYLSM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/ParkK08, author = {Kyungmin Park and Young Yong Kim}, title = {Analysis of AntNet routing scheme by using queueing model}, journal = {Comput. Commun.}, volume = {31}, number = {13}, pages = {2951--2958}, year = {2008}, url = {https://doi.org/10.1016/j.comcom.2008.04.003}, doi = {10.1016/J.COMCOM.2008.04.003}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/ParkK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvgip/ParkY08, author = {Youngsup Park and Kyunghyun Yoon}, title = {Painterly animation using motion maps}, journal = {Graph. Model.}, volume = {70}, number = {1-2}, pages = {1--15}, year = {2008}, url = {https://doi.org/10.1016/j.gmod.2007.06.001}, doi = {10.1016/J.GMOD.2007.06.001}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cvgip/ParkY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeeSPH08, author = {Kyung{-}Jun Lee and Doug Young Suh and Gwang Hoon Park and Jae{-}Doo Huh}, title = {Scalable Video Streaming Adaptive to Time-Varying {IEEE} 802.11 {MAC} Parameters}, journal = {{IEICE} Trans. Commun.}, volume = {91-B}, number = {10}, pages = {3404--3408}, year = {2008}, url = {https://doi.org/10.1093/ietcom/e91-b.10.3404}, doi = {10.1093/IETCOM/E91-B.10.3404}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeeSPH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimNOSLKPKKKLKS08, author = {Jung{-}Sik Kim and Kyung{-}Woo Nam and Chi Sung Oh and Han Gu Sohn and Donghyuk Lee and Sooyoung Kim and Jong{-}Wook Park and Yongjun Kim and Mi{-}Jo Kim and Jin{-}Guk Kim and Hocheol Lee and Jinhyoung Kwon and Dong Il Seo and Young{-}Hyun Jun and Kinam Kim}, title = {A 512 Mb Two-Channel Mobile {DRAM} (OneDRAM) With Shared Memory Array}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {11}, pages = {2381--2389}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2008.2004523}, doi = {10.1109/JSSC.2008.2004523}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimNOSLKPKKKLKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/ParkPK08, author = {Young Kyung Park and Seok Lai Park and Joongkyu Kim}, title = {Retinex method based on adaptive smoothing for illumination invariant face recognition}, journal = {Signal Process.}, volume = {88}, number = {8}, pages = {1929--1945}, year = {2008}, url = {https://doi.org/10.1016/j.sigpro.2008.01.028}, doi = {10.1016/J.SIGPRO.2008.01.028}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sigpro/ParkPK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/YooCPKKSSK08, author = {Seongeun Yoo and Pohkit Chong and Taisoo Park and Youngsoo Kim and Daeyoung Kim and Changsub Shin and Kyungbok Sung and Hyunhak Kim}, title = {{DGS:} Driving Guidance System Based on Wireless Sensor Network}, booktitle = {22nd International Conference on Advanced Information Networking and Applications, {AINA} 2008, Workshops Proceedings, GinoWan, Okinawa, Japan, March 25-28, 2008}, pages = {628--633}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/WAINA.2008.184}, doi = {10.1109/WAINA.2008.184}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/YooCPKKSSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/YangCPCK08, author = {Mijeong Yang and Kyung{-}Yul Cheon and Aesoon Park and Younghwan Choi and Sang{-}Ha Kim}, title = {Seamless Handover Using FMIPv6 with Effective Tunnel Management Scheme}, booktitle = {Proceedings of the Global Communications Conference, 2008. {GLOBECOM} 2008, New Orleans, LA, USA, 30 November - 4 December 2008}, pages = {5351--5355}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/GLOCOM.2008.ECP.1022}, doi = {10.1109/GLOCOM.2008.ECP.1022}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/globecom/YangCPCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkKKLKK08, author = {Keun{-}Tae Park and Hong{-}Yeon Kim and Young{-}Chul Kim and Sangmin Lee and Young{-}Kyun Kim and Myoung{-}Joon Kim}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Antonio Lagan{\`{a}} and Youngsong Mun and Andr{\'{e}}s Iglesias}, title = {Lake: Towards Highly Manageable Cluster Storage for Extremely Scalable Services}, booktitle = {Selected Papers of the Sixth International Conference on Computational Sciences and Its Applications, {ICCSA} '08, Perugia, Italy, June 30 - July 3, 2008}, pages = {122--131}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/ICCSA.2008.37}, doi = {10.1109/ICCSA.2008.37}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/ParkKKLKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/YunPC08, author = {Youngmok Yun and Byungjae Park and Wan Kyun Chung}, title = {Odometry calibration using home positioning function for mobile robot}, booktitle = {2008 {IEEE} International Conference on Robotics and Automation, {ICRA} 2008, May 19-23, 2008, Pasadena, California, {USA}}, pages = {2116--2121}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ROBOT.2008.4543519}, doi = {10.1109/ROBOT.2008.4543519}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/YunPC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Kwang{-}Il Park and Kyoung{-}Ho Kim and Dae{-}Hyun Chung and Jingook Kim and Si{-}Hong Kim and Min{-}Sang Park and Jae{-}Hyung Lee and Sam{-}Young Bang and Ho{-}Kyung Lee and In{-}Soo Park and Jae{-}Sung Kim and Dae{-}Hyun Kim and Hye{-}Ran Kim and Yong{-}Jae Shin and Cheol{-}Goo Park and Gil{-}Shin Moon and Ki{-}Woong Yeom and Kang{-}Young Kim and Jae{-}Young Lee and Hyang{-}Ja Yang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 60nm 6Gb/s/pin {GDDR5} Graphics {DRAM} with Multifaceted Clocking and ISI/SSN-Reduction Techniques}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {278--279}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523165}, doi = {10.1109/ISSCC.2008.4523165}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miip/KimSSPLPLK08, author = {Namkug Kim and Joon Beom Seo and Yu Sub Sung and Bum{-}Woo Park and Youngjoo Lee and Seong Hoon Park and Young Kyung Lee and Suk{-}Ho Kang}, editor = {Joseph M. Reinhardt and Josien P. W. Pluim}, title = {Effect of various binning methods and {ROI} sizes on the accuracy of the automatic classification system for differentiation between diffuse infiltrative lung diseases on the basis of texture features at {HRCT}}, booktitle = {Medical Imaging 2008: Image Processing, San Diego, California, United States, 16-21 February 2008}, series = {{SPIE} Proceedings}, volume = {6914}, pages = {69143N}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.770149}, doi = {10.1117/12.770149}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/miip/KimSSPLPLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/CheonYPKCK08, author = {Kyung{-}Yul Cheon and Mijeong Yang and Aesoon Park and Yeon{-}Jung Kim and Younghwan Choi and Sang{-}Ha Kim}, title = {Dual Tunnelling Mechanism for Mobile {IP} Based 3G {LTE-WLAN} Handover}, booktitle = {Proceedings of the 68th {IEEE} Vehicular Technology Conference, {VTC} Fall 2008, 21-24 September 2008, Calgary, Alberta, Canada}, pages = {1--5}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/VETECF.2008.315}, doi = {10.1109/VETECF.2008.315}, timestamp = {Mon, 16 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vtc/CheonYPKCK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ParkPHSM07, author = {Joong Yull Park and Chan Young Park and Chang Mo Hwang and Kyung Sun and Byoung Goo Min}, title = {Pseudo-organ boundary conditions applied to a computational fluid dynamics model of the human aorta}, journal = {Comput. Biol. Medicine}, volume = {37}, number = {8}, pages = {1063--1072}, year = {2007}, url = {https://doi.org/10.1016/j.compbiomed.2006.09.012}, doi = {10.1016/J.COMPBIOMED.2006.09.012}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ParkPHSM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkSLKLM07, author = {YoungHo Park and Hwangjun Song and KyungKeun Lee and CheolSoo Kim and Sanggon Lee and Sang{-}Jae Moon}, title = {Secure Route Discovery Protocol for Ad Hoc Networks}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {90-A}, number = {2}, pages = {539--541}, year = {2007}, url = {https://doi.org/10.1093/ietfec/e90-a.2.539}, doi = {10.1093/IETFEC/E90-A.2.539}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkSLKLM07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/WooLPPYC07, author = {Kyung{-}Soo Woo and Kyu In Lee and Jong{-}Ho Paik and Kyung Won Park and Won Young Yang and Yong Soo Cho}, title = {A {DSFBC-OFDM} for a Next Generation Broadcasting System With Multiple Antennas}, journal = {{IEEE} Trans. Broadcast.}, volume = {53}, number = {2}, pages = {539--546}, year = {2007}, url = {https://doi.org/10.1109/TBC.2007.893383}, doi = {10.1109/TBC.2007.893383}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/WooLPPYC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiLMPH07, author = {Kyung{-}Jin Choi and Young{-}Hyun Lee and Jong{-}Woo Moon and Chongkug Park and Fumio Harashima}, title = {Development of an Automatic Stencil Inspection System Using Modified Hough Transform and Fuzzy Logic}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {54}, number = {1}, pages = {604--611}, year = {2007}, url = {https://doi.org/10.1109/TIE.2006.885494}, doi = {10.1109/TIE.2006.885494}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiLMPH07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/alpit/ChoKLKPMKLO07, author = {Dong Uk Cho and Bong{-}Hyun Kim and Se Hwan Lee and Min{-}kyoung Ka and Sun{-}ae Park and Byong{-}seok Min and Seung{-}jong Kim and Dong{-}kyun Lim and Sang{-}Young Oh}, title = {Contents-Based Obscenity Blocking in Internet Video Chatting}, booktitle = {Proceedings of The Sixth International Conference on Advanced Language Processing and Web Information Technology, {ALPIT} 2007, Luoyang, Henan, China, 22-24 August 2007}, pages = {277--281}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ALPIT.2007.80}, doi = {10.1109/ALPIT.2007.80}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/alpit/ChoKLKPMKLO07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bliss/ParkLR07, author = {Youngho Park and Won{-}Young Lee and Kyung Hyune Rhee}, editor = {Adrian Stoica and Tughrul Arslan and Daniel Howard and Tai{-}Hoon Kim and Ahmed O. El{-}Rayis}, title = {Authenticated On-Demand Ad Hoc Routing Protocol without Pre-shared Key Distribution}, booktitle = {2007 {ECSIS} Symposium on Bio-inspired, Learning, and Intelligent Systems for Security, {BLISS} 2007, Edinburgh, United Kingdom, 9-10 August 2007}, pages = {41--46}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/BLISS.2007.9}, doi = {10.1109/BLISS.2007.9}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bliss/ParkLR07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicling/KangJPK07, author = {Mi{-}young Kang and Sung{-}Won Jung and Kyung{-}soon Park and Hyuk{-}Chul Kwon}, editor = {Alexander F. Gelbukh}, title = {Part-of-Speech Tagging Using Word Probability Based on Category Patterns}, booktitle = {Computational Linguistics and Intelligent Text Processing, 8th International Conference, CICLing 2007, Mexico City, Mexico, February 18-24, 2007}, series = {Lecture Notes in Computer Science}, volume = {4394}, pages = {119--130}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-70939-8\_11}, doi = {10.1007/978-3-540-70939-8\_11}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/cicling/KangJPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fbit/KyungLP07, author = {Ki{-}Uk Kyung and Jun{-}Young Lee and Junseok Park}, editor = {Daniel Howard and Phill{-}Kyu Rhee}, title = {Design and Applications of a Pen-Like Haptic Interface with Texture and Vibrotactile Display}, booktitle = {Frontiers in the Convergence of Bioscience and Information Technologies 2007, {FBIT} 2007, Jeju Island, Korea, October 11-13, 2007}, pages = {543--548}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/FBIT.2007.92}, doi = {10.1109/FBIT.2007.92}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fbit/KyungLP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/KimLYP07, author = {Kyung{-}Yup Kim and John T. Lee and Dong{-}Kuk Yu and Young{-}Sik Park}, title = {Parameter Estimation of Noisy Passive Telemetry Sensor System Using Unscented Kalman Filter}, booktitle = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, pages = {433--438}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/FGCN.2007.176}, doi = {10.1109/FGCN.2007.176}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/KimLYP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haid/KyungLP07, author = {Ki{-}Uk Kyung and Jun{-}Young Lee and Junseok Park}, editor = {Ian Oakley and Stephen A. Brewster}, title = {Comparison of Force, Tactile and Vibrotactile Feedback for Texture Representation Using a Combined Haptic Feedback Interface}, booktitle = {Haptic and Audio Interaction Design, Second International Workshop, {HAID} 2007, Seoul, South Korea, November 29-30, 2007, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4813}, pages = {34--43}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-76702-2\_5}, doi = {10.1007/978-3-540-76702-2\_5}, timestamp = {Sun, 02 Jun 2019 21:23:54 +0200}, biburl = {https://dblp.org/rec/conf/haid/KyungLP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JeenHKLP07, author = {Youngho Jeen and Jiyoun Han and Hyodong Kim and Kyungwon Lee and Peom Park}, editor = {Julie A. Jacko}, title = {Persuasive Interaction Strategy for Self Diet System: Exploring the Relation of User Attitude and Intervention by Computerized Systematic Methods}, booktitle = {Human-Computer Interaction. {HCI} Applications and Services, 12th International Conference, {HCI} International 2007, Beijing, China, July 22-27, 2007, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {4553}, pages = {450--458}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-73111-5\_51}, doi = {10.1007/978-3-540-73111-5\_51}, timestamp = {Sun, 02 Jun 2019 21:16:47 +0200}, biburl = {https://dblp.org/rec/conf/hci/JeenHKLP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/ParkSGSY07, author = {Youngsup Park and Sanghyun Seo and YongJae Gi and Hanna Song and Kyunghyun Yoon}, editor = {Yong Shi and G. Dick van Albada and Jack J. Dongarra and Peter M. A. Sloot}, title = {Rendering Technique for Colored Paper Mosaic}, booktitle = {Computational Science - {ICCS} 2007, 7th International Conference, Beijing, China, May 27 - 30, 2007, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4488}, pages = {114--121}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-72586-2\_15}, doi = {10.1007/978-3-540-72586-2\_15}, timestamp = {Tue, 08 Nov 2022 08:34:34 +0100}, biburl = {https://dblp.org/rec/conf/iccS/ParkSGSY07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ParkK07, author = {Young Kyung Park and Joongkyu Kim}, title = {A New Methodology of Illumination Estimation/Normalization Based on Adaptive Smoothing for Robust Face Recognition}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2007, September 16-19, 2007, San Antonio, Texas, {USA}}, pages = {149--152}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ICIP.2007.4378913}, doi = {10.1109/ICIP.2007.4378913}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/ParkK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/ParkPK07, author = {Kyungmin Park and Jong Hyuk Park and Young Yong Kim}, editor = {Jingsheng Lei and JingTao Yao and Qingfu Zhang}, title = {Relay {OFDMA} System for Wireless Multimedia Services in Home Area Networks}, booktitle = {Third International Conference on Natural Computation, {ICNC} 2007, Haikou, Hainan, China, 24-27 August 2007, Volume 5}, pages = {702--706}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/ICNC.2007.603}, doi = {10.1109/ICNC.2007.603}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/ParkPK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ParkHYL07, author = {Youn{-}Young Park and Kyung{-}Soo Han and Jong{-}Min Yeom and Chang{-}Suk Lee}, title = {A new land cover map at 1 km resolution over Korea}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2007, July 23-28, 2007, Barcelona, Spain, Proceedings}, pages = {3397--3400}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IGARSS.2007.4423574}, doi = {10.1109/IGARSS.2007.4423574}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/ParkHYL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/YeomHPLK07, author = {Jong{-}Min Yeom and Kyung{-}Soo Han and Youn{-}Young Park and Chang{-}Suk Lee and Young{-}Seup Kim}, title = {A improvement for the surface solar insolation retrieval from geostationary sensor}, booktitle = {{IEEE} International Geoscience {\&} Remote Sensing Symposium, {IGARSS} 2007, July 23-28, 2007, Barcelona, Spain, Proceedings}, pages = {1689--1692}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/IGARSS.2007.4423142}, doi = {10.1109/IGARSS.2007.4423142}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/YeomHPLK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07, author = {Jeong{-}Don Ihm and Seung{-}Jun Bae and Kwang{-}Il Park and Ho{-}Young Song and Woo{-}Jin Lee and Hyun{-}Jin Kim and Kyoung{-}Ho Kim and Ho{-}Kyung Lee and Min{-}Sang Park and Sam{-}Young Bang and Mi{-}Jin Lee and Gil{-}Shin Moon and Young{-}Wook Jang and Suk{-}Won Hwang and Young{-}Chul Cho and Sang{-}Jun Hwang and Dae{-}Hyun Kim and Ji{-}Hoon Lim and Jae{-}Sung Kim and Su{-}Jin Park and Ok{-}Joo Park and Se{-}Mi Yang and Jin{-}Yong Choi and Young{-}Wook Kim and Hyun{-}Kyu Lee and Sunghoon Kim and Seong{-}Jin Jang and Young{-}Hyun Jun and Soo{-}In Cho}, title = {An 80nm 4Gb/s/pin 32b 512Mb {GDDR4} Graphics {DRAM} with Low-Power and Low-Noise Data-Bus Inversion}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {492--617}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373509}, doi = {10.1109/ISSCC.2007.373509}, timestamp = {Sat, 20 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/IhmBPSLKKLPBLMJHCHKLKPPYCKLKJJC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/JeeKPL07, author = {Eun{-}Sook Jee and Chong Hui Kim and Soon{-}Young Park and Kyung{-}Won Lee}, title = {Composition of Musical Sound Expressing an Emotion of Robot Based on Musical Factors}, booktitle = {{IEEE} {RO-MAN} 2007, 16th {IEEE} International Symposium on Robot {\&} Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings}, pages = {637--641}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROMAN.2007.4415161}, doi = {10.1109/ROMAN.2007.4415161}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/JeeKPL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/KwonKPCJPKKPKHMLPJPL07, author = {Dong{-}Soo Kwon and Yoon Keun Kwak and Jong C. Park and Myung Jin Chung and Eun{-}Sook Jee and Kyung{-}Sook Park and Hyoung{-}Rock Kim and Young{-}Min Kim and Jong{-}Chan Park and Eun Ho Kim and Kyung Hak Hyun and Hye{-}Jin Min and Hui Sung Lee and Jeong Woo Park and Su Hun Jo and Soon{-}Young Park and Kyung{-}Won Lee}, title = {Emotion Interaction System for a Service Robot}, booktitle = {{IEEE} {RO-MAN} 2007, 16th {IEEE} International Symposium on Robot {\&} Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings}, pages = {351--356}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROMAN.2007.4415108}, doi = {10.1109/ROMAN.2007.4415108}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/KwonKPCJPKKPKHMLPJPL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/KyungLP07, author = {Ki{-}Uk Kyung and Jun{-}Young Lee and Junseok Park}, title = {Pen-like Haptic Interface and Its Application on Touch Screen}, booktitle = {{IEEE} {RO-MAN} 2007, 16th {IEEE} International Symposium on Robot {\&} Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings}, pages = {9--13}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROMAN.2007.4415045}, doi = {10.1109/ROMAN.2007.4415045}, timestamp = {Thu, 19 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/KyungLP07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/skg/ParkLMPL07, author = {Kyung{-}Wook Park and Jeong Ho Lee and Young Shik Moon and Sung{-}Han Park and Dong{-}Ho Lee}, title = {{OLYVIA:} Ontology-based Automatic Video Annotation and Summarization System Using Semantic Inference Rules}, booktitle = {Third International Conference on Semantics, Knowledge and Grid, Xian, Shan Xi, China, October 29-31, 2007}, pages = {170--175}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SKG.2007.112}, doi = {10.1109/SKG.2007.112}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/skg/ParkLMPL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LimLBLYKYPYKKKC06, author = {Kyoung Moon Lim and KyungEon Lee and Myoung Kee Baek and Bu Yeol Lee and Jin{-}Mo Yoon and Eugene Kim and Jae{-}Sung Yu and Yong{-}In Park and Yong Su Yoo and Young{-}Joo Kim and HoChul Kang and Chang{-}Dong Kim and In{-}Jae Chung}, title = {A 6.94-in. {WVGA} poly-Si {TFT-LCD} with integrated driver including sequential analog sampling circuits}, journal = {Displays}, volume = {27}, number = {4-5}, pages = {191--196}, year = {2006}, url = {https://doi.org/10.1016/j.displa.2006.08.001}, doi = {10.1016/J.DISPLA.2006.08.001}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LimLBLYKYPYKKKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/LeePM06, author = {KyungKeun Lee and YoungHo Park and Sang{-}Jae Moon}, title = {Cryptanalysis on the Robust and Simple Authentication Protocol for Secure Communication on the Web}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {89-A}, number = {6}, pages = {1859--1862}, year = {2006}, url = {https://doi.org/10.1093/ietfec/e89-a.6.1859}, doi = {10.1093/IETFEC/E89-A.6.1859}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/LeePM06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcmse/KohCPLK06, author = {Kwang{-}Won Koh and Chang{-}Ik Choi and Kyung{-}Lang Park and Shin{-}Young Lim and Shin{-}Dug Kim}, title = {Providing multilayered context for building smart home}, journal = {J. Comput. Methods Sci. Eng.}, volume = {6}, number = {5-6}, pages = {275--288}, year = {2006}, url = {https://doi.org/10.3233/jcm-2006-6s206}, doi = {10.3233/JCM-2006-6S206}, timestamp = {Fri, 10 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcmse/KohCPLK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/KangPLKJ06, author = {Kug Chang Kang and No Ik Park and Soon Seok Lee and Young Sun Kim and Kyung Pyo Jun}, title = {Next-generation converged networking in Korea: The concept and its R{\&}D activities}, journal = {J. Commun. Networks}, volume = {8}, number = {4}, pages = {475--479}, year = {2006}, url = {https://doi.org/10.1109/JCN.2006.6182795}, doi = {10.1109/JCN.2006.6182795}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/KangPLKJ06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/ChoKPK06, author = {Byung{-}Lae Cho and Young{-}Kyun Kong and Hyung{-}Geun Park and Young{-}Soo Kim}, title = {Automobile-based SAR/InSAR system for ground experiments}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {3}, number = {3}, pages = {401--405}, year = {2006}, url = {https://doi.org/10.1109/LGRS.2006.873358}, doi = {10.1109/LGRS.2006.873358}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/ChoKPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/talip/ParkKRH06, author = {Kyung{-}Mi Park and Seonho Kim and Hae{-}Chang Rim and Young{-}Sook Hwang}, title = {ME-based biomedical named entity recognition using lexical knowledge}, journal = {{ACM} Trans. Asian Lang. Inf. Process.}, volume = {5}, number = {1}, pages = {4--21}, year = {2006}, url = {https://doi.org/10.1145/1131348.1131350}, doi = {10.1145/1131348.1131350}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/talip/ParkKRH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/BaeLP06, author = {Ji{-}Hye Bae and Kyung{-}Oh Lee and Yoon{-}Young Park}, title = {{MONETA:} an embedded monitoring system for ubiquitous network environments}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {52}, number = {2}, pages = {414--420}, year = {2006}, url = {https://doi.org/10.1109/TCE.2006.1649658}, doi = {10.1109/TCE.2006.1649658}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/BaeLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acivs/SeoPK06, author = {Hae Jong Seo and Young Kyung Park and Joongkyu Kim}, editor = {Jacques Blanc{-}Talon and Wilfried Philips and Dan C. Popescu and Paul Scheunders}, title = {Common Image Method(Null Space + 2DPCAs) for Face Recognition}, booktitle = {Advanced Concepts for Intelligent Vision Systems, 8th International Conference, {ACIVS} 2006, Antwerp, Belgium, September 18-21, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4179}, pages = {699--709}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11864349\_64}, doi = {10.1007/11864349\_64}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/acivs/SeoPK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ChoiLKCSLP06, author = {Jieun Choi and Young{-}Ho Lee and Un{-}Gu Kang and Ga Eul Cha and Young Jun Seo and Kyung Jin Lee and Rae Woong Park}, title = {Retrospective Evaluation of the Prescribing Behavior of Residents with respect to Nephrotoxic Drugs}, booktitle = {{AMIA} 2006, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 11-15, 2006}, publisher = {{AMIA}}, year = {2006}, url = {https://knowledge.amia.org/amia-55142-a2006a-1.620145/t-002-1.622268/f-001-1.622269/a-214-1.623101/a-215-1.623098}, timestamp = {Wed, 17 Apr 2024 11:48:16 +0200}, biburl = {https://dblp.org/rec/conf/amia/ChoiLKCSLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/LeeCCLHLP06, author = {Young{-}Ho Lee and Jieun Choi and Ga Eul Cha and Kyung Jin Lee and Hee Joung Hwang and Byung{-}Mun Lee and Rae Woong Park}, title = {An Architectural Framework for an Adverse Drug Event Surveillance System}, booktitle = {{AMIA} 2006, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 11-15, 2006}, publisher = {{AMIA}}, year = {2006}, url = {https://knowledge.amia.org/amia-55142-a2006a-1.620145/t-002-1.622268/f-001-1.622269/a-328-1.622757/a-329-1.622754}, timestamp = {Wed, 17 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amia/LeeCCLHLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/ChoLCKMLHP06, author = {Young{-}Jae Cho and Kyung{-}Hoon Lee and Hee{-}Cheol Choi and Young{-}Ju Kim and Kyoung{-}Jun Moon and Seung{-}Hoon Lee and Seok{-}Bong Hyun and Seong{-}Su Park}, title = {A Dual-Channel 6b 1GS/s 0.18um {CMOS} {ADC} for Ultra Wide-Band Communication Systems}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {339--342}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342420}, doi = {10.1109/APCCAS.2006.342420}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/ChoLCKMLHP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/LeeCCPSKL06, author = {Kyung{-}Hoon Lee and Young{-}Jae Cho and Hee{-}Cheol Choi and Yong{-}Hyun Park and Doo{-}Hwan Sa and Young{-}Lok Kim and Seung{-}Hoon Lee}, title = {A 14b 100MS/s 3.4mm2 145mW 0.18um {CMOS} Pipeline {A/D} Converter}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {351--354}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342442}, doi = {10.1109/APCCAS.2006.342442}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apccas/LeeCCPSKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ciarp/ParkMK06, author = {Young Kyung Park and Bu Cheon Min and Joongkyu Kim}, editor = {Jos{\'{e}} Francisco Mart{\'{\i}}nez Trinidad and Jes{\'{u}}s Ariel Carrasco{-}Ochoa and Josef Kittler}, title = {A New Method of Illumination Normalization for Robust Face Recognition}, booktitle = {Progress in Pattern Recognition, Image Analysis and Applications, 11th Iberoamerican Congress in Pattern Recognition, {CIARP} 2006, Cancun, Mexico, November 14-17, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4225}, pages = {38--47}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11892755\_4}, doi = {10.1007/11892755\_4}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/ciarp/ParkMK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkKHK06, author = {Mikyoung Park and Heejung Kang and Young Huh and Kyung{-}Chul Kim}, title = {Cuffless and Noninvasive Tonometry Mean Arterial Pressure Measurement by Physiological Characteristics and Applied Pressure}, booktitle = {28th International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2006, New York City, NY, USA, August 30 - September 3, 2006, Main Volume}, pages = {6418--6421}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/IEMBS.2006.260062}, doi = {10.1109/IEMBS.2006.260062}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ParkKHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/KimKJKP06, author = {Yoonhee Kim and Eun{-}Kyung Kim and Beom{-}Jun Jeon and In{-}Young Ko and Sung{-}Yong Park}, editor = {Xiaobo Zhou and Oleg Sokolsky and Lu Yan and Eun{-}Sun Jung and Zili Shao and Yi Mu and Dong Chun Lee and Daeyoung Kim and Young{-}Sik Jeong and Cheng{-}Zhong Xu}, title = {Wapee: {A} Fault-Tolerant Semantic Middleware in Ubiquitous Computing Environments}, booktitle = {Emerging Directions in Embedded and Ubiquitous Computing, {EUC} 2006 Workshops: NCUS, SecUbiq, USN, TRUST, ESO, and MSA, Seoul, Korea, August 1-4, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4097}, pages = {173--182}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11807964\_18}, doi = {10.1007/11807964\_18}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/euc/KimKJKP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurographics/KangPSY06, author = {Dongwann Kang and Young Sup Park and Sanghyun Seo and Kyunghyun Yoon}, editor = {Dieter W. Fellner and Charles D. Hansen}, title = {Two Layer Image Tile Mosaics}, booktitle = {27th Annual Conference of the European Association for Computer Graphics, Eurographics 2006 - Short Presentations, Vienna, Austria, September 4-8, 2006}, pages = {145--148}, publisher = {Eurographics Association}, year = {2006}, url = {https://doi.org/10.2312/egs.20061048}, doi = {10.2312/EGS.20061048}, timestamp = {Thu, 02 Jul 2020 15:12:11 +0200}, biburl = {https://dblp.org/rec/conf/eurographics/KangPSY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/grapp/ParkY06, author = {Youngsup Park and Kyunghyun Yoon}, editor = {Jos{\'{e}} Braz and Joaquim A. Jorge and Miguel Sales Dias and Ad{\'{e}}rito Marcos}, title = {Motion map generation for maintaining the temporal coherence of brush strokes}, booktitle = {{GRAPP} 2006: Proceedings of the First International Conference on Computer Graphics Theory and Applications, Set{\'{u}}bal, Portugal, February 25-28, 2006}, pages = {158--167}, publisher = {{INSTICC} - Institute for Systems and Technologies of Information, Control and Communication}, year = {2006}, timestamp = {Thu, 23 Oct 2014 11:41:16 +0200}, biburl = {https://dblp.org/rec/conf/grapp/ParkY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/WooPPYC06, author = {Kyung{-}Soo Woo and Jong{-}Ho Paik and Kyung Won Park and Won Young Yang and Yong Soo Cho}, title = {An Efficient {DSTBC} Decoding Method for Non-constant Modulus Constellations}, booktitle = {Proceedings of {IEEE} International Conference on Communications, {ICC} 2006, Istanbul, Turkey, 11-15 June 2006}, pages = {5666--5669}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICC.2006.255566}, doi = {10.1109/ICC.2006.255566}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/WooPPYC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ParkY06, author = {Youngsup Park and Kyunghyun Yoon}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Dynamic Brush Stroke Generation for an Impressionist Effect}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3980}, pages = {402--409}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751540\_43}, doi = {10.1007/11751540\_43}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ParkY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/KongCP06, author = {Young{-}Bae Kong and Kyung{-}Bae Chang and Gwi{-}Tae Park}, editor = {De{-}Shuang Huang and Kang Li and George W. Irwin}, title = {Clustering Algorithm Using Bayes' Rule in Mobile Wireless Sensor Networks}, booktitle = {Computational Intelligence, International Conference on Intelligent Computing, {ICIC} 2006, Kunming, China, August 16-19, 2006. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4114}, pages = {1306--1310}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11816171\_163}, doi = {10.1007/11816171\_163}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/KongCP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/HeoPKKK06, author = {Eui Heo and Kyung{-}Lang Park and Oh{-}Young Kwon and Ohkyoung Kwon and Shin{-}Dug Kim}, editor = {Geyong Min and Beniamino Di Martino and Laurence Tianruo Yang and Minyi Guo and Gudula R{\"{u}}nger}, title = {Web Service Resource Framework Based Computing Service Framework for Computational Grid Applications}, booktitle = {Frontiers of High Performance Computing and Networking - {ISPA} 2006 Workshops, {ISPA} 2006 International Workshops, FHPCN, XHPC, S-GRACE, GridGIS, HPC-GTP, PDCE, ParDMCom, WOMP, ISDF, and UPWN, Sorrento, Italy, December 4-7, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4331}, pages = {569--578}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11942634\_58}, doi = {10.1007/11942634\_58}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ispa/HeoPKKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCPKJPLKSPKC06, author = {Kyu{-}Hyoun Kim and Uksong Kang and Hoeju Chung and Dukha Park and Woo{-}Seop Kim and Young{-}Chan Jang and Moon{-}Sook Park and Hoon Lee and Jinyoung Kim and Jung Sunwoo and Hwan{-}Wook Park and Hyun{-}Kyung Kim and Su{-}Jin Chung and Jae{-}Kwan Kim and Hyung{-}Seuk Kim and Kee{-}Won Kwon and Young{-}Taek Lee and Joo{-}Sun Choi and Changhyun Kim}, title = {An 8Gb/s/pin 9.6ns Row-Cycle 288Mb Deca-Data Rate {SDRAM} with an {I/O} Error-Detection Scheme}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {527--536}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696089}, doi = {10.1109/ISSCC.2006.1696089}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCPKJPLKSPKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwec/ParkHWN06, author = {Jonghun Park and Seung{-}Kyun Han and Mi Kyoung Won and Young{-}Chae Na}, editor = {Richard H. R. Harper and Matthias Rauterberg and Marco Combetto}, title = {Communication Supports for Building World Wide Internet Game Communities}, booktitle = {Entertainment Computing - {ICEC} 2006, 5th International Conference, Cambridge, UK, September 20-22, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4161}, pages = {370--373}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11872320\_52}, doi = {10.1007/11872320\_52}, timestamp = {Fri, 27 Mar 2020 09:01:01 +0100}, biburl = {https://dblp.org/rec/conf/iwec/ParkHWN06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msn/ToiruulLLLP06, author = {Batbold Toiruul and KyungOh Lee and HyunJun Lee and YoungHan Lee and Yoon Young Park}, editor = {Jiannong Cao and Ivan Stojmenovic and Xiaohua Jia and Sajal K. Das}, title = {Mutual-Authentication Mechanism for {RFID} Systems}, booktitle = {Mobile Ad-hoc and Sensor Networks, Second International Conference, {MSN} 2006, Hong Kong, China, December 13-15, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4325}, pages = {449--460}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11943952\_38}, doi = {10.1007/11943952\_38}, timestamp = {Tue, 14 Apr 2020 13:23:09 +0200}, biburl = {https://dblp.org/rec/conf/msn/ToiruulLLLP06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vast/GiPSY06, author = {Yong Jea Gi and Young Sup Park and Sanghyun Seo and Kyunghyun Yoon}, editor = {Marinos Ioannides and David Arnold and Franco Niccolucci and Katerina Mania}, title = {Mosaic Rendering using Colored Paper}, booktitle = {37th {CIPA} International Workshop dedicated on e-Documentation and Standardisation in Cultural Heritage, incorporating the 7th International Symposium on Virtual Reality, Archaeology and Intelligent Cultural Heritage, the 4th Eurographics Workshop on Graphics and Cultural Heritage, and the 1st EuroMed Conference on {IT} in Cultural Heritage, CIPA/VAST/GCH/EuroMed 2006, Nicosia, Cyprus, October 30 - November 4, 2006}, pages = {25--30}, publisher = {Eurographics Association}, year = {2006}, url = {https://doi.org/10.2312/VAST/VAST06/025-030}, doi = {10.2312/VAST/VAST06/025-030}, timestamp = {Wed, 09 Sep 2020 17:34:22 +0200}, biburl = {https://dblp.org/rec/conf/vast/GiPSY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/visapp/ParkY06, author = {Youngsup Park and Kyunghyun Yoon}, editor = {Jos{\'{e}} Braz and Alpesh Ranchordas and Helder Ara{\'{u}}jo and Joaquim A. Jorge}, title = {Motion Map Generation for Maintaining the Temporal Coherence of Brush Strokes}, booktitle = {Advances in Computer Graphics and Computer Vision - International Conferences {VISAPP} and {GRAPP} 2006, Set{\'{u}}bal, Portugal, February 25-28, 2006, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {4}, pages = {139--152}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/978-3-540-75274-5\_9}, doi = {10.1007/978-3-540-75274-5\_9}, timestamp = {Wed, 29 May 2019 09:35:03 +0200}, biburl = {https://dblp.org/rec/conf/visapp/ParkY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KwonKCPJKPN05, author = {Jang Yeon Kwon and Do Young Kim and Hans S. Cho and Kyung Bae Park and Ji Sim Jung and Jong Man Kim and Young Soo Park and Takashi Noguchi}, title = {Low Temperature Poly-Si Thin Film Transistor on Plastic Substrates}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {4}, pages = {667--671}, year = {2005}, url = {https://doi.org/10.1093/ietele/e88-c.4.667}, doi = {10.1093/IETELE/E88-C.4.667}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KwonKCPJKPN05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/RheePT05, author = {Kyung Hyune Rhee and Young{-}Ho Park and Gene Tsudik}, title = {A Group Key Management Architecture for Mobile Ad-hoc Wireless Networks}, journal = {J. Inf. Sci. Eng.}, volume = {21}, number = {2}, pages = {415--428}, year = {2005}, url = {http://www.iis.sinica.edu.tw/page/jise/2005/200503\_09.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/RheePT05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/JungKPK05, author = {Sung{-}Kyo Jung and Kyung{-}Tae Kim and Young{-}Cheol Park and Hong{-}Goo Kang}, title = {A fast adaptive-codebook search algorithm for {G.723.1} speech coder}, journal = {{IEEE} Signal Process. Lett.}, volume = {12}, number = {1}, pages = {75--78}, year = {2005}, url = {https://doi.org/10.1109/LSP.2004.838210}, doi = {10.1109/LSP.2004.838210}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/JungKPK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ParkOKKPCL05, author = {Young Ho Park and Cha{-}Hwan Oh and Pill{-}Soo Kim and Taeg Yong Kwon and Sang Eon Park and Sang{-}Kyung Choi and Ho Seong Lee}, title = {Cavity pulling shift evaluated from {AC} Zeeman shift in an optically-pumped cs beam standard}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {54}, number = {2}, pages = {780--782}, year = {2005}, url = {https://doi.org/10.1109/TIM.2005.843533}, doi = {10.1109/TIM.2005.843533}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ParkOKKPCL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausai/BaekKPHH05, author = {Kyunghwan Baek and Byoungki Kim and Sangbum Park and Youngjoon Han and Hernsoo Hahn}, editor = {Shichao Zhang and Ray Jarvis}, title = {Multiple Face Tracking Using Kalman Estimator Based Color {SSD} Algorithm}, booktitle = {{AI} 2005: Advances in Artificial Intelligence, 18th Australian Joint Conference on Artificial Intelligence, Sydney, Australia, December 5-9, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3809}, pages = {1229--1232}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11589990\_176}, doi = {10.1007/11589990\_176}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/ausai/BaekKPHH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LimCJPCK05, author = {Jinup Lim and Youngjoo Cho and Kyungsoo Jung and Jongmin Park and Joongho Choi and Jaewhui Kim}, title = {A wide-band active-RC filter with a fast tuning scheme for wireless communication receivers}, booktitle = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, pages = {637--640}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CICC.2005.1568750}, doi = {10.1109/CICC.2005.1568750}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LimCJPCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drmtics/ParkSR05, author = {Youngho Park and Jung{-}Hwa Shin and Kyung Hyune Rhee}, editor = {Reihaneh Safavi{-}Naini and Moti Yung}, title = {Design of a Secure Digital Contents Delivery System in {P2P} Networks}, booktitle = {Digital Rights Management: Technologies, Issues, Challenges and Systems, First International Conference, {DRMTICS} 2005, Sydney, Australia, October 31 - November 2, 2005, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {3919}, pages = {311--321}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11787952\_23}, doi = {10.1007/11787952\_23}, timestamp = {Tue, 14 May 2019 10:00:38 +0200}, biburl = {https://dblp.org/rec/conf/drmtics/ParkSR05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/NamHPK05, author = {Kyung{-}Won Nam and Jun Hwang and Cheol{-}Min Park and Young{-}Chan Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {Energy-Efficiency Method for Cluster-Based Sensor Networks}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3480}, pages = {1170--1176}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424758\_123}, doi = {10.1007/11424758\_123}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/NamHPK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/ParkCKPP05, author = {Chun{-}Ja Park and Eun{-}kyung Cho and Young{-}hee Kwon and Moon{-}sung Park and Jong{-}Won Park}, editor = {Lipo Wang and Ke Chen and Yew{-}Soon Ong}, title = {Automatic Separate Algorithm of Vein and Artery for Auto-segmentation Liver-Vessel from Abdominal {MDCT} Image Using Morphological Filtering}, booktitle = {Advances in Natural Computation, First International Conference, {ICNC} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3612}, pages = {1069--1078}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11539902\_136}, doi = {10.1007/11539902\_136}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icnc/ParkCKPP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkYC05, author = {Jonghoon Park and Youngil Youm and Wan Kyun Chung}, title = {Control of Ground Interaction at the Zero-Moment Point for Dynamic Control of Humanoid Robots}, booktitle = {Proceedings of the 2005 {IEEE} International Conference on Robotics and Automation, {ICRA} 2005, April 18-22, 2005, Barcelona, Spain}, pages = {1724--1729}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ROBOT.2005.1570362}, doi = {10.1109/ROBOT.2005.1570362}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkYC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkCY05, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Second-order contact kinematics for regular contacts}, booktitle = {2005 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Edmonton, Alberta, Canada, August 2-6, 2005}, pages = {1723--1729}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/IROS.2005.1545263}, doi = {10.1109/IROS.2005.1545263}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkCY05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispa/ParkKKPK05, author = {Kyung{-}Lang Park and Chang{-}Soon Kim and Oh{-}Young Kwon and Hyoung{-}Woo Park and Shin{-}Dug Kim}, editor = {Yi Pan and Daoxu Chen and Minyi Guo and Jiannong Cao and Jack J. Dongarra}, title = {A Personalized and Scalable Service Broker for the Global Computing Environment}, booktitle = {Parallel and Distributed Processing and Applications, Third International Symposium, {ISPA} 2005, Nanjing, China, November 2-5, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3758}, pages = {725--736}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11576235\_73}, doi = {10.1007/11576235\_73}, timestamp = {Tue, 14 Apr 2020 13:23:09 +0200}, biburl = {https://dblp.org/rec/conf/ispa/ParkKKPK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/ParkKCKP05, author = {Moon{-}sung Park and Il{-}sook Kim and Eun{-}kyung Cho and Young{-}hee Kwon and Jong{-}Heung Park}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {High-Speed Extraction Model of Interest Region in the Parcel Image of Large Size}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3684}, pages = {559--565}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11554028\_77}, doi = {10.1007/11554028\_77}, timestamp = {Fri, 15 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kes/ParkKCKP05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nldb/ParkKCS05, author = {Hyun Seok Park and Min Kyung Kim and Eun Jeong Choi and Young Joo Seol}, editor = {Andr{\'{e}}s Montoyo and Rafael Mu{\~{n}}oz and Elisabeth M{\'{e}}tais}, title = {Text Mining from Categorized Stem Cell Documents to Infer Developmental Stage-Specific Expression and Regulation Patterns of Stem Cells}, booktitle = {Natural Language Processing and Information Systems, 10th International Conference on Applications of Natural Language to Information Systems, {NLDB} 2005, Alicante, Spain, June 15-17, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3513}, pages = {353--356}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11428817\_35}, doi = {10.1007/11428817\_35}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nldb/ParkKCS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/KimSPJL05, author = {Dong Kim and Mee Young Sung and Jong Seung Park and Kyungkoo Jun and Sang{-}Rak Lee}, editor = {Yo{-}Sung Ho and Hyoung Joong Kim}, title = {Realtime Control for Motion Creation of 3D Avatars}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2005, 6th Pacific-Rim Conference on Multimedia, Jeju Island, Korea, November 13-16, 2005, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3767}, pages = {25--36}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11581772\_3}, doi = {10.1007/11581772\_3}, timestamp = {Tue, 14 May 2019 10:00:54 +0200}, biburl = {https://dblp.org/rec/conf/pcm/KimSPJL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/JwaPKB05, author = {Hyekyung Jwa and Kyung Park and Younghoon Kim and Seungchan Bang}, title = {Space-time joint detection in {TD-SCDMA} system with antenna array}, booktitle = {Proceedings of the {IEEE} 16th International Symposium on Personal, Indoor and Mobile Radio Communications, Berlin, Germany, September 11-14, 2005}, pages = {2518--2521}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/PIMRC.2005.1651897}, doi = {10.1109/PIMRC.2005.1651897}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/JwaPKB05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ParkLAC05, author = {Kyung Won Park and Kyu In Lee and Jae Young Ahn and Yong Soo Cho}, title = {An intercell interference cancellation method for OFDM-based cellular systems using a virtual smart antenna}, booktitle = {Proceedings of the {IEEE} 16th International Symposium on Personal, Indoor and Mobile Radio Communications, Berlin, Germany, September 11-14, 2005}, pages = {1175--1178}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/PIMRC.2005.1651626}, doi = {10.1109/PIMRC.2005.1651626}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/ParkLAC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/ChoiOOPC04, author = {Youngjin Choi and Yonghwan Oh and Sang{-}Rok Oh and Jonghoon Park and Wan Kyun Chung}, title = {Multiple tasks manipulation for a robotic manipulator}, journal = {Adv. Robotics}, volume = {18}, number = {6}, pages = {637--653}, year = {2004}, url = {https://doi.org/10.1163/1568553041257422}, doi = {10.1163/1568553041257422}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/ChoiOOPC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcc/KimLHPKKLL04, author = {Chan Kyung Kim and Kyung A. Lee and Kwan Hoon Hyun and Heung Jin Park and In Young Kwack and Chang Kon Kim and Hai Whang Lee and Bon{-}Su Lee}, title = {Prediction of physicochemical properties of organic molecules using van der Waals surface electrostatic potentials}, journal = {J. Comput. Chem.}, volume = {25}, number = {16}, pages = {2073--2079}, year = {2004}, url = {https://doi.org/10.1002/jcc.20129}, doi = {10.1002/JCC.20129}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcc/KimLHPKKLL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/RheePT04, author = {Kyung Hyune Rhee and Youngho Park and Gene Tsudik}, title = {An architecture for key management in hierarchical mobile ad-hoc networks}, journal = {J. Commun. Networks}, volume = {6}, number = {2}, pages = {156--162}, year = {2004}, url = {https://doi.org/10.1109/JCN.2004.6596636}, doi = {10.1109/JCN.2004.6596636}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/RheePT04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/LeePP04, author = {Kyung{-}Oh Lee and Jung{-}Ho Park and Yoon{-}Young Park}, title = {Striping and Scheduling for Large Scale Multimedia Servers}, journal = {J. Comput. Sci. Technol.}, volume = {19}, number = {6}, pages = {885--895}, year = {2004}, url = {https://doi.org/10.1007/BF02973452}, doi = {10.1007/BF02973452}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/LeePP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/SongKPKSCKH04, author = {Yong{-}Ha Song and Choong{-}Kyun Kim and Moo{-}Young Park and Bum{-}Suk Kye and Jeongil Seo and Dong{-}Soo Cho and Taek{-}Soo Kim and Gab{-}soo Han}, title = {A study of an abnormal {ESD} failure mechanism and threshold voltage caused by {ESD} current zapping sequence}, journal = {Microelectron. Reliab.}, volume = {44}, number = {9-11}, pages = {1829--1834}, year = {2004}, url = {https://doi.org/10.1016/j.microrel.2004.07.093}, doi = {10.1016/J.MICROREL.2004.07.093}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/SongKPKSCKH04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/siamco/KimP04, author = {Kyung{-}Soo Kim and Youngjin Park}, title = {Sliding Mode Design via Quadratic Performance Optimization with Pole-Clustering Constraint}, journal = {{SIAM} J. Control. Optim.}, volume = {43}, number = {2}, pages = {670--684}, year = {2004}, url = {https://doi.org/10.1137/S0363012901388476}, doi = {10.1137/S0363012901388476}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/siamco/KimP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimPLK04, author = {Young{-}Il Kim and Bong{-}Il Park and Jae{-}Gon Lee and Chong{-}Min Kyung}, editor = {Masaharu Imai}, title = {SmartGlue: an interface controller with auto reconfiguration for field programmable computing machine}, booktitle = {Proceedings of the 2004 Conference on Asia South Pacific Design Automation: Electronic Design and Solution Fair 2004, Yokohama, Japan, January 27-30, 2004}, pages = {734--736}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.ieeecomputersociety.org/10.1109/ASPDAC.2004.180}, doi = {10.1109/ASPDAC.2004.180}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KimPLK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/ParkHR04, author = {Kyung{-}Mi Park and Young{-}Sook Hwang and Hae{-}Chang Rim}, editor = {Hwee Tou Ng and Ellen Riloff}, title = {Two-Phase Semantic Role Labeling based on Support Vector Machines}, booktitle = {Proceedings of the Eighth Conference on Computational Natural Language Learning, CoNLL 2004, Held in cooperation with {HLT-NAACL} 2004, Boston, Massachusetts, USA, May 6-7, 2004}, pages = {126--129}, publisher = {{ACL}}, year = {2004}, url = {https://aclanthology.org/W04-2420/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conll/ParkHR04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurographics/ParkY04, author = {Youngsup Park and Kyunghyun Yoon}, editor = {Marc Alexa and Eric Galin}, title = {Adaptive Brush Stroke Generation for Painterly Rendering}, booktitle = {25th Annual Conference of the European Association for Computer Graphics, Eurographics 2004 - Short Presentations, Grenoble, France, August 30 - September 3, 2004}, publisher = {Eurographics Association}, year = {2004}, url = {https://doi.org/10.2312/egs.20041017}, doi = {10.2312/EGS.20041017}, timestamp = {Fri, 03 Jul 2020 12:56:04 +0200}, biburl = {https://dblp.org/rec/conf/eurographics/ParkY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/OhKP04, author = {Mi{-}Kyung Oh and Young{-}Hyeon Kwon and Dong{-}Jo Park}, title = {Efficient hybrid {ARQ} with space-time coding and low-complexity decoding}, booktitle = {2004 {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2004, Montreal, Quebec, Canada, May 17-21, 2004}, pages = {589--592}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ICASSP.2004.1326895}, doi = {10.1109/ICASSP.2004.1326895}, timestamp = {Mon, 22 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/OhKP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JungNPL04, author = {Kyung{-}Yong Jung and Young{-}Joo Na and Dong{-}Hyun Park and Jung{-}Hyun Lee}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Discovery Knowledge of User Preferences: Ontologies in Fashion Design Recommender Agent System}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3044}, pages = {863--872}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24709-8\_91}, doi = {10.1007/978-3-540-24709-8\_91}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/JungNPL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ParkKCK04, author = {Moon{-}sung Park and Il{-}sook Kim and Eun{-}kyung Cho and Young{-}hee Kwon}, editor = {Nikhil R. Pal and Nikola K. Kasabov and Rajani K. Mudi and Srimanta Pal and Swapan K. Parui}, title = {High Speed Extraction Model of {ROI} for Automatic Logistics System}, booktitle = {Neural Information Processing, 11th International Conference, {ICONIP} 2004, Calcutta, India, November 22-25, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3316}, pages = {706--713}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30499-9\_108}, doi = {10.1007/978-3-540-30499-9\_108}, timestamp = {Thu, 04 Jun 2020 19:07:58 +0200}, biburl = {https://dblp.org/rec/conf/iconip/ParkKCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCY04, author = {Kyongho Park and Wan Kyun Chung and Youngil Youm}, title = {A New Position Error based Robust Controller Design Framework of Teleoperation for Free to Contact Motion}, booktitle = {Proceedings of the 2004 {IEEE} International Conference on Robotics and Automation, {ICRA} 2004, April 26 - May 1, 2004, New Orleans, LA, {USA}}, pages = {4140--4146}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/ROBOT.2004.1308921}, doi = {10.1109/ROBOT.2004.1308921}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkCY04, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Geometric numerical integration algorithms for articulated multi-body systems}, booktitle = {2004 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, Sendai, Japan, September 28 - October 2, 2004}, pages = {3803--3808}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/IROS.2004.1390007}, doi = {10.1109/IROS.2004.1390007}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkCY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pdpta/ParkCHHKP04, author = {Inho Park and Hong{-}Wook Choi and Youngsun Han and Seokjoong Hwang and Seon Wook Kim and Kyung Park}, editor = {Hamid R. Arabnia}, title = {Implementation of the Software Distributed Shared-Memory System on the InfiniBand}, booktitle = {Proceedings of the International Conference on Parallel and Distributed Processing Techniques and Applications, {PDPTA} '04, June 21-24, 2004, Las Vegas, Nevada, USA, Volume 3}, pages = {1273--1279}, publisher = {{CSREA} Press}, year = {2004}, timestamp = {Mon, 15 Jun 2015 19:00:07 +0200}, biburl = {https://dblp.org/rec/conf/pdpta/ParkCHHKP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wstfeus/ParkKL04, author = {Il{-}kyun Park and Young Han Kim and Sang{-}san Lee}, title = {IPv6 Address Allocation in Hybrid Mobile Ad-Hoc Networks}, booktitle = {2nd {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {WSTFEUS} 2004, Vienna, Austria, May 11-12, 2004}, pages = {58--62}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/WSTFES.2004.1300415}, doi = {10.1109/WSTFES.2004.1300415}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wstfeus/ParkKL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csse/LeePY03, author = {KyungOh Lee and Yoon Young Park and Heon Young Yeom}, title = {Pre-emptive but safe interval caching for real-time multimedia systems}, journal = {Comput. Syst. Sci. Eng.}, volume = {18}, number = {2}, pages = {87--94}, year = {2003}, timestamp = {Wed, 05 May 2004 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csse/LeePY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/ParkLJ03, author = {Jong Woung Park and Joon Woong Lee and Kyung Young Jhang}, title = {A lane-curve detection based on an {LCF}}, journal = {Pattern Recognit. Lett.}, volume = {24}, number = {14}, pages = {2301--2313}, year = {2003}, url = {https://doi.org/10.1016/S0167-8655(03)00056-4}, doi = {10.1016/S0167-8655(03)00056-4}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/ParkLJ03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/PyunKJPK03, author = {Jae{-}Young Pyun and Yoon Kim and Kyunghun Jang and Jong Ae Park and Sung{-}Jea Ko}, title = {Wireless measurement based resource allocation for QoS provisioning over {IEEE} 802.11 wireless {LAN}}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {49}, number = {3}, pages = {614--620}, year = {2003}, url = {https://doi.org/10.1109/TCE.2003.1233784}, doi = {10.1109/TCE.2003.1233784}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/PyunKJPK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/ParkPO03, author = {HyunWook Park and Young Seo Park and Seung{-}Kyun Oh}, title = {L/M-fold image resizing in block-DCT domain using symmetric convolution}, journal = {{IEEE} Trans. Image Process.}, volume = {12}, number = {9}, pages = {1016--1034}, year = {2003}, url = {https://doi.org/10.1109/TIP.2003.816008}, doi = {10.1109/TIP.2003.816008}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/ParkPO03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/KimPKCL03, author = {Chang{-}Min Kim and Hyung{-}Min Park and Taesu Kim and Yoon{-}Kyung Choi and Soo{-}Young Lee}, title = {{FPGA} implementation of {ICA} algorithm for blind signal separation and adaptive noise canceling}, journal = {{IEEE} Trans. Neural Networks}, volume = {14}, number = {5}, pages = {1038--1046}, year = {2003}, url = {https://doi.org/10.1109/TNN.2003.818381}, doi = {10.1109/TNN.2003.818381}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tnn/KimPKCL03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/RyuPKC03, author = {Heung{-}Gyoon Ryu and Jeong{-}Min Park and Kie{-}Keun Kim and Young{-}Kyun Choi}, title = {Performance of {DS/SFH-SSMA} system with overlapping {BFSK} in the presence of both {MTJ} and {MAI}}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {52}, number = {1}, pages = {267--273}, year = {2003}, url = {https://doi.org/10.1109/TVT.2002.807151}, doi = {10.1109/TVT.2002.807151}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/RyuPKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/LimHKLP03, author = {Seung{-}Ho Lim and Joo Young Hwang and Kyung Ho Kim and Jupyung Lee and Kyu Ho Park}, editor = {Seong{-}Moo Yoo and Hee Yong Youn}, title = {Resource Volume Management for Shared File System in {SAN} Environment}, booktitle = {Proceedings of the {ISCA} 16th International Conference on Parallel and Distributed Computing Systems, August 13-15, 2003, Atlantis Hotel, Reno, Nevada, {USA}}, pages = {341--348}, publisher = {{ISCA}}, year = {2003}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/LimHKLP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csreaSAM/LeeLKPJC03, author = {Sangwoo Lee and Yun{-}kyung Lee and Youngsae Kim and Youngsoo Park and Sung{-}Ik Jun and Kyo{-}Il Chung}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Implementation of an Elliptic Curve Cryptography Hardware Accelerator for Smart Cards}, booktitle = {Proceedings of the International Conference on Security and Management, {SAM} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 2}, pages = {607--610}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Wed, 06 Dec 2006 10:55:26 +0100}, biburl = {https://dblp.org/rec/conf/csreaSAM/LeeLKPJC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic/LeePKKPK03, author = {Hwang{-}Jik Lee and Kyung{-}Lang Park and Kwang{-}Won Koh and Oh{-}Young Kwon and Hyoung{-}Woo Park and Shin{-}Dug Kim}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Improving the Performance of Grid-Enabled {MPI} by Intelligent Message Compression}, booktitle = {Proceedings of the International Conference on Internet Computing, {IC} '03, Las Vegas, Nevada, USA, June 23-26, 2003, Volume 2}, pages = {772--780}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Tue, 25 Apr 2006 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ic/LeePKKPK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/ParkLLKPPK03, author = {Kyung{-}Lang Park and Hwang{-}Jik Lee and Youn{-}Joo Lee and Oh{-}Young Kwon and Sung{-}Yong Park and Hyoung{-}Woo Park and Shin{-}Dug Kim}, editor = {Peter M. A. Sloot and David Abramson and Alexander V. Bogdanov and Jack J. Dongarra and Albert Y. Zomaya and Yuri E. Gorbachev}, title = {An Efficient Collective Communication Method for Grid Scale Networks}, booktitle = {Computational Science - {ICCS} 2003, International Conference, Melbourne, Australia and St. Petersburg, Russia, June 2-4, 2003. Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {2660}, pages = {819--828}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44864-0\_84}, doi = {10.1007/3-540-44864-0\_84}, timestamp = {Tue, 20 Jun 2023 16:27:45 +0200}, biburl = {https://dblp.org/rec/conf/iccS/ParkLLKPPK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccd/KwonPK03, author = {Young{-}Su Kwon and Bong{-}Il Park and Chong{-}Min Kyung}, title = {SCATOMi: Scheduling Driven Circuit Partitioning Algorithm for Multiple FPGAs using Time-multiplexed, Off-chip, Multicasting Interconnection Architecture}, booktitle = {21st International Conference on Computer Design {(ICCD} 2003),VLSI in Computers and Processors, 13-15 October 2003, San Jose, CA, USA, Proceedings}, pages = {419--425}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ICCD.2003.1240933}, doi = {10.1109/ICCD.2003.1240933}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccd/KwonPK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/ChaPY03, author = {Jeong Seob Cha and Young Sup Park and Kyunghyun Yoon}, editor = {Vipin Kumar and Marina L. Gavrilova and Chih Jeng Kenneth Tan and Pierre L'Ecuyer}, title = {A Directional Stroke Generation Algorithm for Painterly Rendering}, booktitle = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {2669}, pages = {326--335}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44842-X\_34}, doi = {10.1007/3-540-44842-X\_34}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/ChaPY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeePY03, author = {Hyo Keun Lee and Young Sup Park and Kyunghyun Yoon}, editor = {Vipin Kumar and Marina L. Gavrilova and Chih Jeng Kenneth Tan and Pierre L'Ecuyer}, title = {A Study on the Dynamic Painterly Stroke Generation for 3D Animation}, booktitle = {Computational Science and Its Applications - {ICCSA} 2003, International Conference, Montreal, Canada, May 18-21, 2003, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {2669}, pages = {317--325}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/3-540-44842-X\_33}, doi = {10.1007/3-540-44842-X\_33}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeePY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/KimHLCP03, author = {Kyung Ho Kim and Joo Young Hwang and Seung{-}Ho Lim and Joon Woo Cho and Kyu Ho Park}, title = {A Real-Time Disk Scheduler for Multimedia Integrated Server Considering the Disk Internal Scheduler}, booktitle = {17th International Parallel and Distributed Processing Symposium {(IPDPS} 2003), 22-26 April 2003, Nice, France, CD-ROM/Abstracts Proceedings}, pages = {124}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/IPDPS.2003.1213245}, doi = {10.1109/IPDPS.2003.1213245}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/KimHLCP03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LeeLPKPK03, author = {Won{-}Seok Lee and Keun{-}Ho Lee and Jin{-}Kyu Park and Tae{-}Kyung Kim and Young{-}Kwan Park and Jeong{-}Taek Kong}, title = {Investigation of the capacitance deviation due to metal-fills and the effective interconnect geometry modeling}, booktitle = {4th International Symposium on Quality of Electronic Design {(ISQED} 2003), 24-26 March 2003, San Jose, CA, {USA}}, pages = {373--376}, publisher = {{IEEE} Computer Society}, year = {2003}, url = {https://doi.org/10.1109/ISQED.2003.1194761}, doi = {10.1109/ISQED.2003.1194761}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LeeLPKPK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pvm/ParkLKKPPK03, author = {Kyung{-}Lang Park and Hwang{-}Jik Lee and Kwang{-}Won Koh and Oh{-}Young Kwon and Sung{-}Yong Park and Hyoung{-}Woo Park and Shin{-}Dug Kim}, editor = {Jack J. Dongarra and Domenico Laforenza and Salvatore Orlando}, title = {Dynamic Topology Selection for High Performance {MPI} in the Grid Environments}, booktitle = {Recent Advances in Parallel Virtual Machine and Message Passing Interface,10th European {PVM/MPI} Users' Group Meeting, Venice, Italy, September 29 - October 2, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2840}, pages = {595--602}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39924-7\_80}, doi = {10.1007/978-3-540-39924-7\_80}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/pvm/ParkLKKPPK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/MoonPJCKCN03, author = {Jongwook Moon and Junku Park and Gihyun Jung and Panan Choi and Youngu Kang and Kyunghee Choi and Sanguk Noh}, title = {Accelerating firewall through intelligent self-learning}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man {\&} Cybernetics: Washington, D.C., USA, 5-8 October 2003}, pages = {3524--3529}, publisher = {{IEEE}}, year = {2003}, url = {https://doi.org/10.1109/ICSMC.2003.1244435}, doi = {10.1109/ICSMC.2003.1244435}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/MoonPJCKCN03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/KimKPLRCK02a, author = {Jin Young Kim and Duk Kyung Kim and Seong Soo Park and Goon Seop Lee and Si Hoon Ryu and Myung Rae Chang and Jun Mo Koo}, title = {Perspective framework on the fourth-generation mobile communication systems}, journal = {J. Commun. Networks}, volume = {4}, number = {4}, pages = {321--335}, year = {2002}, url = {https://doi.org/10.1109/JCN.2002.6596656}, doi = {10.1109/JCN.2002.6596656}, timestamp = {Fri, 03 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/KimKPLRCK02a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/WeeMPLKYJC02, author = {Jae{-}Kyung Wee and Kyeong{-}Sik Min and Jong{-}Tai Park and Sang{-}Pil Lee and Young{-}Hee Kim and Tae{-}Heum Yang and Jong{-}Doo Joo and Jin{-}Yong Chung}, title = {A post-package bit-repair scheme using static latches with bipolar-voltage programmable antifuse circuit for high-density DRAMs}, journal = {{IEEE} J. Solid State Circuits}, volume = {37}, number = {2}, pages = {251--254}, year = {2002}, url = {https://doi.org/10.1109/4.982432}, doi = {10.1109/4.982432}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/WeeMPLKYJC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KimJPY02, author = {Kyung{-}Tae Kim and Sung{-}Kyo Jung and Young{-}Cheol Park and Dae Hee Youn}, title = {A new bandwidth scalable wideband speech/audio coder}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2002, May 13-17 2002, Orlando, Florida, {USA}}, pages = {657--660}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ICASSP.2002.5743803}, doi = {10.1109/ICASSP.2002.5743803}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KimJPY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccS/SeoKPY02, author = {Sanghyun Seo and Dae Wook Kang and Young Sup Park and Kyunghyun Yoon}, editor = {Peter M. A. Sloot and Chih Jeng Kenneth Tan and Jack J. Dongarra and Alfons G. Hoekstra}, title = {The New Area Subdivision Methods for Producing Shapes of Colored Paper Mosaic}, booktitle = {Computational Science - {ICCS} 2002, International Conference, Amsterdam, The Netherlands, April 21-24, 2002. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2330}, pages = {32--41}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-46080-2\_4}, doi = {10.1007/3-540-46080-2\_4}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iccS/SeoKPY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkLCK02, author = {Seong{-}Bok Park and Kwang{-}Eog Lee and Young{-}Kyun Choi and Soon{-}Ja Kim}, editor = {Ilyoung Chong}, title = {Construction of Sequences for Multi-group {FH-FDMA} in {DRT} System}, booktitle = {Information Networking, Wireless Communications Technologies and Network Applications, International Conference, {ICOIN} 2002, Cheju Island, Korea, January 30 - February 1, 2002, Revised Papers, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {2344}, pages = {342--350}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-45801-8\_33}, doi = {10.1007/3-540-45801-8\_33}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/icoin/ParkLCK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCY02, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Characterization of Instability of Dynamic Control for Kinematically Redundant Manipulators}, booktitle = {Proceedings of the 2002 {IEEE} International Conference on Robotics and Automation, {ICRA} 2002, May 11-15, 2002, Washington, DC, {USA}}, pages = {2400--2405}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ROBOT.2002.1013591}, doi = {10.1109/ROBOT.2002.1013591}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCY02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCY02a, author = {Kyongho Park and Wan Kyun Chung and Youngil Youm}, title = {Obtaining Passivity of Micro-Teleoperation Handling a Small Inertia Object}, booktitle = {Proceedings of the 2002 {IEEE} International Conference on Robotics and Automation, {ICRA} 2002, May 11-15, 2002, Washington, DC, {USA}}, pages = {3266--3271}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/ROBOT.2002.1013730}, doi = {10.1109/ROBOT.2002.1013730}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCY02a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KwonYPKLSHLWKLLYC02, author = {Dong{-}Soo Kwon and Gi{-}Hun Yang and Youngjin Park and Sunmin Kim and Chong{-}Won Lee and Jae{-}Cheol Shin and Soonhung Han and Jonghwan Lee and KwangYun Wohn and Sehoon Kim and Doo Yong Lee and Kyungno Lee and Jae{-}Heon Yang and Yun{-}Mook Choi}, title = {{KAIST} interactive bicycle racing simulator: the 2nd version with advanced features}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, Lausanne, Switzerland, September 30 - October 4, 2002}, pages = {2961--2966}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/IRDS.2002.1041722}, doi = {10.1109/IRDS.2002.1041722}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KwonYPKLSHLWKLLYC02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/ChangKYDSYSP02, author = {KyungHi Chang and Young{-}Hoon Kim and ChangWahn Yu and Kim DaeHo and Kyung{-}Yeol Sohn and Choong Il Yeh and Young Seog Song and Hyeong{-}Sook Park}, title = {Performance validation of wideband {CDMA} user equipment {(UE)} modem}, booktitle = {The 13th {IEEE} International Symposium on Personal, Indoor and Mobile Radio Communications, Lisboa, Portugal, September 15-18, 2002}, pages = {1372--1376}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/PIMRC.2002.1045253}, doi = {10.1109/PIMRC.2002.1045253}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pimrc/ChangKYDSYSP02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkLK02, author = {Dong{-}Seek Park and Hyeon Woo Lee and Young{-}Kyun Kim}, title = {Efficient QoS control using unequal error protection {(UEP)} in physical layer}, booktitle = {Proceedings of the 55th {IEEE} Vehicular Technology Conference, {VTC} Spring 2002, May 6-9, 2002, Birmingham, Alabama, {USA}}, pages = {250--254}, publisher = {{IEEE}}, year = {2002}, url = {https://doi.org/10.1109/VTC.2002.1002704}, doi = {10.1109/VTC.2002.1002704}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkLK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipm/LeePC01, author = {Kyung{-}Soon Lee and Young{-}Chan Park and Key{-}Sun Choi}, title = {Re-ranking model based on document clusters}, journal = {Inf. Process. Manag.}, volume = {37}, number = {1}, pages = {1--14}, year = {2001}, url = {https://doi.org/10.1016/S0306-4573(00)00017-0}, doi = {10.1016/S0306-4573(00)00017-0}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ipm/LeePC01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/ChoLPP01, author = {Young{-}Wan Cho and Sung{-}Ryul Lee and Kyung{-}Ok Park and Mignon Park}, title = {Robust stability of fuzzy feedback linearization control system for Takagi-Sugeno fuzzy model}, journal = {J. Intell. Fuzzy Syst.}, volume = {10}, number = {2}, pages = {99--115}, year = {2001}, url = {http://content.iospress.com/articles/journal-of-intelligent-and-fuzzy-systems/ifs00137}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/ChoLPP01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icn/ParkCLJ01, author = {Ho{-}Jin Park and Young{-}Il Choi and Byung{-}Sun Lee and Kyung Pyo Jun}, editor = {Pascal Lorenz}, title = {Open Software Architecture for Multiservice Switching System}, booktitle = {Networking - {ICN} 2001, First International Conference, Colmar, France, July 9-13, 2001 Proceedings, Part 2}, series = {Lecture Notes in Computer Science}, volume = {2094}, pages = {380--389}, publisher = {Springer}, year = {2001}, url = {https://doi.org/10.1007/3-540-47734-9\_38}, doi = {10.1007/3-540-47734-9\_38}, timestamp = {Tue, 14 May 2019 10:00:47 +0200}, biburl = {https://dblp.org/rec/conf/icn/ParkCLJ01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimPCY01, author = {Bong Keun Kim and Sangdeok Park and Wan Kyun Chung and Youngil Youm}, title = {Input Preshaping Vibration Suppression of Beam-Mass-Cart Systems Using Robust Internal-Loop Compensator}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Robotics and Automation, {ICRA} 2001, May 21-26, 2001, Seoul, Korea}, pages = {3859--3864}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ROBOT.2001.933219}, doi = {10.1109/ROBOT.2001.933219}, timestamp = {Thu, 15 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimPCY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCCY01, author = {Jonghoon Park and Youngjin Choi and Wan Kyun Chung and Youngil Youm}, title = {Multiple Tasks Kinematics Using Weighted Pseudo-Inverse for Kinematically Redundant Manipulators}, booktitle = {Proceedings of the 2001 {IEEE} International Conference on Robotics and Automation, {ICRA} 2001, May 21-26, 2001, Seoul, Korea}, pages = {4041--4047}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/ROBOT.2001.933249}, doi = {10.1109/ROBOT.2001.933249}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCCY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/JungPYKY01, author = {Sung{-}Kyo Jung and Young{-}Cheol Park and Sung{-}Wan Yoon and Kyung{-}Tae Kim and Dae Hee Youn}, editor = {Paul Dalsgaard and B{\o}rge Lindberg and Henrik Benner and Zheng{-}Hua Tan}, title = {Efficient implementation of ITU-t g.723.1 speech coder for multichannel voice transmission and storage}, booktitle = {{EUROSPEECH} 2001 Scandinavia, 7th European Conference on Speech Communication and Technology, 2nd {INTERSPEECH} Event, Aalborg, Denmark, September 3-7, 2001}, pages = {2017--2020}, publisher = {{ISCA}}, year = {2001}, url = {https://doi.org/10.21437/Eurospeech.2001-476}, doi = {10.21437/EUROSPEECH.2001-476}, timestamp = {Thu, 22 Jun 2023 16:42:18 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/JungPYKY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimPCY01, author = {Bong Keun Kim and Sangdeok Park and Wan Kyun Chung and Youngil Youm}, title = {{PTP} motion control of {XY} positioning systems with a flexible beam}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2001: Expanding the Societal Role of Robotics in the the Next Millennium, Maui, HI, USA, October 29 - November 3, 2001}, pages = {1644--1649}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/IROS.2001.977214}, doi = {10.1109/IROS.2001.977214}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/KimPCY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iv/ParkY01, author = {Youngsup Park and Kyunghyun Yoon}, title = {View Morphing Using Sprites with Depth}, booktitle = {International Conference on Information Visualisation, {IV} 2001, London, England, UK, July 25-27, 2001}, pages = {323--330}, publisher = {{IEEE} Computer Society}, year = {2001}, url = {https://doi.org/10.1109/IV.2001.942077}, doi = {10.1109/IV.2001.942077}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iv/ParkY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KimJPCY01, author = {Kyung{-}Tae Kim and Sung{-}Kyo Jung and Young{-}Cheol Park and Yong{-}Soo Choi and Dae Hee Youn}, title = {An efficient transcoding algorithm for {G.723.1} and {EVRC} speech coders}, booktitle = {Proceedings of the 54th {IEEE} Vehicular Technology Conference, {VTC} Fall 2001, 7-11 October 2001, Atlantic City, New Jersey, {USA}}, pages = {1561--1564}, publisher = {{IEEE}}, year = {2001}, url = {https://doi.org/10.1109/VTC.2001.956460}, doi = {10.1109/VTC.2001.956460}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KimJPCY01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/KimPO00, author = {Kyung{-}Soo Kim and Youngjin Park and Shi{-}Hwan Oh}, title = {Designing robust sliding hyperplanes for parametric uncertain systems: a Riccati approach}, journal = {Autom.}, volume = {36}, number = {7}, pages = {1041--1048}, year = {2000}, url = {https://doi.org/10.1016/S0005-1098(00)00014-5}, doi = {10.1016/S0005-1098(00)00014-5}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/automatica/KimPO00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tac/KimP00, author = {Kyung{-}Soo Kim and Youngjin Park}, title = {Equivalence between two solvability conditions for a static output feedback problem}, journal = {{IEEE} Trans. Autom. Control.}, volume = {45}, number = {10}, year = {2000}, url = {https://doi.org/10.1109/TAC.2000.880988}, doi = {10.1109/TAC.2000.880988}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tac/KimP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YangKNKLLHLHPK00, author = {Jin{-}Hyuk Yang and Byoung{-}Woon Kim and Sang{-}Joon Nam and Young{-}Su Kwon and Dae{-}Hyun Lee and Jong{-}Yeol Lee and Chan{-}Soo Hwang and Yong Hoon Lee and Seung Ho Hwang and In{-}Cheol Park and Chong{-}Min Kyung}, title = {MetaCore: an application-specific programmable {DSP} development system}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {8}, number = {2}, pages = {173--183}, year = {2000}, url = {https://doi.org/10.1109/92.831437}, doi = {10.1109/92.831437}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YangKNKLLHLHPK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KwonPK00, author = {Young{-}Su Kwon and In{-}Cheol Park and Chong{-}Min Kyung}, title = {A hardware accelerator for the specular intensity of phong illumination model in 3-dimensional graphics}, booktitle = {Proceedings of {ASP-DAC} 2000, Asia and South Pacific Design Automation Conference 2000, Yokohama, Japan}, pages = {559--564}, publisher = {{ACM}}, year = {2000}, url = {https://doi.org/10.1145/368434.368789}, doi = {10.1145/368434.368789}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KwonPK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/KimP00, author = {Kyung{-}Soo Kim and Youngjin Park}, title = {Using Lyapunov matrices for sliding mode design}, booktitle = {39th {IEEE} Conference on Decision and Control, {CDC} 2000, Sydney, Australia, December 12-15, 2000}, pages = {2204--2209}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/CDC.2000.914123}, doi = {10.1109/CDC.2000.914123}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cdc/KimP00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/KimKPKCKH00, author = {Taeyoung Kim and Younsun Kim and Joonhyun Park and Kyunbyoung Ko and Sooyong Choi and Changeon Kang and Daesik Hong}, title = {Performance of an {MC-CDMA} System with Frequency Offsets in Correlated Fading}, booktitle = {2000 {IEEE} International Conference on Communications: Global Convergence Through Communications, {ICC} 2000, New Orleans, LA, USA, June 18-22, 2000}, pages = {1095--1099}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICC.2000.853667}, doi = {10.1109/ICC.2000.853667}, timestamp = {Wed, 22 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/KimKPKCKH00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/KwonPK00, author = {Young{-}Su Kwon and In{-}Cheol Park and Chong{-}Min Kyung}, title = {Pyramid Texture Compression and Decompression Using Interpolative Vector Quantization}, booktitle = {Proceedings of the 2000 International Conference on Image Processing, {ICIP} 2000, Vancouver, BC, Canada, September 10-13, 2000}, pages = {191--194}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ICIP.2000.899261}, doi = {10.1109/ICIP.2000.899261}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icip/KwonPK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCY00, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Unified Motion Specification and Control of Kinematically Redundant Manipulators}, booktitle = {Proceedings of the 2000 {IEEE} International Conference on Robotics and Automation, {ICRA} 2000, April 24-28, 2000, San Francisco, CA, {USA}}, pages = {3945--3951}, publisher = {{IEEE}}, year = {2000}, url = {https://doi.org/10.1109/ROBOT.2000.845346}, doi = {10.1109/ROBOT.2000.845346}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ChoiRPY00, author = {Yong{-}Soo Choi and Seung{-}Kyun Ryu and Young{-}Cheol Park and Dae Hee Youn}, title = {Efficient harmonic-CELP based hybrid coding of speech at low bit rates}, booktitle = {Sixth International Conference on Spoken Language Processing, {ICSLP} 2000 / {INTERSPEECH} 2000, Beijing, China, October 16-20, 2000}, pages = {210--213}, publisher = {{ISCA}}, year = {2000}, url = {https://doi.org/10.21437/ICSLP.2000-246}, doi = {10.21437/ICSLP.2000-246}, timestamp = {Thu, 22 Jun 2023 16:42:19 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ChoiRPY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/ParkRPY00, author = {Sang{-}Wook Park and Seung{-}Kyun Ryu and Young{-}Cheol Park and Dae Hee Youn}, title = {A bark coherence function for perceived speech quality estimation}, booktitle = {Sixth International Conference on Spoken Language Processing, {ICSLP} 2000 / {INTERSPEECH} 2000, Beijing, China, October 16-20, 2000}, pages = {218--221}, publisher = {{ISCA}}, year = {2000}, url = {https://doi.org/10.21437/ICSLP.2000-248}, doi = {10.21437/ICSLP.2000-248}, timestamp = {Thu, 22 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/ParkRPY00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KwonPPK99, author = {Young{-}Su Kwon and Bong{-}Il Park and In{-}Cheol Park and Chong{-}Min Kyung}, title = {A New Single-Clock Flip-Clop for Half-Swing Clocking}, booktitle = {Proceedings of the 1999 Conference on Asia South Pacific Design Automation, Wanchai, Hong Kong, China, January 18-21, 1999}, pages = {117--120}, publisher = {{IEEE} Computer Society}, year = {1999}, url = {https://doi.org/10.1109/ASPDAC.1999.759727}, doi = {10.1109/ASPDAC.1999.759727}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/KwonPPK99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeYC99, author = {Sungon Lee and Youngil Youm and Wan Kyun Chung}, title = {Control of a Car-Like Mobile Robot for Parking Problem}, booktitle = {1999 {IEEE} International Conference on Robotics and Automation, Marriott Hotel, Renaissance Center, Detroit, Michigan, USA, May 10-15, 1999, Proceedings}, pages = {1--6}, publisher = {{IEEE} Robotics and Automation Society}, year = {1999}, url = {https://doi.org/10.1109/ROBOT.1999.769891}, doi = {10.1109/ROBOT.1999.769891}, timestamp = {Wed, 20 Jun 2018 17:51:11 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeYC99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCY99, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Performance of Linear Decentralized H-Infinity Optimal Control for Industrial Robotic Manipulators}, booktitle = {1999 {IEEE} International Conference on Robotics and Automation, Marriott Hotel, Renaissance Center, Detroit, Michigan, USA, May 10-15, 1999, Proceedings}, pages = {2825--2830}, publisher = {{IEEE} Robotics and Automation Society}, year = {1999}, url = {https://doi.org/10.1109/ROBOT.1999.774025}, doi = {10.1109/ROBOT.1999.774025}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkCY99, author = {Jonghoon Park and Wankyun Chung and Youngil Youm}, title = {On dynamical decoupling of kinematically redundant manipulators}, booktitle = {Proceedings 1999 {IEEE/RSJ} International Conference on Intelligent Robots and Systems. Human and Environment Friendly Robots with High Intelligence and Emotional Quotients, October 17-21,1999, Hyundai Hotel, Kyongju, Korea}, pages = {1495--1500}, publisher = {{IEEE}}, year = {1999}, url = {https://doi.org/10.1109/IROS.1999.811690}, doi = {10.1109/IROS.1999.811690}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkCY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/YangKNCSRKLLKYKLHKKPPLHPK98, author = {Jin{-}Hyuk Yang and Byoung{-}Woon Kim and Sang{-}Jun Nam and Jang{-}Ho Cho and Sung{-}Won Seo and Chang{-}Ho Ryu and Young{-}Su Kwon and Dae{-}Hyun Lee and Jong{-}Yeol Lee and Jong{-}Sun Kim and Hyun{-}Dhong Yoon and Jae{-}Yeol Kim and Kun{-}Moo Lee and Chan{-}Soo Hwang and In{-}Hyung Kim and Jun Sung Kim and Kwang{-}Il Park and Kyu Ho Park and Yong Hoon Lee and Seung Ho Hwang and In{-}Cheol Park and Chong{-}Min Kyung}, editor = {Basant R. Chawla and Randal E. Bryant and Jan M. Rabaey}, title = {MetaCore: An Application Specific {DSP} Development System}, booktitle = {Proceedings of the 35th Conference on Design Automation, Moscone center, San Francico, California, USA, June 15-19, 1998}, pages = {800--803}, publisher = {{ACM} Press}, year = {1998}, url = {https://doi.org/10.1145/277044.277247}, doi = {10.1145/277044.277247}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/YangKNCSRKLLKYKLHKKPPLHPK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCY98, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Analytic Nonlinear Hinfty Optimal Control for Robotic Manipulators}, booktitle = {Proceedings of the {IEEE} International Conference on Robotics and Automation, ICRA-98, Leuven, Belgium, May 16-20, 1998}, pages = {2709--2715}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ROBOT.1998.680755}, doi = {10.1109/ROBOT.1998.680755}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkCYK98, author = {Jonghoon Park and Wankyun Chung and Youngil Youm and Moonsang Kim}, title = {{\unicode{119997}}\({}_{\mbox{{\(\infty\)}}}\) robust motion control of kinematically redundant manipulators}, booktitle = {Proceedings 1998 {IEEE/RSJ} International Conference on Intelligent Robots and Systems. Innovations in Theory, Practice and Applications, October 13-17, 1998, Victoria, BC, Canada}, pages = {330--335}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/IROS.1998.724640}, doi = {10.1109/IROS.1998.724640}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkCYK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isorc/ParkCK98, author = {Hong{-}Jin Park and Kyung{-}Ah Chun and Young{-}Chan Kim}, title = {Associative Prioritized Worker Model with Priority Inheritance Protocol}, booktitle = {1st International Symposium on Object-Oriented Real-Time Distributed Computing {(ISORC} '98), 20-22 April 1998, Kyoto, Japan}, pages = {117--123}, publisher = {{IEEE} Computer Society}, year = {1998}, url = {https://doi.org/10.1109/ISORC.1998.666775}, doi = {10.1109/ISORC.1998.666775}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isorc/ParkCK98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/LeeKP97, author = {Jae{-}dong Lee and Kyung{-}Hee Kwon and Young{-}Beom Park}, title = {Minimizing communication in bitonic sorting software}, booktitle = {1997 International Conference on Parallel and Distributed Systems {(ICPADS} '97), 11-13 December 1997, Seoul, Korea, Proceedings}, pages = {166--171}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPADS.1997.652545}, doi = {10.1109/ICPADS.1997.652545}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/LeeKP97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkHLKCHKL97, author = {Sang M. Park and Yong K. Hwang and Sooyong Lee and Sungchul Kang and Kyungrae R. Cho and Young S. Han and Mun S. Kim and Chong{-}Won Lee}, title = {Human computer competition in game situation: motion planning for boxing}, booktitle = {Proceedings of the 1997 {IEEE/RSJ} International Conference on Intelligent Robot and Systems. Innovative Robotics for Real-World Applications. {IROS} '97, September 7-11, 1997, Grenoble, France}, pages = {134--139}, publisher = {{IEEE}}, year = {1997}, url = {https://doi.org/10.1109/IROS.1997.649026}, doi = {10.1109/IROS.1997.649026}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkHLKCHKL97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ar/ParkCY96, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Reconstruction of the inverse kinematic solution subject to joint kinematic limits using kinematic redundancy}, journal = {Adv. Robotics}, volume = {11}, number = {4}, pages = {377--395}, year = {1996}, url = {https://doi.org/10.1163/156855397X00380}, doi = {10.1163/156855397X00380}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ar/ParkCY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iasc/ParkCY96, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Quasi-Static Modeling of Compliance-Governed Redundant Manipulators}, journal = {Intell. Autom. Soft Comput.}, volume = {2}, number = {3}, pages = {285--300}, year = {1996}, url = {https://doi.org/10.1080/10798587.1996.10750675}, doi = {10.1080/10798587.1996.10750675}, timestamp = {Fri, 26 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iasc/ParkCY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/ParkCY96, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Characteristics of optimal solutions in kinematic resolutions of redundancy}, journal = {{IEEE} Trans. Robotics Autom.}, volume = {12}, number = {3}, pages = {471--478}, year = {1996}, url = {https://doi.org/10.1109/70.499828}, doi = {10.1109/70.499828}, timestamp = {Tue, 19 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/ParkCY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCY96, author = {Jonghoon Park and Wankyun Chung and Youngil Youm}, title = {Weighted decomposition of kinematics and dynamics of kinematically redundant manipulators}, booktitle = {Proceedings of the 1996 {IEEE} International Conference on Robotics and Automation, Minneapolis, Minnesota, USA, April 22-28, 1996}, pages = {480--486}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/ROBOT.1996.503822}, doi = {10.1109/ROBOT.1996.503822}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCY96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCYKK96, author = {Jonghoon Park and Wankyun Chung and Youngil Youm and Moonsang Kim and Mankeun Kim}, title = {Control input reconstruction using redundancy under torque limit}, booktitle = {Proceedings of the 1996 {IEEE} International Conference on Robotics and Automation, Minneapolis, Minnesota, USA, April 22-28, 1996}, pages = {1247--1253}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/ROBOT.1996.506878}, doi = {10.1109/ROBOT.1996.506878}, timestamp = {Mon, 22 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCYKK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkCYK96, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm and Moonsang Kim}, title = {Reconstruction of inverse kinematic solution subject to joint kinematic limits using kinematic redundancy}, booktitle = {Proceedings of {IEEE/RSJ} International Conference on Intelligent Robots and Systems. {IROS} 1996, November 4-8, 1996, Osaka, Japan}, pages = {425--430}, publisher = {{IEEE}}, year = {1996}, url = {https://doi.org/10.1109/IROS.1996.570808}, doi = {10.1109/IROS.1996.570808}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkCYK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cmc/FaisLP95, author = {Laurel Fais and Kyung{-}ho Loken{-}Kim and Young{-}Duk Park}, editor = {Harry Bunt and Robbert{-}Jan Beun and Tijn Borghuis}, title = {Speakers' Responses to Requests for Repetition in a Multimedia Language Processing Environment}, booktitle = {Multimodal Human-Computer Communication, Systems, Techniques, and Experiments}, series = {Lecture Notes in Computer Science}, volume = {1374}, pages = {264--278}, publisher = {Springer}, year = {1995}, url = {https://doi.org/10.1007/BFb0052323}, doi = {10.1007/BFB0052323}, timestamp = {Tue, 14 May 2019 10:00:40 +0200}, biburl = {https://dblp.org/rec/conf/cmc/FaisLP95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/Loken-KimPMFM95, author = {Kyung{-}ho Loken{-}Kim and Young{-}Duk Park and Suguru Mizunashi and Laurel Fais and Tsuyoshi Morimoto}, title = {Verbal-gestural behaviors in multimodal spoken language interpreting telecommunications}, booktitle = {Fourth European Conference on Speech Communication and Technology, {EUROSPEECH} 1995, Madrid, Spain, September 18-21, 1995}, pages = {281--284}, publisher = {{ISCA}}, year = {1995}, url = {https://doi.org/10.21437/Eurospeech.1995-77}, doi = {10.21437/EUROSPEECH.1995-77}, timestamp = {Sat, 01 Jul 2023 23:51:24 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/Loken-KimPMFM95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkCY95, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Specification and control of motion for kinematically redundant manipulators}, booktitle = {Proceedings of {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 1995, August 5 - 9, 1995, Pittsburgh, PA, {USA}}, pages = {89--94}, publisher = {{IEEE} Computer Society}, year = {1995}, url = {https://doi.org/10.1109/IROS.1995.525867}, doi = {10.1109/IROS.1995.525867}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ParkCY95.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkCY94, author = {Jonghoon Park and Wan Kyun Chung and Youngil Youm}, title = {Behaviors of Extended Jacobian Method for Kinematic Resolutions of Redundancy}, booktitle = {Proceedings of the 1994 International Conference on Robotics and Automation, San Diego, CA, USA, May 1994}, pages = {89--95}, publisher = {{IEEE} Computer Society}, year = {1994}, url = {https://doi.org/10.1109/ROBOT.1994.351005}, doi = {10.1109/ROBOT.1994.351005}, timestamp = {Fri, 13 Aug 2021 09:26:01 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkCY94.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/LeeKSP90, author = {Youngjik Lee and Myung Won Kim and Hyun Kyung Song and Sin Chang Park}, title = {Analysis of classification performance for Hopfield network with predefined correlated exemplar patterns}, booktitle = {{IJCNN} 1990, International Joint Conference on Neural Networks, San Diego, CA, USA, June 17-21, 1990}, pages = {803--808}, publisher = {{IEEE}}, year = {1990}, url = {https://doi.org/10.1109/IJCNN.1990.137668}, doi = {10.1109/IJCNN.1990.137668}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/LeeKSP90.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/MoonPL88, author = {Young H. Moon and Young Mi Park and Kyung J. Lee}, title = {Observable island identification for state estimation using incidence matrix}, journal = {Autom.}, volume = {24}, number = {1}, pages = {71--75}, year = {1988}, url = {https://doi.org/10.1016/0005-1098(88)90008-8}, doi = {10.1016/0005-1098(88)90008-8}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/MoonPL88.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.