![](https://dblp.uni-trier.de/img/logo.ua.320x120.png)
![](https://dblp.uni-trier.de/img/dropdown.dark.16x16.png)
![](https://dblp.uni-trier.de/img/peace.dark.16x16.png)
Остановите войну!
for scientists:
![search dblp search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
![search dblp](https://dblp.uni-trier.de/img/search.dark.16x16.png)
default search action
Search dblp for Publications
export results for "Young-Jin Park"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/ChoiPKKKLS24, author = {Kyong Jin Choi and Jaemin Park and Taehyeon Kwon and Soonhyung Kwon and do{-}Hoon Kwon and Young Il Lee and Min K. Sim}, title = {A Quadratic Formulation of {ESS} Degradation and Optimal {DC} Microgrid Operation Strategy Using Quadratic Programming}, journal = {{IEEE} Access}, volume = {12}, pages = {88534--88546}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3414450}, doi = {10.1109/ACCESS.2024.3414450}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiPKKKLS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LimKJHCKPJJCB24, author = {Munwon Lim and Yunhee Kim and Shengxin Jin and Sangwoo Ha and Sung Yong Chang and Hae Su Kang and Gyu Sang Park and Mi Lee Joo and Chang{-}Sik Jung and Youngjin Cho and Suk Joo Bae}, title = {Depth-Based Condition Monitoring and Contributing Factor Analysis for Anomalies in Combined Cycle Power Plant}, journal = {{IEEE} Access}, volume = {12}, pages = {73400--73412}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3403864}, doi = {10.1109/ACCESS.2024.3403864}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LimKJHCKPJJCB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKSKCLBLKL24, author = {Chanyoung Park and Hongbum Kim and Jungwon Suh and Jinhee Ko and Jun Hwan Choi and Sang Yoon Lee and Jaewon Beom and Jae{-}Young Lim and Bo Ryun Kim and Hyo Kyung Lee}, title = {Multivariate Time-Series Cluster Analysis for Multiple Functional Domains to Identify Recovery Patterns of Patients With Fragility Hip Fracture After Surgery}, journal = {{IEEE} Access}, volume = {12}, pages = {48699--48712}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3383786}, doi = {10.1109/ACCESS.2024.3383786}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKSKCLBLKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkYJK24, author = {Hae{-}Chan Park and Jong{-}Uk Yang and Jin{-}Su Jang and Rae{-}Young Kim}, title = {Transformer Design Technique Based on the Magnetic Equivalent Model of High-Frequency Isolated {LLC} Converter With High Accuracy and Reduced Design Time}, journal = {{IEEE} Access}, volume = {12}, pages = {3948--3959}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2023.3346322}, doi = {10.1109/ACCESS.2023.3346322}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkYJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChoiWPLYLLYLCBKL24, author = {Seungyeop Choi and Sung{-}Hun Woo and Insu Park and Sena Lee and Kang In Yeo and Sang Hyun Lee and Sei Young Lee and Sejung Yang and Gyudo Lee and Woo{-}Jin Chang and Rashid Bashir and Yoon Suk Kim and Sang Woo Lee}, title = {Cellular subpopulations identified using an ensemble average of multiple dielectrophoresis measurements}, journal = {Comput. Biol. Medicine}, volume = {170}, pages = {108011}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108011}, doi = {10.1016/J.COMPBIOMED.2024.108011}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChoiWPLYLLYLCBKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ParkKKLCKLSKK24, author = {Dougho Park and Younghun Kim and Harim Kang and Junmyeoung Lee and Jinyoung Choi and Taeyeon Kim and Sangeok Lee and Seokil Son and Minsol Kim and Injung Kim}, title = {PECI-Net: Bolus segmentation from video fluoroscopic swallowing study images using preprocessing ensemble and cascaded inference}, journal = {Comput. Biol. Medicine}, volume = {172}, pages = {108241}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108241}, doi = {10.1016/J.COMPBIOMED.2024.108241}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ParkKKLCKLSKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/AhnKCLKYKSP24, author = {Da{-}Vin Ahn and Kyeongdae Kim and Kyujeong Choi and Jin Woong Lee and Jeong{-}Gil Kim and Jihun Yu and Heung{-}Sub Kim and Jaho Seo and Young{-}Jun Park}, title = {Effect of clutch control to improve launch quality for a power shuttle tractor during launching}, journal = {Comput. Electron. Agric.}, volume = {224}, pages = {109235}, year = {2024}, url = {https://doi.org/10.1016/j.compag.2024.109235}, doi = {10.1016/J.COMPAG.2024.109235}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/AhnKCLKYKSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cg/ParkKS24, author = {Byung{-}Seo Park and Jin{-}Kyum Kim and Young{-}Ho Seo}, title = {3D pose estimation using joint-based calibration in distributed {RGB-D} camera system}, journal = {Comput. Graph.}, volume = {120}, pages = {103917}, year = {2024}, url = {https://doi.org/10.1016/j.cag.2024.103917}, doi = {10.1016/J.CAG.2024.103917}, timestamp = {Wed, 31 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cg/ParkKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/MichelsPKW24, author = {Alexander C. Michels and Jinwoo Park and Jeon{-}Young Kang and Shaowen Wang}, title = {{SPASTC:} a Spatial Partitioning Algorithm for Scalable Travel-time Computation}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {38}, number = {5}, pages = {803--824}, year = {2024}, url = {https://doi.org/10.1080/13658816.2024.2326445}, doi = {10.1080/13658816.2024.2326445}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gis/MichelsPKW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24, author = {Gunhwan Ko and Jae Ho Lee and Young Mi Sim and Wangho Song and Byung{-}Ha Yoon and Iksu Byeon and Bang Hyuck Lee and Sangok Kim and Jinhyuk Choi and Insoo Jang and Hyerin Kim and Jin Ok Yang and Kiwon Jang and Sora Kim and Jong{-}Hwan Kim and Jongbum Jeon and Jaeeun Jung and Seungwoo Hwang and Ji{-}Hwan Park and Pan{-}Gyu Kim and Seon{-}Young Kim and Byungwook Lee}, title = {KoNA: Korean Nucleotide Archive as {A} New Data Repository for Nucleotide Sequence Data}, journal = {Genom. Proteom. Bioinform.}, volume = {22}, number = {1}, year = {2024}, url = {https://doi.org/10.1093/gpbjnl/qzae017}, doi = {10.1093/GPBJNL/QZAE017}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/LeeKKPJKKLL24, author = {Kwang{-}Sig Lee and Su Jin Kim and Dong Cheol Kim and Sang{-}Hyun Park and Dong{-}Hyun Jang and Eung Hwi Kim and Youngshin Kang and Sijin Lee and Sung Woo Lee}, title = {Machine learning-based prediction of cerebral oxygen saturation based on multi-modal cerebral oximetry data}, journal = {Health Informatics J.}, volume = {30}, number = {2}, year = {2024}, url = {https://doi.org/10.1177/14604582241259341}, doi = {10.1177/14604582241259341}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/LeeKKPJKKLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsr/ChoKJLKYYJPKJYLJ24, author = {Miyoung Cho and Do{-}Hyung Kim and Minsu Jang and Jaeyeon Lee and Jaehong Kim and Woo{-}han Yun and Youngwoo Yoon and Jinhyeok Jang and Chankyu Park and Woo{-}Ri Ko and Jaeyoon Jang and Ho{-}Sub Yoon and Daeha Lee and Choulsoo Jang}, title = {Evaluating Human-Care Robot Services for the Elderly: An Experimental Study}, journal = {Int. J. Soc. Robotics}, volume = {16}, number = {7}, pages = {1561--1587}, year = {2024}, url = {https://doi.org/10.1007/s12369-024-01157-7}, doi = {10.1007/S12369-024-01157-7}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsr/ChoKJLKYYJPKJYLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/YessenbayevNJKKKPRC24, author = {Olzhas Yessenbayev and Dung Chi Duy Nguyen and Taeseok Jeong and Ki Joon Kang and Hee Reyoung Kim and Jonghyeon Ko and Jin{-}Young Park and Myung{-}Sub Roh and Marco Comuzzi}, title = {Combining blockchain and IoT for safe and transparent nuclear waste management: {A} prototype implementation}, journal = {J. Ind. Inf. Integr.}, volume = {39}, pages = {100596}, year = {2024}, url = {https://doi.org/10.1016/j.jii.2024.100596}, doi = {10.1016/J.JII.2024.100596}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiii/YessenbayevNJKKKPRC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jksucis/KimCP24, author = {Kangmin Kim and Jinyoung Choi and Youngjin Park}, title = {A new spatial data structure for triangular mesh with toroidal patches}, journal = {J. King Saud Univ. Comput. Inf. Sci.}, volume = {36}, number = {1}, pages = {101891}, year = {2024}, url = {https://doi.org/10.1016/j.jksuci.2023.101891}, doi = {10.1016/J.JKSUCI.2023.101891}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jksucis/KimCP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiCSKPKSK24, author = {Jonghyuck Choi and Yoonjae Choi and Jincheol Sim and Youngwook Kwon and Seungwoo Park and Seongcheol Kim and Changmin Sim and Chulwoo Kim}, title = {A Single-Ended {NRZ} Receiver With Gain-Enhanced Active-Inductive {CTLE} and Reference-Selection {DFE} for Memory Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {4}, pages = {1261--1270}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3358335}, doi = {10.1109/JSSC.2024.3358335}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiCSKPKSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCCLJSCJH24, author = {Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Jeeyoung Shin and Woong Choi and Junwon Jeong and Sung{-}Wan Hong}, title = {A Simultaneous Energy Transferring {SIBO} Converter Achieving Low Ripple and High Efficiency for {AMOLED} Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {5}, pages = {1497--1508}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3314834}, doi = {10.1109/JSSC.2023.3314834}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkCCLJSCJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SimSPCCKPKKLKK24, author = {Jincheol Sim and Changmin Sim and Hyunsu Park and Yoonjae Choi and Jonghyuck Choi and Youngwook Kwon and Seungwoo Park and Seongcheol Kim and Jong{-}Min Kim and Ju{-}Hyung Lee and Young{-}Chai Ko and Chulwoo Kim}, title = {A 10-Gb/s Wireline Receiver Using Linear Baud-Rate {CDR} and Analog Equalizer for Free Space Optical Communication Over 10- and 100-m Distances}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {6}, pages = {1835--1846}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3347758}, doi = {10.1109/JSSC.2023.3347758}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SimSPCCKPKKLKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ml/ParkKZ24, author = {Young Woong Park and Jinhak Kim and Dan Zhu}, title = {Discordance minimization-based imputation algorithms for missing values in rating data}, journal = {Mach. Learn.}, volume = {113}, number = {1}, pages = {241--279}, year = {2024}, url = {https://doi.org/10.1007/s10994-023-06452-4}, doi = {10.1007/S10994-023-06452-4}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ml/ParkKZ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/KimPAKK24, author = {Seoyoung Kim and Yeon Su Park and Dakyeom Ahn and Jin Myung Kwak and Juho Kim}, title = {Is the Same Performance Really the Same?: Understanding How Listeners Perceive {ASR} Results Differently According to the Speaker's Accent}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--22}, year = {2024}, url = {https://doi.org/10.1145/3641008}, doi = {10.1145/3641008}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/KimPAKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YooKPC24, author = {Seunghoon Yoo and Jinho Kim and Jungwoo Park and Youngsu Cha}, title = {Design and Analysis of Origami-Based Multimodal Actuator Capable of Linear and Bending Motion}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {1}, pages = {151--158}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3331952}, doi = {10.1109/LRA.2023.3331952}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/YooKPC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/MoonKEHKWKKGCYSHPMKKKLY24, author = {Heechan Moon and Shin Han Kang and Jeongsik Eom and Myun Joong Hwang and Youngmin Kim and Jungha Wang and Beomjun Kim and Taehyung Kim and Taekwon Ga and Jongeun Choi and Wonsang You and Jiyou Shin and Jongsoo Han and Kyeongbeen Park and Hyungpil Moon and Seok{-}Cheol Kee and Hak{-}Jin Kim and Yong{-}Hyun Kim and Kibeom Lee and Jaeseung Yu}, title = {Autonomous Robot Racing Competitions: Truly Multivehicle Autonomous Racing Competitions [Competitions]}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {31}, number = {1}, pages = {123--132}, year = {2024}, url = {https://doi.org/10.1109/MRA.2024.3354524}, doi = {10.1109/MRA.2024.3354524}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/MoonKEHKWKKGCYSHPMKKKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/ParkKKCLLSK24, author = {Jinhong Park and Min Seok Kim and Joonsoo Kim and Sehui Chang and Mincheol Lee and Gil Ju Lee and Young Min Song and Dae{-}Hyeong Kim}, title = {Avian eye-inspired perovskite artificial vision system for foveated and multispectral imaging}, journal = {Sci. Robotics}, volume = {9}, number = {90}, year = {2024}, url = {https://doi.org/10.1126/scirobotics.adk6903}, doi = {10.1126/SCIROBOTICS.ADK6903}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/ParkKKCLLSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KangCSCKPKSK24, author = {Hyoshin Kang and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Youngwook Kwon and Seungwoo Park and Seongcheol Kim and Changmin Sim and Chulwoo Kim}, title = {A 13-Gb/s Single-Ended {NRZ} Receiver With 1-Sample Per 2-UI Using Data Edge Sampling for Memory Interfaces}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {7}, pages = {3328--3332}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2024.3362995}, doi = {10.1109/TCSII.2024.3362995}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KangCSCKPKSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsmc/AhnJPKKKLLK24, author = {Sihu Ahn and Yongwon Jo and Jinhyeok Park and Sangmin Kim and Jungin Kim and Seoung Bum Kim and Min{-}Goo Lee and Sangmin Lee and Younghoon Kim}, title = {Multimodal Human Action Recognition for Rehabilitation Exercise of Upper Body for Individuals With Cerebral Palsy}, journal = {{IEEE} Trans. Syst. Man Cybern. Syst.}, volume = {54}, number = {7}, pages = {4164--4174}, year = {2024}, url = {https://doi.org/10.1109/TSMC.2024.3377198}, doi = {10.1109/TSMC.2024.3377198}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsmc/AhnJPKKKLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/YuGPLLJ24, author = {Seong{-}Hyun Yu and Young{-}Min Go and Hyeong{-}Yeong Park and Seo{-}Jin Lee and Minji Lee and Ji{-}Hoon Jeong}, title = {Towards Motor Imagery Classification of Finger Tapping by Both Hands for Controlling a Finger-Arm Bionic Robot}, booktitle = {12th International Winter Conference on Brain-Computer Interface, {BCI} 2024, Gangwon, Korea, Republic of, February 26-28, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BCI60775.2024.10480467}, doi = {10.1109/BCI60775.2024.10480467}, timestamp = {Wed, 10 Apr 2024 16:57:56 +0200}, biburl = {https://dblp.org/rec/conf/bci3/YuGPLLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002GCSRSVWZAAB24, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Antonette Shibani and Disha Shrivastava and Lila Shroff and Agnia Sergeyuk and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia Ha Rim Rho and Zejiang Shen and Pao Siangliulue}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1054:1--1054:35}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642697}, doi = {10.1145/3613904.3642697}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002GCSRSVWZAAB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/HanPHODK24, author = {Jiyeon Han and Jimin Park and Jinyoung Huh and Uran Oh and Jaeyoung Do and Daehee Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas}, title = {AscleAI: {A} LLM-based Clinical Note Management System for Enhancing Clinician Productivity}, booktitle = {Extended Abstracts of the {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {50:1--50:7}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613905.3650784}, doi = {10.1145/3613905.3650784}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/HanPHODK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/JinSPKNL24, author = {Heegon Jin and Seonil Son and Jemin Park and Youngseok Kim and Hyungjong Noh and Yeonsoo Lee}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Align-to-Distill: Trainable Attention Alignment for Knowledge Distillation in Neural Machine Translation}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {722--732}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.64}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/JinSPKNL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimLCPKMB24, author = {Youngjin Kim and Janghoo Lee and Seyong Choi and Hyekang Park and Seo Yun Kim and Seungjae Moon and Byoung Seong Bae}, title = {Micro {LED} Pixel Circuit with Threshold Voltage Compensation}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457281}, doi = {10.1109/ICEIC61013.2024.10457281}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimLCPKMB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KimPKCPRS24, author = {Se Young Kim and Bogyeom Park and Dohyun Kim and Hojin Choi and Jinseok Park and Hokyoung Ryu and Kyoungwon Seo}, title = {Early Screening of Mild Cognitive Impairment using Multimodal {VR-EP-EEG-MRI} {(VEEM)} Biomarkers via Machine Learning}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457109}, doi = {10.1109/ICEIC61013.2024.10457109}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KimPKCPRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeKPKCMLB24, author = {Janghoo Lee and Youngjin Kim and Hyekang Park and Seoyun Kim and Seyong Choi and Seungjae Moon and Wei Lei and Byoung Seong Bae}, title = {Internal Compensation X-Ray Detector Pixel Circuit with {IGZO} {TFT} and Perovskite Single Crystal}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457199}, doi = {10.1109/ICEIC61013.2024.10457199}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeKPKCMLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/JangSJPKL24, author = {Hongsun Jang and Jaeyong Song and Jaewon Jung and Jaeyoung Park and Youngsok Kim and Jinho Lee}, title = {Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {345--360}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00034}, doi = {10.1109/HPCA57654.2024.00034}, timestamp = {Wed, 17 Apr 2024 17:17:11 +0200}, biburl = {https://dblp.org/rec/conf/hpca/JangSJPKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParkKSJLWKLKKKLCTCSAK24, author = {Sangsoo Park and KyungSoo Kim and Jinin So and Jin Jung and Jonggeon Lee and Kyoungwan Woo and Nayeon Kim and Younghyun Lee and Hyungyo Kim and Yongsuk Kwon and Jinhyun Kim and Jieun Lee and YeonGon Cho and Yongmin Tai and Jeonghyeon Cho and Hoyoung Song and Jung Ho Ahn and Nam Sung Kim}, title = {An LPDDR-based {CXL-PNM} Platform for TCO-efficient Inference of Transformer-based Large Language Models}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {970--982}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00078}, doi = {10.1109/HPCA57654.2024.00078}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ParkKSJLWKLKKKLCTCSAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KimPSKLLKHKKCRCLKYC24, author = {Jihun Kim and Sangsu Park and Hongju Suh and Youngjae Kwon and Seonghun Lee and Yubin Lee and Kayoung Kim and Eungu Han and Jongil Kim and Kyu Sung Kim and Hyejung Choi and Seungwook Ryu and Su Jin Chae and Seho Lee and Soo Gil Kim and Jaeyun Yi and Seonyong Cha}, title = {Realistic Noise-aware Training as a Component of the Holistic ACiM Development Platform}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536981}, doi = {10.1109/IMW59701.2024.10536981}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KimPSKLLKHKKCRCLKYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChoKKASOKKPJKHLLLK24, author = {Hwanbeom Cho and Kyeongeun Kim and Minkyoung Kim and Chinam An and Noeul Sim and Youngseok Oh and Minseung Kim and Gyumyeong Kim and Jinkyung Park and Jin Jeon and Minjin Kim and Eunae Heo and Youngju Lim and Moonhyun Lee and Sanghee Lee and Minji Kim}, title = {The Enhancement Mechanisms of SiOx Hardness via Manipulation of Oxygen Content}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {10}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529456}, doi = {10.1109/IRPS48228.2024.10529456}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChoKKASOKKPJKHLLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24, author = {Taeyoung Kim and Suhwan Lim and Ilho Myeong and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Moonkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Ilyoung Yoon and Chaeho Kim and Kwanzsoo Kim and Kwanzmin Park and Bong Jin Kuh and Jinseong Heo and Wanki Kim and Daewon Ha and Jaihyuk Song}, title = {Drain Current Degradation Induced by Charge Trapping/De-Trapping in Fe-FET}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {6}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529466}, doi = {10.1109/IRPS48228.2024.10529466}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimLMPNLWKNCLHBKJKPKKYLCNYKKPKHKHS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24, author = {Ilho Myeong and Suhwan Lim and Taeyoung Kim and Sanghyun Park and Suseong Noh and Seung Min Lee and Jongho Woo and Hanseung Ko and Youngji Noh and Munkang Choi and Kiheun Lee and Sangwoo Han and Jongyeon Baek and Kijoon Kim and Dongjin Jung and Jisung Kim and Jaewoo Park and Seunghyun Kim and Hyoseok Kim and Ilyounz Yoon and Jaeho Kim and Kwangsoo Kim and Kwangmin Park and Bong Jin Kuh and Wanki Kim and Daewon Ha and Sujin Ahn and Jaihyuk Song and Sijung Yoo and Hyun Jae Lee and Duk{-}Hyun Choe and Seung{-}Geol Nam and Jinseong Heo}, title = {A Comprehensive Study of Read-After-Write-Delay for Ferroelectric {VNAND}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {9}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529486}, doi = {10.1109/IRPS48228.2024.10529486}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/MyeongLKPNLWKNCLHBKJKPKKYKKPKKHASYLCNH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ParkJL24, author = {Eunbin Park and Myungjun Jin and Youngjoo Lee}, title = {Cost-Efficient {SIMD} {ASIP} Architecture for Mobile Touchscreen Controllers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10557834}, doi = {10.1109/ISCAS58744.2024.10557834}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ParkJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24, author = {Sung{-}Yong Cho and Moon{-}Chul Choi and Jaehyeok Baek and Donggun An and Sanghoon Kim and Daewoong Lee and Seongyeal Yang and Gil{-}Young Kang and Juseop Park and Kyungho Lee and Hwan{-}Chul Jung and Gun{-}hee Cho and ChanYong Lee and Hye{-}Ran Kim and Yong{-}Jae Shin and Hanna Park and Sangyong Lee and Jonghyuk Kim and Bokyeon Won and Jungil Mok and Kijin Kim and Unhak Lim and Hong{-}Jun Jin and YoungSeok Lee and Young{-}Tae Kim and Heonjoo Ha and Jinchan Ahn and Wonju Sung and Yoontaek Jang and Hoyoung Song and Hyodong Ban and TaeHoon Park and Tae{-}Young Oh and Changsik Yoo and SangJoon Hwang}, title = {13.6 {A} 16Gb 37Gb/s {GDDR7} {DRAM} with PAM3-Optimized {TRX} Equalization and {ZQ} Calibration}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {242--244}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454354}, doi = {10.1109/ISSCC49657.2024.10454354}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoCBAKLYKPLJCLKSPLKWMKLJLKHASJSBPOYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiLJPKWHJH24, author = {Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Hyeonho Park and Jeong{-}Hun Kim and Young{-}Jin Woo and Ju{-}Pyo Hong and Haifeng Jin and Sung{-}Wan Hong}, title = {8.7 {A} 92.7{\%} Peak Efficiency 12V-to-60V Input to 1.2V Output Hybrid {DC-DC} Converter Based on a Series-Parallel-Connected Switched Capacitor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {156--158}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454344}, doi = {10.1109/ISSCC49657.2024.10454344}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiLJPKWHJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJPSANSWL24, author = {Minsu Kim and Woojoong Jung and Hyunjun Park and Junho Song and Youngkook Ahn and Taekyu Nam and Yoonsoo Shin and Young{-}Jin Woo and Hyung{-}Min Lee}, title = {8.9 {A} 96.5{\%} Peak Efficiency Duty-Independent {DC-DC} Step-Up Converter with Low Input-Level Voltage Stress and Mode-Adaptive Inductor Current Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {160--162}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454511}, doi = {10.1109/ISSCC49657.2024.10454511}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimJPSANSWL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeePPLLCCS24, author = {Sangheon Lee and Jinwoo Park and Junsang Park and Sangkyu Lee and Jungho Lee and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {3.9 {A} 1.2V High-Voltage-Tolerant Bootstrapped Analog Sampler in 12-bit {SAR} {ADC} Using 3nm GAA's 0.7V Thin-Gate-Oxide Transistor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {70--72}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454351}, doi = {10.1109/ISSCC49657.2024.10454351}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeePPLLCCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24, author = {Hansik Oh and Seungwon Park and Jooseok Lee and Seungjae Baek and Joonho Jung and Taewan Kim and Jinhyun Kim and Woojae Lee and Jae{-}Hong Park and Kihyun Kim and Dong{-}Hyun Lee and Sangho Lee and Jeong Ho Lee and Ji Hoon Kim and Younghwan Kim and Sangyong Park and Bohee Suh and Soyoung Oh and Dongsoo Lee and Sehyug Jeon and Juho Son and Sung{-}Gi Yang}, title = {32.2 {A} 24.25-to-29.5GHz Extremely Compact Doherty Power Amplifier with Differential-Breaking Phase Offset Achieving 23.7{\%} PAEavg for 5G Base-Station Transceivers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {522--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454406}, doi = {10.1109/ISSCC49657.2024.10454406}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCLOJYLH24, author = {Hyo{-}Jin Park and Joo{-}Mi Cho and Chan{-}Ho Lee and Young{-}Ju Oh and Hyunwoo Jeong and Jun{-}Hyeok Yang and Jaeseung Lee and Sung{-}Wan Hong}, title = {8.8 {A} 97.18{\%} Peak-Efficiency Asymmetrically Implemented Dual-phase {(AID)} Converter with a full Voltage-Conversion Ratio {(VCR)} between 0-and-1}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454524}, doi = {10.1109/ISSCC49657.2024.10454524}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCLOJYLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24, author = {Jaehyeok Yang and Hyeongjun Ko and Kyunghoon Kim and Hyunsu Park and Jihwan Park and Ji{-}Hyo Kang and Jin{-}Youp Cha and Seongjin Kim and Youngtaek Kim and Minsoo Park and Gangsik Lee and Keonho Lee and Sanghoon Lee and Gyunam Jeon and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Seonwoo Hwang and Boram Kim and Sang{-}Yeon Byeon and Sungkwon Lee and Hyeonyeol Park and Joohwan Cho and Jonghwan Kim}, title = {13.1 {A} 35.4Gb/s/pin 16Gb {GDDR7} with a Low-Power Clocking Architecture and {PAM3} {IO} Circuitry}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {232--234}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454560}, doi = {10.1109/ISSCC49657.2024.10454560}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/ParkKPYK24, author = {Jeongeon Park and Eun{-}Young Ko and Yeon Su Park and Jinyeong Yim and Juho Kim}, title = {DynamicLabels: Supporting Informed Construction of Machine Learning Label Sets with Crowd Feedback}, booktitle = {Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {209--228}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640543.3645157}, doi = {10.1145/3640543.3645157}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/ParkKPYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/ParkHSKKL24, author = {Seongyeon Park and Junguk Hong and Jaeyong Song and Hajin Kim and Youngsok Kim and Jinho Lee}, editor = {Michel Steuwer and I{-}Ting Angelina Lee and Milind Chabbi}, title = {AGAThA: Fast and Efficient {GPU} Acceleration of Guided Sequence Alignment for Long Read Mapping}, booktitle = {Proceedings of the 29th {ACM} {SIGPLAN} Annual Symposium on Principles and Practice of Parallel Programming, PPoPP 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {431--444}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3627535.3638474}, doi = {10.1145/3627535.3638474}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ppopp/ParkHSKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/ParkPJLYH24, author = {Jinwoo Park and Jaehyeong Park and Youngmok Jung and Hwijoon Lim and Hyunho Yeo and Dongsu Han}, title = {TopFull: An Adaptive Top-Down Overload Control for SLO-Oriented Microservices}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2024 Conference, {ACM} {SIGCOMM} 2024, Sydney, NSW, Australia, August 4-8, 2024}, pages = {876--890}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3651890.3672253}, doi = {10.1145/3651890.3672253}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigcomm/ParkPJLYH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/ParkKKYS24, author = {Junyoung Park and Jin Kim and Hyeongjun Kwon and Ilhoon Yoon and Kwanghoon Sohn}, title = {Layer-wise Auto-Weighting for Non-Stationary Test-Time Adaptation}, booktitle = {{IEEE/CVF} Winter Conference on Applications of Computer Vision, {WACV} 2024, Waikoloa, HI, USA, January 3-8, 2024}, pages = {1403--1412}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/WACV57701.2024.00144}, doi = {10.1109/WACV57701.2024.00144}, timestamp = {Wed, 17 Apr 2024 07:41:22 +0200}, biburl = {https://dblp.org/rec/conf/wacv/ParkKKYS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-19402, author = {Young{-}Jin Park and Donghyun Kim and Fr{\'{e}}d{\'{e}}ric Odermatt and Juho Lee and Kyung{-}Min Kim}, title = {A Scalable and Transferable Time Series Prediction Framework for Demand Forecasting}, journal = {CoRR}, volume = {abs/2402.19402}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.19402}, doi = {10.48550/ARXIV.2402.19402}, eprinttype = {arXiv}, eprint = {2402.19402}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-19402.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01479, author = {Heegon Jin and Seonil Son and Jemin Park and Youngseok Kim and Hyungjong Noh and Yeonsoo Lee}, title = {Align-to-Distill: Trainable Attention Alignment for Knowledge Distillation in Neural Machine Translation}, journal = {CoRR}, volume = {abs/2403.01479}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01479}, doi = {10.48550/ARXIV.2403.01479}, eprinttype = {arXiv}, eprint = {2403.01479}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06478, author = {Seongyeon Park and Junguk Hong and Jaeyong Song and Hajin Kim and Youngsok Kim and Jinho Lee}, title = {AGAThA: Fast and Efficient {GPU} Acceleration of Guided Sequence Alignment for Long Read Mapping}, journal = {CoRR}, volume = {abs/2403.06478}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06478}, doi = {10.48550/ARXIV.2403.06478}, eprinttype = {arXiv}, eprint = {2403.06478}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06478.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-06664, author = {Hongsun Jang and Jaeyong Song and Jaewon Jung and Jaeyoung Park and Youngsok Kim and Jinho Lee}, title = {Smart-Infinity: Fast Large Language Model Training using Near-Storage Processing on a Real System}, journal = {CoRR}, volume = {abs/2403.06664}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.06664}, doi = {10.48550/ARXIV.2403.06664}, eprinttype = {arXiv}, eprint = {2403.06664}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-06664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09176, author = {Byeongjun Park and Hyojun Go and Jin{-}Young Kim and Sangmin Woo and Seokil Ham and Changick Kim}, title = {Switch Diffusion Transformer: Synergizing Denoising Tasks with Sparse Mixture-of-Experts}, journal = {CoRR}, volume = {abs/2403.09176}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09176}, doi = {10.48550/ARXIV.2403.09176}, eprinttype = {arXiv}, eprint = {2403.09176}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09176.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12945, author = {Alexander Khazatsky and Karl Pertsch and Suraj Nair and Ashwin Balakrishna and Sudeep Dasari and Siddharth Karamcheti and Soroush Nasiriany and Mohan Kumar Srirama and Lawrence Yunliang Chen and Kirsty Ellis and Peter David Fagan and Joey Hejna and Masha Itkina and Marion Lepert and Yecheng Jason Ma and Patrick Tree Miller and Jimmy Wu and Suneel Belkhale and Shivin Dass and Huy Ha and Arhan Jain and Abraham Lee and Youngwoon Lee and Marius Memmel and Sungjae Park and Ilija Radosavovic and Kaiyuan Wang and Albert Zhan and Kevin Black and Cheng Chi and Kyle Beltran Hatch and Shan Lin and Jingpei Lu and Jean Mercat and Abdul Rehman and Pannag R. Sanketi and Archit Sharma and Cody Simpson and Quan Vuong and Homer Rich Walke and Blake Wulfe and Ted Xiao and Jonathan Heewon Yang and Arefeh Yavary and Tony Z. Zhao and Christopher Agia and Rohan Baijal and Mateo Guaman Castro and Daphne Chen and Qiuyu Chen and Trinity Chung and Jaimyn Drake and Ethan Paul Foster and et al.}, title = {{DROID:} {A} Large-Scale In-The-Wild Robot Manipulation Dataset}, journal = {CoRR}, volume = {abs/2403.12945}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12945}, doi = {10.48550/ARXIV.2403.12945}, eprinttype = {arXiv}, eprint = {2403.12945}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14117, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Agnia Sergeyuk and Antonette Shibani and Disha Shrivastava and Lila Shroff and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia H. Rho and Shannon Zejiang Shen and Pao Siangliulue}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, journal = {CoRR}, volume = {abs/2403.14117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14117}, doi = {10.48550/ARXIV.2403.14117}, eprinttype = {arXiv}, eprint = {2403.14117}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14191, author = {Dougho Park and Younghun Kim and Harim Kang and Junmyeoung Lee and Jinyoung Choi and Taeyeon Kim and Sangeok Lee and Seokil Son and Minsol Kim and Injung Kim}, title = {PECI-Net: Bolus segmentation from video fluoroscopic swallowing study images using preprocessing ensemble and cascaded inference}, journal = {CoRR}, volume = {abs/2403.14191}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14191}, doi = {10.48550/ARXIV.2403.14191}, eprinttype = {arXiv}, eprint = {2403.14191}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14191.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00670, author = {Youngseo Cho and In Hee Kwak and Dohyeon Kim and Jinhee Na and Hanjoo Sung and Jeongjae Lee and Young Eun Kim and Hyeo{-}il Ma}, title = {Statistical Analysis by Semiparametric Additive Regression and {LSTM-FCN} Based Hierarchical Classification for Computer Vision Quantification of Parkinsonian Bradykinesia}, journal = {CoRR}, volume = {abs/2404.00670}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00670}, doi = {10.48550/ARXIV.2404.00670}, eprinttype = {arXiv}, eprint = {2404.00670}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00670.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-06664, author = {Yu Ying Chiu and Liwei Jiang and Maria Antoniak and Chan Young Park and Shuyue Stella Li and Mehar Bhatia and Sahithya Ravi and Yulia Tsvetkov and Vered Shwartz and Yejin Choi}, title = {CulturalTeaming: AI-Assisted Interactive Red-Teaming for Challenging LLMs' (Lack of) Multicultural Knowledge}, journal = {CoRR}, volume = {abs/2404.06664}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.06664}, doi = {10.48550/ARXIV.2404.06664}, eprinttype = {arXiv}, eprint = {2404.06664}, timestamp = {Thu, 16 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-06664.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08871, author = {Si Ung Noh and Junguk Hong and Chaemin Lim and Seongyeon Park and Jeehyun Kim and Hanjun Kim and Youngsok Kim and Jinho Lee}, title = {PID-Comm: {A} Fast and Flexible Collective Communication Framework for Commodity Processing-in-DIMM Devices}, journal = {CoRR}, volume = {abs/2404.08871}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08871}, doi = {10.48550/ARXIV.2404.08871}, eprinttype = {arXiv}, eprint = {2404.08871}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08871.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14687, author = {Raehyuk Jung and Hyojun Go and Jaehyuk Yi and Jiho Jang and Daniel Kim and Jay Suh and Aiden Seung Joon Lee and Cooper Han and Jae Lee and Jeff Kim and Jin{-}Young Kim and Junwan Kim and Kyle Park and Lucas Lee and Mars Ha and Minjoon Seo and Abraham Jo and Ed Park and Hassan Kianinejad and Sj Kim and Tony Moon and Wade Jeong and Andrei Popescu and Esther Kim and EK Yoon and Genie Heo and Henry Choi and Jenna Kang and Kevin Han and Noah Seo and Sunny Nguyen and Ryan Won and Yeonhoo Park and Anthony Giuliani and Dave Chung and Hans Yoon and James Le and Jenny Ahn and June Lee and Maninder Saini and Meredith Sanders and Soyoung Lee and Sue Kim and Travis Couture}, title = {Pegasus-v1 Technical Report}, journal = {CoRR}, volume = {abs/2404.14687}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14687}, doi = {10.48550/ARXIV.2404.14687}, eprinttype = {arXiv}, eprint = {2404.14687}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14687.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-17825, author = {Seokil Ham and Sangmin Woo and Jin{-}Young Kim and Hyojun Go and Byeongjun Park and Changick Kim}, title = {Diffusion Model Patching via Mixture-of-Prompts}, journal = {CoRR}, volume = {abs/2405.17825}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.17825}, doi = {10.48550/ARXIV.2405.17825}, eprinttype = {arXiv}, eprint = {2405.17825}, timestamp = {Fri, 21 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-17825.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-08719, author = {Juhee Kim and Jinbum Park and Sihyeon Roh and Jaeyoung Chung and Youngjoo Lee and Taesoo Kim and Byoungyoung Lee}, title = {TikTag: Breaking ARM's Memory Tagging Extension with Speculative Execution}, journal = {CoRR}, volume = {abs/2406.08719}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.08719}, doi = {10.48550/ARXIV.2406.08719}, eprinttype = {arXiv}, eprint = {2406.08719}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-08719.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09827, author = {Heejun Lee and Geon Park and Youngwan Lee and Jina Kim and Wonyoung Jeong and Myeongjae Jeon and Sung Ju Hwang}, title = {HiP Attention: Sparse Sub-Quadratic Attention with Hierarchical Attention Pruning}, journal = {CoRR}, volume = {abs/2406.09827}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09827}, doi = {10.48550/ARXIV.2406.09827}, eprinttype = {arXiv}, eprint = {2406.09827}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-11813, author = {Hoyeon Chang and Jinho Park and Seonghyeon Ye and Sohee Yang and Youngkyung Seo and Du{-}Seong Chang and Minjoon Seo}, title = {How Do Large Language Models Acquire Factual Knowledge During Pretraining?}, journal = {CoRR}, volume = {abs/2406.11813}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.11813}, doi = {10.48550/ARXIV.2406.11813}, eprinttype = {arXiv}, eprint = {2406.11813}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-11813.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-12233, author = {Young Jin Ahn and Jungwoo Park and Sangha Park and Jonghyun Choi and Kee{-}Eung Kim}, title = {SyncVSR: Data-Efficient Visual Speech Recognition with End-to-End Crossmodal Audio Token Synchronization}, journal = {CoRR}, volume = {abs/2406.12233}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.12233}, doi = {10.48550/ARXIV.2406.12233}, eprinttype = {arXiv}, eprint = {2406.12233}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-12233.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-15951, author = {Shangbin Feng and Taylor Sorensen and Yuhan Liu and Jillian Fisher and Chan Young Park and Yejin Choi and Yulia Tsvetkov}, title = {Modular Pluralism: Pluralistic Alignment via Multi-LLM Collaboration}, journal = {CoRR}, volume = {abs/2406.15951}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.15951}, doi = {10.48550/ARXIV.2406.15951}, eprinttype = {arXiv}, eprint = {2406.15951}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-15951.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimBBJLPSK23, author = {Changhyun Kim and Jinsoo Bae and Insung Baek and Jaeyoon Jeong and Young Jae Lee and Kiwoong Park and Sang Heun Shim and Seoung Bum Kim}, title = {{DESEM:} Depthwise Separable Convolution-Based Multimodal Deep Learning for In-Game Action Anticipation}, journal = {{IEEE} Access}, volume = {11}, pages = {46504--46512}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3271282}, doi = {10.1109/ACCESS.2023.3271282}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimBBJLPSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/NagwadeKPJSCL23, author = {Pritish Nagwade and Minseok Kang and Jaeu Park and Jinwoong Jeong and Heejae Shin and Youngjun Cho and Sanghoon Lee}, title = {Development of a Chemically Driven Biomimetic Modular Artificial Muscle (BiMAM)}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {10}, year = {2023}, url = {https://doi.org/10.1002/aisy.202370048}, doi = {10.1002/AISY.202370048}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/NagwadeKPJSCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/NagwadeKPJSCL23a, author = {Pritish Nagwade and Minseok Kang and Jaeu Park and Jinwoong Jeong and Heejae Shin and Youngjun Cho and Sanghoon Lee}, title = {Development of a Chemically Driven Biomimetic Modular Artificial Muscle (BiMAM)}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {10}, year = {2023}, url = {https://doi.org/10.1002/aisy.202300200}, doi = {10.1002/AISY.202300200}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/NagwadeKPJSCL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ajis/ParkIZLCP23, author = {Eun Hee Park and Ghiyoung Im and Jing Zhang and Young Hwan Lee and Kyung Hee Chun and Young Soon Park}, title = {The Impacts of Role Overload and Role Conflict on Physicians' Technology Adoption}, journal = {Australas. J. Inf. Syst.}, volume = {27}, year = {2023}, url = {https://doi.org/10.3127/ajis.v27i0.3769}, doi = {10.3127/AJIS.V27I0.3769}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ajis/ParkIZLCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/HwangPKKJKK23, author = {Eugene Hwang and Hee{-}Sun Park and Hyun{-}Seok Kim and Jin{-}Young Kim and Hanseok Jeong and Junetae Kim and Sung{-}Hoon Kim}, title = {Development of a Bispectral index score prediction model based on an interpretable deep learning algorithm}, journal = {Artif. Intell. Medicine}, volume = {143}, pages = {102569}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102569}, doi = {10.1016/J.ARTMED.2023.102569}, timestamp = {Wed, 20 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/HwangPKKJKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/ParkLKCKKC23, author = {Yong{-}Jin Park and Joohee Lee and Darae Kim and Jin{-}Oh Choi and Seok Jin Kim and Kihyun Kim and Joon Young Choi}, title = {Direct comparison of diagnostic and clinical values between Tc-99 m {DPD} and Tc-99 m {PYP} scintigraphy in patients with cardiac amyloidosis}, journal = {{BMC} Medical Imaging}, volume = {23}, number = {1}, pages = {92}, year = {2023}, url = {https://doi.org/10.1186/s12880-023-01054-x}, doi = {10.1186/S12880-023-01054-X}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/ParkLKCKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimKJPPCHKKKKSKLBK23, author = {In{-}Hwan Kim and Junsik Kim and Jiheon Jeong and Jae{-}Woo Park and Kanggil Park and Jin{-}Hyoung Cho and Mihee Hong and Kyung{-}Hwa Kang and Minji Kim and Su{-}Jung Kim and Yoon{-}Ji Kim and Sang{-}Jin Sung and Young Ho Kim and Sung{-}Hoon Lim and Seung{-}Hak Baek and Namkug Kim}, title = {Orthognathic surgical planning using graph {CNN} with dual embedding module: External validations with multi-hospital datasets}, journal = {Comput. Methods Programs Biomed.}, volume = {242}, pages = {107853}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107853}, doi = {10.1016/J.CMPB.2023.107853}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KimKJPPCHKKKKSKLBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/SohnJCP23, author = {Jinwon Sohn and Seonghyun Jeong and Young Min Cho and Taeyoung Park}, title = {Functional clustering methods for binary longitudinal data with temporal heterogeneity}, journal = {Comput. Stat. Data Anal.}, volume = {185}, pages = {107766}, year = {2023}, url = {https://doi.org/10.1016/j.csda.2023.107766}, doi = {10.1016/J.CSDA.2023.107766}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/SohnJCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KangNJPK23, author = {Young{-}Jin Kang and Yoojeong Noh and Min{-}Sung Jang and Sunyoung Park and Ju{-}Tae Kim}, title = {Hierarchical level fault detection and diagnosis of ship engine systems}, journal = {Expert Syst. Appl.}, volume = {213}, number = {Part}, pages = {118814}, year = {2023}, url = {https://doi.org/10.1016/j.eswa.2022.118814}, doi = {10.1016/J.ESWA.2022.118814}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/KangNJPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/LeePPLL23, author = {Soo Young Lee and Choon{-}Su Park and Keonhyeok Park and Hyung Jin Lee and Seungchul Lee}, title = {A Physics-informed and data-driven deep learning approach for wave propagation and its scattering characteristics}, journal = {Eng. Comput.}, volume = {39}, number = {4}, pages = {2609--2625}, year = {2023}, url = {https://doi.org/10.1007/s00366-022-01640-7}, doi = {10.1007/S00366-022-01640-7}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ewc/LeePPLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/KangWPLA23, author = {Jeon{-}Young Kang and Sandy Wong and Jinwoo Park and Jinhyung Lee and Jared Aldstadt}, title = {Exploring Spatial Mismatch between Primary Care and Older Populations in an Aging Country: {A} Case Study of South Korea}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {12}, number = {7}, pages = {255}, year = {2023}, url = {https://doi.org/10.3390/ijgi12070255}, doi = {10.3390/IJGI12070255}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/KangWPLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimLCSSLLHKPJKL23, author = {Jemin Kim and Changyoon Lee and Sungchul Choi and Da{-}In Sung and Jeonga Seo and Yun Na Lee and Joo Hee Lee and Eun Jin Han and Ah Young Kim and Hyun Suk Park and Hye Jeong Jung and Jong Hoon Kim and Ju Hee Lee}, title = {Augmented Decision-Making in wound Care: Evaluating the clinical utility of a Deep-Learning model for pressure injury staging}, journal = {Int. J. Medical Informatics}, volume = {180}, pages = {105266}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105266}, doi = {10.1016/J.IJMEDINF.2023.105266}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/KimLCSSLLHKPJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/KimPJLL23, author = {Taeyoung Kim and Hyungbin Park and Younghwan Jin and Seung{-}Seob Lee and Sukyoung Lee}, title = {Partition Placement and Resource Allocation for Multiple DNN-Based Applications in Heterogeneous IoT Environments}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {11}, pages = {9836--9848}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2023.3235993}, doi = {10.1109/JIOT.2023.3235993}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/KimPJLL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/ParkLKPKH23, author = {Cheolhee Park and Jonghoon Lee and Youngsoo Kim and Jong{-}Geun Park and Hyunjin Kim and Dowon Hong}, title = {An Enhanced AI-Based Network Intrusion Detection System Using Generative Adversarial Networks}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {3}, pages = {2330--2345}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3211346}, doi = {10.1109/JIOT.2022.3211346}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iotj/ParkLKPKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/RachimBKKP23, author = {Vega Pradana Rachim and Jin{-}Hyeok Baek and Youngsoo Kim and Younho Kim and Sung{-}Min Park}, title = {High Sampling Rate Smartphone-PPG via Built-in Rolling Shutter Image Sensor}, journal = {{IEEE} Internet Things J.}, volume = {10}, number = {1}, pages = {512--525}, year = {2023}, url = {https://doi.org/10.1109/JIOT.2022.3201910}, doi = {10.1109/JIOT.2022.3201910}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/RachimBKKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcn/LimLKKLPHHK23, author = {Byungju Lim and Ju{-}Hyung Lee and Jae{-}Hong Kwon and Ki{-}Hun Kim and Jong{-}Man Lee and Hyun Park and Young{-}Seok Ha and Young{-}Jin Han and Young{-}Chai Ko}, title = {Joint association and resource allocation for multi-hop integrated access and backhaul {(IAB)} network}, journal = {J. Commun. Networks}, volume = {25}, number = {4}, pages = {440--455}, year = {2023}, url = {https://doi.org/10.23919/jcn.2022.000041}, doi = {10.23919/JCN.2022.000041}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcn/LimLKKLPHHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/OhPYOY23, author = {Sung{-}Mook Oh and Jin Park and Jinsun Yang and Younggyun Oh and Kyung{-}Woo Yi}, title = {Smart classification method to detect irregular nozzle spray patterns inside carbon black reactor using ensemble transfer learning}, journal = {J. Intell. Manuf.}, volume = {34}, number = {6}, pages = {2729--2745}, year = {2023}, url = {https://doi.org/10.1007/s10845-022-01951-y}, doi = {10.1007/S10845-022-01951-Y}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/OhPYOY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiPCSKPKSK23, author = {Yoonjae Choi and Hyunsu Park and Jonghyuck Choi and Jincheol Sim and Youngwook Kwon and Seungwoo Park and Seongcheol Kim and Changmin Sim and Chulwoo Kim}, title = {A 25-Gb/s Single-Ended {PAM-4} Receiver With Time-Windowed {LSB} Decoder for High-Speed Memory Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {7}, pages = {2005--2015}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3231654}, doi = {10.1109/JSSC.2022.3231654}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiPCSKPKSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonPCSCPKCJK23, author = {Youngwook Kwon and Hyunsu Park and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Seungwoo Park and Kyeong{-}Min Kim and Changkyu Choi and Hae{-}Kang Jung and Chulwoo Kim}, title = {A 33-Gb/s/Pin 1.09-pJ/Bit Single-Ended {PAM-3} Transceiver With Ground-Referenced Signaling and Time-Domain Decision Technique for Multi-Chip Module Memory Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {8}, pages = {2314--2325}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3250706}, doi = {10.1109/JSSC.2023.3250706}, timestamp = {Sat, 05 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KwonPCSCPKCJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCJKLC23, author = {Byungchoul Park and Hyun{-}Seung Choi and Jinwoong Jeong and Taewoo Kim and Myung{-}Jae Lee and Youngcheol Chae}, title = {A 113.3-dB Dynamic Range 600 Frames/s {SPAD} X-Ray Detector With Seamless Global Shutter and Time-Encoded Extrapolation Counter}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {11}, pages = {2965--2975}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3302849}, doi = {10.1109/JSSC.2023.3302849}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkCJKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Sat, 16 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkPKJKLLKKLPK23, author = {Jun{-}Seok Park and Changsoo Park and Suknam Kwon and Taeho Jeon and Yesung Kang and Heonsoo Lee and Dongwoo Lee and James Kim and Hyeong{-}Seok Kim and YoungJong Lee and Sangkyu Park and MinSeong Kim and Sanghyuck Ha and Jihoon Bang and Jinpyo Park and Sukhwan Lim and Inyup Kang}, title = {A Multi-Mode 8k-MAC HW-Utilization-Aware Neural Processing Unit With a Unified Multi-Precision Datapath in 4-nm Flagship Mobile SoC}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {189--202}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3205713}, doi = {10.1109/JSSC.2022.3205713}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkPKJKLLKKLPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmmod/LimLCLPKLK23, author = {Chaemin Lim and Suhyun Lee and Jinwoo Choi and Jounghoo Lee and Seongyeon Park and Hanjun Kim and Jinho Lee and Youngsok Kim}, title = {Design and Analysis of a Processing-in-DIMM Join Algorithm: {A} Case Study with {UPMEM} DIMMs}, journal = {Proc. {ACM} Manag. Data}, volume = {1}, number = {2}, pages = {113:1--113:27}, year = {2023}, url = {https://doi.org/10.1145/3589258}, doi = {10.1145/3589258}, timestamp = {Mon, 13 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pacmmod/LimLCLPKLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/LeeJKBPKJK23, author = {Hyo{-}Jung Lee and Hyun{-}Young Jo and Jong{-}Min Kim and Juseon Bak and Moon{-}Soo Park and Jung{-}Kwon Kim and Yu{-}Jin Jo and Cheol{-}Hee Kim}, title = {Nocturnal Boundary Layer Height Uncertainty in Particulate Matter Simulations during the {KORUS-AQ} Campaign}, journal = {Remote. Sens.}, volume = {15}, number = {2}, pages = {300}, year = {2023}, url = {https://doi.org/10.3390/rs15020300}, doi = {10.3390/RS15020300}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/LeeJKBPKJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/SongPJ23, author = {Youngseok Song and Moojong Park and Jingul Joo}, title = {Adequacy Analysis Using {UAV} of Heavy Rainfall Disaster Reduction Facilities According to Urban Development in Republic of Korea}, journal = {Remote. Sens.}, volume = {15}, number = {23}, pages = {5518}, year = {2023}, url = {https://doi.org/10.3390/rs15235518}, doi = {10.3390/RS15235518}, timestamp = {Wed, 31 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/SongPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnKOSLP23, author = {Da{-}Vin Ahn and Kyeongdae Kim and Jooseon Oh and Jaho Seo and Jin Woong Lee and Young{-}Jun Park}, title = {Optimal Control of Semi-Active Suspension for Agricultural Tractors Using Linear Quadratic Gaussian Control}, journal = {Sensors}, volume = {23}, number = {14}, pages = {6474}, year = {2023}, url = {https://doi.org/10.3390/s23146474}, doi = {10.3390/S23146474}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnKOSLP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiKPJK23, author = {Jingyu Choi and Younghwan Kang and Jaesoon Park and Yeun{-}Ho Joung and Chiwan Koo}, title = {Development of Real-Time Cuffless Blood Pressure Measurement Systems with {ECG} Electrodes and a Microphone Using Pulse Transit Time {(PTT)}}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1684}, year = {2023}, url = {https://doi.org/10.3390/s23031684}, doi = {10.3390/S23031684}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoiKPJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HwangPJEMJK23, author = {Jeong{-}Eun Hwang and Jin{-}Young Park and Myoung Hoon Jung and Kunsun Eom and Hyun Seok Moon and Hyojee Joung and Yoon Jae Kim}, title = {Evaluation of a Commercial Device Based on Reflection Spectroscopy as an Alternative to Resonance Raman Spectroscopy in Measuring Skin Carotenoid Levels: Randomized Controlled Trial}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7654}, year = {2023}, url = {https://doi.org/10.3390/s23177654}, doi = {10.3390/S23177654}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HwangPJEMJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPHSP23, author = {Jin{-}Young Kim and Man{-}Woo Park and Nhut Truong Huynh and Changsu Shim and Jong{-}Woong Park}, title = {Detection and Length Measurement of Cracks Captured in Low Definitions Using Convolutional Neural Networks}, journal = {Sensors}, volume = {23}, number = {8}, pages = {3990}, year = {2023}, url = {https://doi.org/10.3390/s23083990}, doi = {10.3390/S23083990}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimPHSP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJKPJJKKC23, author = {Junhyun Lee and Ho Jin and Khan{-}Hyuk Kim and Hyeonhu Park and Wooin Jo and Yunho Jang and Hyeonji Kang and Eunhyeuk Kim and Young{-}Jun Choi}, title = {Correction of Spacecraft Magnetic Field Noise: Initial Korean Pathfinder Lunar Orbiter MAGnetometer Observation in Solar Wind}, journal = {Sensors}, volume = {23}, number = {23}, pages = {9428}, year = {2023}, url = {https://doi.org/10.3390/s23239428}, doi = {10.3390/S23239428}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeJKPJJKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkHH23, author = {Hye{-}Min Park and Young{-}Jin Hyun and Sang{-}Kook Han}, title = {Adaptive Beam Divergence Control to Mitigate Scintillation Effect Caused by Pointing Error in Vertical {FSO} Transmissions}, journal = {Sensors}, volume = {23}, number = {11}, pages = {5045}, year = {2023}, url = {https://doi.org/10.3390/s23115045}, doi = {10.3390/S23115045}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkHH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkLJH23, author = {Chan{-}Young Park and Yoon{-}Ah Lee and Jinwoo Jang and Min{-}Woo Han}, title = {Origami and Kirigami Structure for Impact Energy Absorption: Its Application to Drone Guards}, journal = {Sensors}, volume = {23}, number = {4}, pages = {2150}, year = {2023}, url = {https://doi.org/10.3390/s23042150}, doi = {10.3390/S23042150}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkLJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkYK23, author = {Jin{-}Young Park and Young{-}Jun Yoo and Young{-}Choon Kim}, title = {Optimization of the Outlet Shape of an Air Circulation System for Reduction of Indoor Temperature Difference}, journal = {Sensors}, volume = {23}, number = {5}, pages = {2570}, year = {2023}, url = {https://doi.org/10.3390/s23052570}, doi = {10.3390/S23052570}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooKKPYS23, author = {Seung{-}Geun Yoo and Min{-}A Kim and Jin{-}Woo Kim and Sang{-}Wook Park and Young{-}Hwan You and Hyoung{-}Kyu Song}, title = {Performance of a Double {RIS} Communication System Aided by Partially Active Elements}, journal = {Sensors}, volume = {23}, number = {14}, pages = {6338}, year = {2023}, url = {https://doi.org/10.3390/s23146338}, doi = {10.3390/S23146338}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooKKPYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ChoPKKLS23, author = {Ah Young Cho and Si{-}eun Park and Duk{-}jin Kim and Junwoo Kim and Chenglei Li and Juyoung Song}, title = {Burned Area Mapping Using Unitemporal PlanetScope Imagery With a Deep Learning Based Approach}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {16}, pages = {242--253}, year = {2023}, url = {https://doi.org/10.1109/JSTARS.2022.3225070}, doi = {10.1109/JSTARS.2022.3225070}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/staeors/ChoPKKLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeJJJPLKWKCWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Adam Y. Wang and Youngin Kim and Kyung{-}Sik Choi and Jing Wang and Hua Wang}, title = {A Multi-Functional {CMOS} Biosensor Array With On-Chip DEP-Assisted Sensing for Rapid Low-Concentration Analyte Detection and Close-Loop Particle Manipulation With No External Electrodes}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {17}, number = {6}, pages = {1214--1226}, year = {2023}, url = {https://doi.org/10.1109/TBCAS.2023.3343068}, doi = {10.1109/TBCAS.2023.3343068}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbcas/LeeJJJPLKWKCWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChoiPCSKPSK23, author = {Yoonjae Choi and Hyunsu Park and Jonghyuck Choi and Jincheol Sim and Youngwook Kwon and Seungwoo Park and Changmin Sim and Chulwoo Kim}, title = {A 4-GHz Ring-Oscillator-Based Digital Sub-Sampling {PLL} With Energy-Efficient Dual-Domain Phase Detector}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {7}, pages = {2734--2743}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2023.3272626}, doi = {10.1109/TCSI.2023.3272626}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/ChoiPCSKPSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/SimPCCKK23, author = {Jincheol Sim and Hyunsu Park and Yoonjae Choi and Jonghyuck Choi and Youngwook Kwon and Chulwoo Kim}, title = {{PAM-4} Receiver With 1-Tap {DFE} Using Clocked Comparator Offset Instead of Threshold Voltages for Improved {LSB} {BER} Performance}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {5}, pages = {1907--1916}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2023.3241929}, doi = {10.1109/TCSI.2023.3241929}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/SimPCCKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ChoiCPSKPKK23, author = {Jonghyuck Choi and Yoonjae Choi and Hyunsu Park and Jincheol Sim and Youngwook Kwon and Seungwoo Park and Seongcheol Kim and Chulwoo Kim}, title = {A 16-Gb/s {NRZ} Receiver With 0.0019-pJ/bit/dB 1-Tap Charge-Redistribution {DFE}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {3}, pages = {904--908}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2022.3217787}, doi = {10.1109/TCSII.2022.3217787}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ChoiCPSKPKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/HyunLPS23, author = {Daijoon Hyun and Wonjae Lee and JinHyeong Park and Youngsoo Shin}, title = {Integrated Power Distribution Network Synthesis for Mixed Macro Blocks and Standard Cells}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {6}, pages = {2211--2215}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3236190}, doi = {10.1109/TCSII.2023.3236190}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/HyunLPS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KangPKCK23, author = {Young{-}Min Kang and Jung{-}Jin Park and Geon{-}Hak Kim and Ik{-}Joon Chang and Jinsang Kim}, title = {Low-Complexity Double-Node-Upset Resilient Latch Design Using Novel Stacked Cross-Coupled Elements}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {9}, pages = {3619--3623}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3266489}, doi = {10.1109/TCSII.2023.3266489}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KangPKCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ParkKCB23, author = {Jongmin Park and Young Kim and Yosep Cho and Jinwook Burm}, title = {Multi-Stage Reconfigurable {RF-DC} Converter With Deep-n-Well Biasing Using Body-Isolated {MOSFET} in 180-nm {BCDMOS} Process}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {10}, pages = {3817--3821}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3290178}, doi = {10.1109/TCSII.2023.3290178}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ParkKCB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgis/HanKLBPSW23, author = {Su Yeon Han and Jeon{-}Young Kang and Fangzheng Lyu and Furqan Baig and Jinwoo Park and Danielle Smilovsky and Shaowen Wang}, title = {A cyberGIS approach to exploring neighborhood-level social vulnerability for disaster risk management}, journal = {Trans. {GIS}}, volume = {27}, number = {7}, pages = {1942--1958}, year = {2023}, url = {https://doi.org/10.1111/tgis.13106}, doi = {10.1111/TGIS.13106}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgis/HanKLBPSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiBHKJYCPLPBOALH23, author = {Hyeon{-}Ji Choi and Chisung Bae and Yeunhee Huh and Sang Joon Kim and Seungchul Jung and Kye{-}Seok Yoon and Joo{-}Mi Cho and Hyo{-}Jin Park and Chan{-}Ho Lee and Su{-}Min Park and Esun Baik and Young{-}Ju Oh and Ho{-}Chan Ahn and Chan{-}Kyu Lee and Sung{-}Wan Hong}, title = {An Ultra-Low Power Soft-Switching Self-Oscillating {SIMO} Converter for Implantable Stimulation Systems}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {8}, pages = {8603--8608}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3231256}, doi = {10.1109/TIE.2022.3231256}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/ChoiBHKJYCPLPBOALH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/ParkWKJKKLHK23, author = {Chang Hyun Park and Seong{-}Woo Woo and Nayoung Kim and Hansol Jang and Hyung{-}Hoi Kim and Yeong Jin Kim and Young{-}Min Lee and Keum{-}Shik Hong and Chang{-}Seok Kim}, title = {Simultaneous Discrimination of Multiple Chromophores With Frequency Division Multiplexed Four-Color Functional Near-Infrared Spectroscopy}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {72}, pages = {1--13}, year = {2023}, url = {https://doi.org/10.1109/TIM.2023.3279877}, doi = {10.1109/TIM.2023.3279877}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/ParkWKJKKLHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/LimPP23, author = {Jaechan Lim and Jin{-}Young Park and Hyung{-}Min Park}, title = {Minimax Monte Carlo object tracking}, journal = {Vis. Comput.}, volume = {39}, number = {5}, pages = {1853--1868}, year = {2023}, url = {https://doi.org/10.1007/s00371-022-02449-7}, doi = {10.1007/S00371-022-02449-7}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/LimPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/MoonKGLKP23, author = {Jin{-}Young Moon and Nari Kim and Geonil Goh and Kyung{-}Ryong Lee and Hansol Kim and Young{-}Woo Park}, editor = {Daragh Byrne and Nikolas Martelaro and Andy Boucher and David J. Chatting and Sarah Fdili Alaoui and Sarah E. Fox and Iohanna Nicenboim and Cayley MacArthur}, title = {Stubbi: an Interactive Device for Enhancing Remote Text and Voice Communication in Small Intimate Groups through Simple Physical Movements}, booktitle = {Proceedings of the 2023 {ACM} Designing Interactive Systems Conference, {DIS} 2023, Pittsburgh, PA, USA, July 10-14, 2023}, pages = {1773--1788}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3563657.3596016}, doi = {10.1145/3563657.3596016}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/MoonKGLKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/NguyenJHBYKPJN23, author = {Tuan Anh Nguyen and Hyeongjun Jeon and Daegyu Han and Duck{-}Ho Bae and Youngjin Yu and Kyeungpyo Kim and Sungsoon Park and Jinkyu Jeong and Beomseok Nam}, title = {NVMe-Driven Lazy Cache Coherence for Immutable Data with NVMe over Fabrics}, booktitle = {16th {IEEE} International Conference on Cloud Computing, {CLOUD} 2023, Chicago, IL, USA, July 2-8, 2023}, pages = {394--400}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CLOUD60044.2023.00053}, doi = {10.1109/CLOUD60044.2023.00053}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/NguyenJHBYKPJN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23, author = {Hyun{-}A. Ahn and Yoo{-}Chang Sung and Yong{-}Hun Kim and Janghoo Kim and Kihan Kim and Donghun Lee and Young{-}Gil Go and Jae{-}Woo Lee and Jae{-}Woo Jung and Yong{-}Hyun Kim and Garam Choi and Jun{-}Seo Park and Bo{-}Hyeon Lee and Jin{-}Hyeok Baek and Daesik Moon and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Changsik Yoo and Tae{-}Young Oh}, title = {A 1.01V 8.5Gb/s/pin 16Gb LPDDR5x {SDRAM} with Self-Pre-Emphasized Stacked-Tx, Supply Voltage Insensitive Rx, and Optimized Clock Using 4th-Generation 10nm {DRAM} Process for High-Speed and Low-Power Applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348005}, doi = {10.1109/A-SSCC58667.2023.10348005}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/AhnSKKKLGLJKCPLBMLBSYO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JungCRPLKJKYIYCCK23, author = {Jinook Jung and Jun{-}Han Choi and Kyoung{-}Jun Roh and Jaewoo Park and Won{-}Mook Lim and Tae{-}Sung Kim and Han{-}Ki Jeong and Myoungbo Kwak and Jaeyoun Youn and Jeong{-}Don Ihm and Changsik Yoo and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 4ns Settling Time FVF-Based Fast {LDO} Using Bandwidth Extension Techniques for {HBM3}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348011}, doi = {10.1109/A-SSCC58667.2023.10348011}, timestamp = {Sat, 27 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JungCRPLKJKYIYCCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/ParkKP23, author = {Junyoung Park and Changhyun Kwon and Jinkyoo Park}, editor = {Noa Agmon and Bo An and Alessandro Ricci and William Yeoh}, title = {Learn to Solve the Min-max Multiple Traveling Salesmen Problem with Reinforcement Learning}, booktitle = {Proceedings of the 2023 International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2023, London, United Kingdom, 29 May 2023 - 2 June 2023}, pages = {878--886}, publisher = {{ACM}}, year = {2023}, url = {https://dl.acm.org/doi/10.5555/3545946.3598725}, doi = {10.5555/3545946.3598725}, timestamp = {Tue, 23 May 2023 14:27:14 +0200}, biburl = {https://dblp.org/rec/conf/atal/ParkKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/HaP023, author = {Taegil Ha and Seulki Park and Jin Young Choi}, title = {Novel Regularization via Logit Weight Repulsion for Long-Tailed Classification}, booktitle = {34th British Machine Vision Conference 2023, {BMVC} 2023, Aberdeen, UK, November 20-24, 2023}, pages = {762}, publisher = {{BMVA} Press}, year = {2023}, url = {http://proceedings.bmvc2023.org/762/}, timestamp = {Mon, 11 Mar 2024 15:42:29 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/HaP023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimLSLNYPYLS23, author = {Taeyeop Kim and Jaeseong Lee and Jaeho Song and Dongwoo Lee and Jun{-}Chae Na and Sung{-}Il Yang and Kyong{-}Jin Park and Young Jin Yoo and Juhye Lee and Won{-}Yong Shin}, title = {AI-Empowered Database Management Platform for New Materials Discovery for Consumer Electronics}, booktitle = {20th {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2023, Las Vegas, NV, USA, January 8-11, 2023}, pages = {929--930}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CCNC51644.2023.10060412}, doi = {10.1109/CCNC51644.2023.10060412}, timestamp = {Tue, 21 Mar 2023 13:49:39 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/KimLSLNYPYLS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23, author = {Marcos V. Conde and Eduard Zamfir and Radu Timofte and Daniel Motilla and Cen Liu and Zexin Zhang and Yunbo Peng and Yue Lin and Jiaming Guo and Xueyi Zou and Yuyi Chen and Yi Liu and Jia Hao and Youliang Yan and Yuanfan Zhang and Gen Li and Lei Sun and Lingshun Kong and Haoran Bai and Jinshan Pan and Jiangxin Dong and Jinhui Tang and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Mingxi Li and Yuhang Zhang and Xianjun Fan and Yankai Sheng and Long Sun and Zibin Liu and Weiran Gou and Shaoqing Li and Ziyao Yi and Yan Xiang and Dehui Kong and Ke Xu and Ganzorig Gankhuyag and Kihwan Yoon and Jin Zhang and Gaocheng Yu and Feng Zhang and Hongbin Wang and Zhou Zhou and Jiahao Chao and Hongfan Gao and Jiali Gong and Zhengfeng Yang and Zhenbing Zeng and Chengpeng Chen and Zichao Guo and Anjin Park and Yuqing Liu and Qi Jia and Hongyuan Yu and Xuanwu Yin and Dongyang Zhang and Ting Fu and Zhengxue Cheng and Shiai Zhu and Dajiang Zhou and Weichen Yu and Lin Ge and Jiahua Dong and Yajun Zou and Zhuoyuan Wu and Binnan Han and Xiaolin Zhang and Heng Zhang and Ben Shao and Shaolong Zheng and Daheng Yin and Baijun Chen and Mengyang Liu and Marian{-}Sergiu Nistor and Yi{-}Chung Chen and Zhi{-}Kai Huang and Yuan{-}Chun Chiang and Wei{-}Ting Chen and Hao{-}Hsiang Yang and Hua{-}En Chang and I{-}Hsiang Chen and Chia{-}Hsuan Hsieh and Sy{-}Yen Kuo and Tu Vo and Qingsen Yan and Yun Zhu and Jinqiu Su and Yanning Zhang and Cheng Zhang and Jiaying Luo and Youngsun Cho and Nakyung Lee and Kunlong Zuo}, title = {Efficient Deep Models for Real-Time 4K Image Super-Resolution. {NTIRE} 2023 Benchmark and Report}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023 - Workshops, Vancouver, BC, Canada, June 17-24, 2023}, pages = {1495--1521}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPRW59228.2023.00154}, doi = {10.1109/CVPRW59228.2023.00154}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CondeZTMLZPLGZCLHYZLSKBPDTABLZFSSLGLYX23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/RyuPSPBLJCPOYP23, author = {Jeongun Ryu and Aaron Valero Puche and Jaewoong Shin and Seonwook Park and Biagio Brattoli and Jinhee Lee and Wonkyung Jung and Soo Ick Cho and Kyunghyun Paeng and Chan{-}Young Ock and Donggeun Yoo and S{\'{e}}rgio Pereira}, title = {{OCELOT:} Overlapped Cell on Tissue Dataset for Histopathology}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {23902--23912}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02289}, doi = {10.1109/CVPR52729.2023.02289}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/RyuPSPBLJCPOYP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/YuCYHPLZA0K023, author = {Youngjae Yu and Jiwan Chung and Heeseung Yun and Jack Hessel and Jae Sung Park and Ximing Lu and Rowan Zellers and Prithviraj Ammanabrolu and Ronan Le Bras and Gunhee Kim and Yejin Choi}, title = {Fusing Pre-Trained Language Models with Multimodal Prompts through Reinforcement Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {10845--10856}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01044}, doi = {10.1109/CVPR52729.2023.01044}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/YuCYHPLZA0K023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KwonHCKCCPKKKKJJCC23, author = {Youngsu Kwon and Jinho Han and Yong Cheol Peter Cho and Juyeob Kim and Jaehoon Chung and Jaewoong Choi and Sujin Park and Igyeong Kim and Hyunjeong Kwon and Jinkyu Kim and Hyunmi Kim and Won Jeon and Young{-}Deuk Jeon and Min{-}Hyung Cho and Minseok Choi}, title = {Chiplet Heterogeneous-Integration {AI} Processor}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049867}, doi = {10.1109/ICEIC57457.2023.10049867}, timestamp = {Tue, 21 Mar 2023 16:00:01 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KwonHCKCCPKKKKJJCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkKJCHK23, author = {Sujin Park and Yi{-}Gyeong Kim and Young{-}Deuk Jeon and Min{-}Hyung Cho and Jinho Han and Youngsu Kwon}, title = {2.5D Large-Scale Interposer Bonding Process Verification using Daisy-Chain for {PIM} Heterogeneous Integration Platform}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049851}, doi = {10.1109/ICEIC57457.2023.10049851}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkKJCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkKKCK23, author = {Jung{-}Jin Park and Young{-}Min Kang and Geon{-}Hak Kim and Ik{-}Joon Chang and Jinsang Kim}, title = {Transistor Sizing Scheme for DICE-Based Radiation-Resilient Latches}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049983}, doi = {10.1109/ICEIC57457.2023.10049983}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkKKCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkKKLC23, author = {Dongwon Park and Minjun Kim and Hyungjin Kim and Jongho Lee and Se Young Chun}, title = {Domain adaptation from posteroanterior to anteroposterior X-ray radiograph classification via deep neural converter with label recycling}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049919}, doi = {10.1109/ICEIC57457.2023.10049919}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkKKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/MoonLCJPKMPP23, author = {Jihyung Moon and Dong{-}Ho Lee and Hyundong Cho and Woojeong Jin and Chan Young Park and Minwoo Kim and Jonathan May and Jay Pujara and Sungjoon Park}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Analyzing Norm Violations in Live-Stream Chat}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {852--868}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.55}, doi = {10.18653/V1/2023.EMNLP-MAIN.55}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/MoonLCJPKMPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/ChoiSWYKJKLKEPB23, author = {Hanho Choi and Ha{-}Il Song and Hyosup Won and Jun Young Yoo and Woohyun Kwon and Huxian Jin and Konan Kwon and Cheong Min Lee and Gain Kim and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {An 86.71875GHz {RF} transceiver for 57.8125Gb/s waveguide links with a CDR-assisted carrier synchronization loop in 28nm}, booktitle = {49th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2023, Lisbon, Portugal, September 11-14, 2023}, pages = {181--184}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ESSCIRC59616.2023.10268802}, doi = {10.1109/ESSCIRC59616.2023.10268802}, timestamp = {Mon, 23 Oct 2023 09:15:52 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/ChoiSWYKJKLKEPB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurosys/LeeMPS0YU0LC23, author = {Gyewon Lee and Jaewoo Maeng and Jinsol Park and Jangho Seo and Haeyoon Cho and Youngseok Yang and Taegeon Um and Jongsung Lee and Jae W. Lee and Byung{-}Gon Chun}, editor = {Giuseppe Antonio Di Luna and Leonardo Querzoni and Alexandra Fedorova and Dushyanth Narayanan}, title = {FlowKV: {A} Semantic-Aware Store for Large-Scale State Management of Stream Processing Engines}, booktitle = {Proceedings of the Eighteenth European Conference on Computer Systems, EuroSys 2023, Rome, Italy, May 8-12, 2023}, pages = {768--783}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3552326.3567493}, doi = {10.1145/3552326.3567493}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eurosys/LeeMPS0YU0LC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/giscience/MichelsPLKW23, author = {Alexander Michels and Jinwoo Park and Bo Li and Jeon{-}Young Kang and Shaowen Wang}, editor = {Roger Beecham and Jed A. Long and Dianna Smith and Qunshan Zhao and Sarah Wise}, title = {Impacts of Catchments Derived from Fine-Grained Mobility Data on Spatial Accessibility (Short Paper)}, booktitle = {12th International Conference on Geographic Information Science, GIScience 2023, September 12-15, 2023, Leeds, {UK}}, series = {LIPIcs}, volume = {277}, pages = {52:1--52:6}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://doi.org/10.4230/LIPIcs.GIScience.2023.52}, doi = {10.4230/LIPICS.GISCIENCE.2023.52}, timestamp = {Sat, 09 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/giscience/MichelsPLKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/YooKKPKO23, author = {Sungyeob Yoo and Hyunsung Kim and Jinseok Kim and Sunghyun Park and Joo{-}Young Kim and Jinwook Oh}, title = {LightTrader: {A} Standalone High-Frequency Trading System with Deep Learning Inference Accelerators and Proactive Scheduler}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2023, Montreal, QC, Canada, February 25 - March 1, 2023}, pages = {1017--1030}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/HPCA56546.2023.10070930}, doi = {10.1109/HPCA56546.2023.10070930}, timestamp = {Wed, 29 Mar 2023 11:07:46 +0200}, biburl = {https://dblp.org/rec/conf/hpca/YooKKPKO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ChoiPWC23, author = {Yun Young Choi and Sun Woo Park and Youngho Woo and U Jin Choi}, title = {Cycle to Clique (Cy2C) Graph Neural Network: {A} Sight to See beyond Neighborhood Aggregation}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=7d-g8KozkiE}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ChoiPWC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/KimPP23, author = {Minjun Kim and Junyoung Park and Jinkyoo Park}, title = {Learning to {CROSS} exchange to solve min-max vehicle routing problems}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=ZcnzsHC10Y}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/KimPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/UmPP023, author = {Daeho Um and Jiwoong Park and Seulki Park and Jin Young Choi}, title = {Confidence-Based Feature Imputation for Graphs with Partially Known Features}, booktitle = {The Eleventh International Conference on Learning Representations, {ICLR} 2023, Kigali, Rwanda, May 1-5, 2023}, publisher = {OpenReview.net}, year = {2023}, url = {https://openreview.net/forum?id=YPKBIILy-Kt}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/UmPP023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/JoSLHJKSJP23, author = {SeongHyeon Jo and Youngjo Song and Yechan Lee and Si{-}Hwan Heo and Sang Jin Jang and Yusung Kim and Joon{-}Ho Shin and Jaesung Jeong and Hyung{-}Soon Park}, title = {Functional {MRI} Assessment of Brain Activity During Hand Rehabilitation with an MR-Compatible Soft Glove in Chronic Stroke Patients: {A} Preliminary Study}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2023, Singapore, September 24-28, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICORR58425.2023.10304776}, doi = {10.1109/ICORR58425.2023.10304776}, timestamp = {Fri, 17 Nov 2023 08:57:23 +0100}, biburl = {https://dblp.org/rec/conf/icorr/JoSLHJKSJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimPD23, author = {Youngwook Kim and Ji{-}Hyung Park and Jinyang Du}, title = {Monitoring Surface Water Content and Biogeochemical Responses In The Area Surrounding River Mouths Using Multi-Source Satellite Remote Sensing}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2023, Pasadena, CA, USA, July 16-21, 2023}, pages = {3742--3744}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IGARSS52108.2023.10283466}, doi = {10.1109/IGARSS52108.2023.10283466}, timestamp = {Tue, 07 Nov 2023 16:21:25 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimPD23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeKJPH23, author = {Kyungmin Lee and Haeri Kim and Sichen Jin and Jinhwan Park and Youngho Han}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {A More Accurate Internal Language Model Score Estimation for the Hybrid Autoregressive Transducer}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {869--873}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-213}, doi = {10.21437/INTERSPEECH.2023-213}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeKJPH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RanjanPLPKYHKJZSC23, author = {Rakesh Ranjan and Pavitra Ramadevi Perepa and Ki{-}Don Lee and Hokyung Park and Peter Kim and Ganesh Chakravarthy Yerubandi and Jon Haefner and Caleb Dongkyun Kwon and Minjung Jin and Wenhao Zhou and Hyewon Shim and Shin{-}Young Chung}, title = {Impact of Barrier Metal Thickness on {SRAM} Reliability}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10118344}, doi = {10.1109/IRPS48203.2023.10118344}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/RanjanPLPKYHKJZSC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/RheeKPUHCKRC23, author = {SungMan Rhee and Hyunjin Kim and Sangku Park and Taiki Uemura and Yuchul Hwang and Seungjin Choo and Jinju Kim and Hwasung Rhee and Shin{-}Young Chung}, title = {Machine Learning Based V-ramp {VBD} Predictive Model Using OCD-measured Fab Parameters for Early Detection of {MOL} Reliability Risk}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2023, Monterey, CA, USA, March 26-30, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/IRPS48203.2023.10117962}, doi = {10.1109/IRPS48203.2023.10117962}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/RheeKPUHCKRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkJKCHCCK23, author = {Sujin Park and Young{-}Deuk Jeon and Yi{-}Gyeong Kim and Min{-}Hyung Cho and Jinho Han and Jaehoon Chung and Jaewoong Choi and Youngsu Kwon}, title = {{DQ} and {DQS} Receiver for {HBM3} Memory Interface with {DFE} Offset Calibration}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {215--216}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396021}, doi = {10.1109/ISOCC59558.2023.10396021}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ParkJKCHCCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23, author = {Hyuncheol Kim and Yun Hyeok Kim and Sanghyuck Moon and Hwanwoong Kim and Byeongjun Yoo and Jueun Park and Seyoung Kim and June{-}Mo Koo and Sewon Seo and Hye Ji Shin and Younghwan Choi and Jinwoo Kim and Kyungil Kim and Jae{-}Hoon Seo and Seunghyun Lim and Taesub Jung and Howoo Park and Sangil Jung and Juhyun Ko and Kyungho Lee and JungChak Ahn and Joonseo Yim}, title = {A 0.64{\(\mu\)}m 4-Photodiode 1.28{\(\mu\)}m 50Mpixel {CMOS} Image Sensor with 0.98e- Temporal Noise and 20Ke- Full-Well Capacity Employing Quarter-Ring Source-Follower}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {96--97}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067732}, doi = {10.1109/ISSCC42615.2023.10067732}, timestamp = {Tue, 18 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKMKYPKKSSCKKSLJPJKLAY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJJJPLKKWW23, author = {Dongwon Lee and Doohwan Jung and Fuze Jiang and Gregory Villiam Junek and Jongseok Park and Hangxing Liu and Ying Kong and Youngin Kim and Jing Wang and Hua Wang}, title = {A {CMOS} Multi-Functional Biosensor Array for Rapid Low-Concentration Analyte Detection with On-Chip DEP-Assisted Active Enrichment and Manipulation with No External Electrodes}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {316--317}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067525}, doi = {10.1109/ISSCC42615.2023.10067525}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJJJPLKKWW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkACJHKLC23, author = {Byungchoul Park and Byungwook Ahn and Hyun{-}Seung Choi and Jinwoong Jeong and Kangmin Hwang and Taewoo Kim and Myung{-}Jae Lee and Youngcheol Chae}, title = {A 400 {\texttimes} 200 600fps 117.7dB-DR {SPAD} X-Ray Detector with Seamless Global Shutter and Time-Encoded Extrapolation Counter}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {100--101}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067344}, doi = {10.1109/ISSCC42615.2023.10067344}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkACJHKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCSCPKK23, author = {Seungwoo Park and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Hyunsu Park and Youngwook Kwon and Chulwoo Kim}, title = {A 0.83pJ/b 52Gb/s {PAM-4} Baud-Rate {CDR} with Pattern-Based Phase Detector for Short-Reach Applications}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {118--119}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067541}, doi = {10.1109/ISSCC42615.2023.10067541}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCSCPKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/YoonICJP23, author = {Kanghoon Yoon and Youngjun Im and Jingyu Choi and Taehwan Jeong and Jinkyoo Park}, editor = {Ambuj K. Singh and Yizhou Sun and Leman Akoglu and Dimitrios Gunopulos and Xifeng Yan and Ravi Kumar and Fatma Ozcan and Jieping Ye}, title = {Learning Multivariate Hawkes Process via Graph Recurrent Neural Network}, booktitle = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, pages = {5451--5462}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580305.3599857}, doi = {10.1145/3580305.3599857}, timestamp = {Mon, 25 Sep 2023 08:29:22 +0200}, biburl = {https://dblp.org/rec/conf/kdd/YoonICJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkHCLLWYHGF023, author = {Jae Sung Park and Jack Hessel and Khyathi Raghavi Chandu and Paul Pu Liang and Ximing Lu and Peter West and Youngjae Yu and Qiuyuan Huang and Jianfeng Gao and Ali Farhadi and Yejin Choi}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Localized Symbolic Knowledge Distillation for Visual Commonsense Models}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/257be12f31dfa7cc158dda99822c6fd1-Abstract-Conference.html}, timestamp = {Sat, 13 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkHCLLWYHGF023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/YoonJN023, author = {Sangwoong Yoon and Young{-}Uk Jin and Yung{-}Kyun Noh and Frank C. Park}, editor = {Alice Oh and Tristan Naumann and Amir Globerson and Kate Saenko and Moritz Hardt and Sergey Levine}, title = {Energy-Based Models for Anomaly Detection: {A} Manifold Diffusion Recovery Approach}, booktitle = {Advances in Neural Information Processing Systems 36: Annual Conference on Neural Information Processing Systems 2023, NeurIPS 2023, New Orleans, LA, USA, December 10 - 16, 2023}, year = {2023}, url = {http://papers.nips.cc/paper\_files/paper/2023/hash/9b6d7202750e8e32cd5270eb7fc131f7-Abstract-Conference.html}, timestamp = {Fri, 01 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/YoonJN023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/LeeKRSJPBS23, author = {Jay Hwan Lee and Yeonsoo Kim and Younghyun Ryu and Wasuwee Sodsong and Hyunjun Jeon and Jinsik Park and Bernd Burgstaller and Bernhard Scholz}, editor = {Quan Chen and Zhiyi Huang and Min Si}, title = {Julia Cloud Matrix Machine: Dynamic Matrix Language Acceleration on Multicore Clusters in the Cloud}, booktitle = {Proceedings of the 14th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2023, Montreal, QC, Canada, 25 February 2023 - 1 March 2023}, pages = {1--10}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3582514.3582518}, doi = {10.1145/3582514.3582518}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ppopp/LeeKRSJPBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeJLJPKKKK23, author = {Jinhoon Lee and Yeonwoo Jung and Suyeon Lee and Safdar Jamil and Sungyong Park and Kwangwon Koh and Hongyeon Kim and Youngjae Kim and Kangho Kim}, editor = {Jiman Hong and Maart Lanperne and Juw Won Park and Tom{\'{a}}s Cern{\'{y}} and Hossain Shahriar}, title = {MFence: Defending Against Memory Access Interference in a Disaggregated Cloud Memory Platform}, booktitle = {Proceedings of the 38th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2023, Tallinn, Estonia, March 27-31, 2023}, pages = {1309--1317}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3555776.3577714}, doi = {10.1145/3555776.3577714}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeJLJPKKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JoKKCPLSYPKLSKL23, author = {Youngmin Jo and Anil Kavala and Tongsung Kim and Byung{-}Kwan Chun and Jungjune Park and Taesung Lee and Jungmin Seo and Manjae Yang and Taehyeon Park and Hyunjin Kwon and Cheolhui Lee and Younghoon Son and Junghwan Kwak and Younggyu Lee and Hwan{-}Seok Ku and Dae{-}Hoon Na and Changyeon Yu and Jonghoon Park and Jae{-}Hwan Kim and Hyojin Kwon and Chan{-}ho Kim and Moon{-}Ki Jung and Chanjin Park and Donghyun Seo and Moosung Kim and Seungjae Lee and Jin{-}Yub Lee and Dongku Kang and Chiweon Yoon and Sunghoi Hur}, title = {A 3.0 Gb/s/pin 4\({}^{\mbox{th}}\) generation F-chip with Toggle 5.0 Specification for 16Tb {NAND} Flash Memory Multi chip Package}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185391}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185391}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JoKKCPLSYPKLSKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimPLSKL0C23, author = {Seongho Kim and Young{-}Keun Park and Gyu Soup Lee and Eui Joong Shin and Woon{-}San Ko and Hi Deok Lee and Ga{-}Won Lee and Byung Jin Cho}, title = {Epitaxial Strain Control of HfxZr1-xO2 with Sub-nm {IGZO} Seed Layer Achieving EOT=0.44 nm for {DRAM} Cell Capacitor}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185400}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185400}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimPLSKL0C23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeePCCJH23, author = {Chan{-}Ho Lee and Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Young{-}Jun Jeon and Sung{-}Wan Hong}, title = {A 1V 20.7{\(\mu\)}W Four-Stage Amplifier Capable of Driving a 4-to-12nF Capacitive Load with {\textgreater}1.07MHz {GBW} with an Improved Active Zero}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185347}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185347}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeePCCJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/ParkKLSKCBKASLA23, author = {Jiheon Park and Daeyun Kim and Hoyong Lee and Seung{-}Chul Shin and Myoungoh Ki and Bumsik Chung and Myunghan Bae and Myeonggyun Kye and Jonghan Ahn and Inho Song and Sunhwa Lee and Jaeil An and Il{-}Pyeong Hwang and Taemin An and Young{-}Gu Jin and Youngchan Kim and Youngsun Oh and Juhyun Ko and Haechang Lee and Joonseo Yim}, title = {An Indirect Time-of-Flight {CMOS} Image Sensor Achieving Sub-ms Motion Lagging and 60fps Depth Image from On-chip {ISP}}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185418}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185418}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/ParkKLSKCBKASLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-01926, author = {Taekho You and Jinseo Park and June Young Lee and Jinhyuk Yun}, title = {Auditing citation polarization during the {COVID-19} pandemic}, journal = {CoRR}, volume = {abs/2301.01926}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.01926}, doi = {10.48550/ARXIV.2301.01926}, eprinttype = {arXiv}, eprint = {2301.01926}, timestamp = {Tue, 10 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-01926.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09310, author = {Seongyeon Park and Hajin Kim and Tanveer Ahmad and Nauman Ahmed and Zaid Al{-}Ars and H. Peter Hofstee and Youngsok Kim and Jinho Lee}, title = {SaLoBa: Maximizing Data Locality and Workload Balance for Fast Sequence Alignment on GPUs}, journal = {CoRR}, volume = {abs/2301.09310}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09310}, doi = {10.48550/ARXIV.2301.09310}, eprinttype = {arXiv}, eprint = {2301.09310}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09310.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2301-09312, author = {Deokki Hong and Kanghyun Choi and Hyeyoon Lee and Joonsang Yu and Noseong Park and Youngsok Kim and Jinho Lee}, title = {Enabling Hard Constraints in Differentiable Neural Network and Accelerator Co-Exploration}, journal = {CoRR}, volume = {abs/2301.09312}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2301.09312}, doi = {10.48550/ARXIV.2301.09312}, eprinttype = {arXiv}, eprint = {2301.09312}, timestamp = {Thu, 26 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2301-09312.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-02506, author = {Vivian Wen Hui Wong and Sang Hun Kim and Junyoung Park and Jinkyoo Park and Kincho H. Law}, title = {Generating Dispatching Rules for the Interrupting Swap-Allowed Blocking Job Shop Problem Using Graph Neural Network and Reinforcement Learning}, journal = {CoRR}, volume = {abs/2302.02506}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.02506}, doi = {10.48550/ARXIV.2302.02506}, eprinttype = {arXiv}, eprint = {2302.02506}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-02506.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13110, author = {Jeongun Ryu and Aaron Valero Puche and Jaewoong Shin and Seonwook Park and Biagio Brattoli and Jinhee Lee and Wonkyung Jung and Soo Ick Cho and Kyunghyun Paeng and Chan{-}Young Ock and Donggeun Yoo and S{\'{e}}rgio Pereira}, title = {{OCELOT:} Overlapped Cell on Tissue Dataset for Histopathology}, journal = {CoRR}, volume = {abs/2303.13110}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13110}, doi = {10.48550/ARXIV.2303.13110}, eprinttype = {arXiv}, eprint = {2303.13110}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2304-10727, author = {Seulki Park and Daeho Um and Hajung Yoon and Sanghyuk Chun and Sangdoo Yun and Jin Young Choi}, title = {RoCOCO: Robust Benchmark {MS-COCO} to Stress-test Robustness of Image-Text Matching Models}, journal = {CoRR}, volume = {abs/2304.10727}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2304.10727}, doi = {10.48550/ARXIV.2304.10727}, eprinttype = {arXiv}, eprint = {2304.10727}, timestamp = {Tue, 02 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2304-10727.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-09986, author = {Hyoung Suk Park and Young Jin Jeong and Kiwan Jeon}, title = {A robust multi-domain network for short-scanning amyloid {PET} reconstruction}, journal = {CoRR}, volume = {abs/2305.09986}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.09986}, doi = {10.48550/ARXIV.2305.09986}, eprinttype = {arXiv}, eprint = {2305.09986}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-09986.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-10731, author = {Jihyung Moon and Dong{-}Ho Lee and Hyundong Cho and Woojeong Jin and Chan Young Park and Minwoo Kim and Jonathan May and Jay Pujara and Sungjoon Park}, title = {Analyzing Norm Violations in Live-Stream Chat}, journal = {CoRR}, volume = {abs/2305.10731}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.10731}, doi = {10.48550/ARXIV.2305.10731}, eprinttype = {arXiv}, eprint = {2305.10731}, timestamp = {Thu, 25 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-10731.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-16618, author = {Daeho Um and Jiwoong Park and Seulki Park and Jin Young Choi}, title = {Confidence-Based Feature Imputation for Graphs with Partially Known Features}, journal = {CoRR}, volume = {abs/2305.16618}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.16618}, doi = {10.48550/ARXIV.2305.16618}, eprinttype = {arXiv}, eprint = {2305.16618}, timestamp = {Wed, 07 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-16618.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-00206, author = {Young{-}Jin Park and Hao Wang and Shervin Ardeshir and Navid Azizan}, title = {Representation Reliability and Its Impact on Downstream Tasks}, journal = {CoRR}, volume = {abs/2306.00206}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.00206}, doi = {10.48550/ARXIV.2306.00206}, eprinttype = {arXiv}, eprint = {2306.00206}, timestamp = {Mon, 12 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-00206.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-01961, author = {Taehoon Kim and Pyunghwan Ahn and Sangyun Kim and Sihaeng Lee and Mark Marsden and Alessandra Sala and Seung Hwan Kim and Bohyung Han and Kyoung Mu Lee and Honglak Lee and Kyounghoon Bae and Xiangyu Wu and Yi Gao and Hailiang Zhang and Yang Yang and Weili Guo and Jianfeng Lu and Youngtaek Oh and Jae{-}Won Cho and Dong{-}Jin Kim and In So Kweon and Junmo Kim and Woo{-}Young Kang and Won Young Jhoo and Byungseok Roh and Jonghwan Mun and Solgil Oh and Kenan Emir Ak and Gwang{-}Gook Lee and Yan Xu and Mingwei Shen and Kyomin Hwang and Wonsik Shin and Kamin Lee and Wonhark Park and Dongkwan Lee and Nojun Kwak and Yujin Wang and Yimu Wang and Tiancheng Gu and Xingchang Lv and Mingmao Sun}, title = {{NICE:} {CVPR} 2023 Challenge on Zero-shot Image Captioning}, journal = {CoRR}, volume = {abs/2309.01961}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.01961}, doi = {10.48550/ARXIV.2309.01961}, eprinttype = {arXiv}, eprint = {2309.01961}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-01961.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-10328, author = {Seokhyeon Park and Wonjae Kim and Young{-}Ho Kim and Jinwook Seo}, title = {Computational Approaches for App-to-App Retrieval and Design Consistency Check}, journal = {CoRR}, volume = {abs/2309.10328}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.10328}, doi = {10.48550/ARXIV.2309.10328}, eprinttype = {arXiv}, eprint = {2309.10328}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-10328.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-07138, author = {Byeongjun Park and Sangmin Woo and Hyojun Go and Jin{-}Young Kim and Changick Kim}, title = {Denoising Task Routing for Diffusion Models}, journal = {CoRR}, volume = {abs/2310.07138}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.07138}, doi = {10.48550/ARXIV.2310.07138}, eprinttype = {arXiv}, eprint = {2310.07138}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-07138.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14157, author = {Abhay Sobhanan and Junyoung Park and Jinkyoo Park and Changhyun Kwon}, title = {Genetic Algorithms with Neural Cost Predictor for Solving Hierarchical Vehicle Routing Problems}, journal = {CoRR}, volume = {abs/2310.14157}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14157}, doi = {10.48550/ARXIV.2310.14157}, eprinttype = {arXiv}, eprint = {2310.14157}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14157.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-18677, author = {Sangwoong Yoon and Young{-}Uk Jin and Yung{-}Kyun Noh and Frank C. Park}, title = {Energy-Based Models for Anomaly Detection: {A} Manifold Diffusion Recovery Approach}, journal = {CoRR}, volume = {abs/2310.18677}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.18677}, doi = {10.48550/ARXIV.2310.18677}, eprinttype = {arXiv}, eprint = {2310.18677}, timestamp = {Thu, 02 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-18677.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-04035, author = {Young Woong Park and Jinhak Kim and Dan Zhu}, title = {Discordance Minimization-based Imputation Algorithms for Missing Values in Rating Data}, journal = {CoRR}, volume = {abs/2311.04035}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.04035}, doi = {10.48550/ARXIV.2311.04035}, eprinttype = {arXiv}, eprint = {2311.04035}, timestamp = {Thu, 16 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-04035.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-05858, author = {Junyoung Park and Jin Kim and Hyeongjun Kwon and Ilhoon Yoon and Kwanghoon Sohn}, title = {Layer-wise Auto-Weighting for Non-Stationary Test-Time Adaptation}, journal = {CoRR}, volume = {abs/2311.05858}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.05858}, doi = {10.48550/ARXIV.2311.05858}, eprinttype = {arXiv}, eprint = {2311.05858}, timestamp = {Wed, 15 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-05858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-15876, author = {Kwanyoung Kim and Yujin Oh and Sangjoon Park and Hwa Kyung Byun and Jin Sung Kim and Yong Bae Kim and Jong Chul Ye}, title = {RO-LLaMA: Generalist {LLM} for Radiation Oncology via Noise Augmentation and Consistency Regularization}, journal = {CoRR}, volume = {abs/2311.15876}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.15876}, doi = {10.48550/ARXIV.2311.15876}, eprinttype = {arXiv}, eprint = {2311.15876}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-15876.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-04837, author = {Jae Sung Park and Jack Hessel and Khyathi Raghavi Chandu and Paul Pu Liang and Ximing Lu and Peter West and Youngjae Yu and Qiuyuan Huang and Jianfeng Gao and Ali Farhadi and Yejin Choi}, title = {Localized Symbolic Knowledge Distillation for Visual Commonsense Models}, journal = {CoRR}, volume = {abs/2312.04837}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.04837}, doi = {10.48550/ARXIV.2312.04837}, eprinttype = {arXiv}, eprint = {2312.04837}, timestamp = {Thu, 11 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-04837.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-07844, author = {Taekho You and Jinseo Park and June Young Lee and Jinhyuk Yun}, title = {Regional profile of questionable publishing}, journal = {CoRR}, volume = {abs/2312.07844}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.07844}, doi = {10.48550/ARXIV.2312.07844}, eprinttype = {arXiv}, eprint = {2312.07844}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-07844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-15980, author = {Sangmin Woo and Byeongjun Park and Hyojun Go and Jin{-}Young Kim and Changick Kim}, title = {HarmonyView: Harmonizing Consistency and Diversity in One-Image-to-3D}, journal = {CoRR}, volume = {abs/2312.15980}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.15980}, doi = {10.48550/ARXIV.2312.15980}, eprinttype = {arXiv}, eprint = {2312.15980}, timestamp = {Tue, 16 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-15980.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ByunPKJJ22, author = {Jin Young Byun and Jae Wan Park and Do Yeon Kim and Chang Young Jo and Jae Wook Jeon}, title = {Effective In-Vehicle Network Training Strategy for Automotive Engineers}, journal = {{IEEE} Access}, volume = {10}, pages = {29252--29266}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3158269}, doi = {10.1109/ACCESS.2022.3158269}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ByunPKJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanLLKKP22, author = {Wanhee Han and Jinsang Lim and Seung{-}Jae Lee and Cheol{-}Young Kim and Wan{-}Chin Kim and No{-}Cheol Park}, title = {Bidirectional Reflectance Distribution Function (BRDF)-Based Coarseness Prediction of Textured Metal Surface}, journal = {{IEEE} Access}, volume = {10}, pages = {32461--32469}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3161518}, doi = {10.1109/ACCESS.2022.3161518}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanLLKKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPKKKJLL22, author = {Geon Kim and Jin Hyo Park and Dong Yeong Kim and Su Yeon Kim and Tae{-}Hoon Kim and Han Seung Jang and Young{-}Woo Lee and Myoung Jin Lee}, title = {4-Pole Hybrid {HVDC} Circuit Breaker for Pole-to-Pole {(PTP)} Fault Protection}, journal = {{IEEE} Access}, volume = {10}, pages = {39789--39799}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3164049}, doi = {10.1109/ACCESS.2022.3164049}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimPKKKJLL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKKMSH22, author = {Hyun Joon Park and Taehyeong Kim and Young Seok Kim and Jinhong Min and Ki Woo Sung and Sung Won Han}, title = {CRFormer: Complementary Reliability Perspective Transformer for Automotive Components Reliability Prediction Based on Claim Data}, journal = {{IEEE} Access}, volume = {10}, pages = {88457--88468}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3200472}, doi = {10.1109/ACCESS.2022.3200472}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKKMSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChoLPLKPLS22, author = {Min{-}Young Cho and Jin{-}Woong Lee and Chaewon Park and Byung Do Lee and Joon Seok Kyeong and Eun Jeong Park and Kee Yang Lee and Kee{-}Sun Sohn}, title = {Large-Area Piezoresistive Tactile Sensor Developed by Training a Super-Simple Single-Layer Carbon Nanotube-Dispersed Polydimethylsiloxane Pad}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {1}, year = {2022}, url = {https://doi.org/10.1002/aisy.202270002}, doi = {10.1002/AISY.202270002}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChoLPLKPLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChoLPLKPLS22a, author = {Min{-}Young Cho and Jin{-}Woong Lee and Chaewon Park and Byung Do Lee and Joon Seok Kyeong and Eun Jeong Park and Kee Yang Lee and Kee{-}Sun Sohn}, title = {Large-Area Piezoresistive Tactile Sensor Developed by Training a Super-Simple Single-Layer Carbon Nanotube-Dispersed Polydimethylsiloxane Pad}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {1}, year = {2022}, url = {https://doi.org/10.1002/aisy.202100123}, doi = {10.1002/AISY.202100123}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChoLPLKPLS22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeLPPCSPS22, author = {Byung Do Lee and Jin{-}Woong Lee and Woon Bae Park and Joonseo Park and Min{-}Young Cho and Satendra Pal Singh and Myoungho Pyo and Kee{-}Sun Sohn}, title = {Powder X-Ray Diffraction Pattern Is All You Need for Machine-Learning-Based Symmetry Identification and Property Prediction}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {7}, year = {2022}, url = {https://doi.org/10.1002/aisy.202200042}, doi = {10.1002/AISY.202200042}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeLPPCSPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cce/KimKPLCKM22, author = {Youngjin Kim and Taejong Kim and Chanho Park and Jaewon Lee and Hyungtae Cho and Myungjun Kim and Il Moon}, title = {Development of novel flow distribution apparatus for simulated moving bed to improve degree of mixing}, journal = {Comput. Chem. Eng.}, volume = {156}, pages = {107553}, year = {2022}, url = {https://doi.org/10.1016/j.compchemeng.2021.107553}, doi = {10.1016/J.COMPCHEMENG.2021.107553}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cce/KimKPLCKM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ParkGK22, author = {Jeongeun Park and Jinmo Gu and Ha Young Kim}, title = {"Do not deceive me anymore!" interpretation through model design and visualization for instagram counterfeit seller account detection}, journal = {Comput. Hum. Behav.}, volume = {137}, pages = {107418}, year = {2022}, url = {https://doi.org/10.1016/j.chb.2022.107418}, doi = {10.1016/J.CHB.2022.107418}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/ParkGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkAKJGJLO22, author = {Junsang Park and Junho An and Jinkook Kim and Sunghoon Jung and Yeongjoon Gil and Yoojin Jang and Kwanglo Lee and Il{-}Young Oh}, title = {Study on the use of standard 12-lead {ECG} data for rhythm-type {ECG} classification problems}, journal = {Comput. Methods Programs Biomed.}, volume = {214}, pages = {106521}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2021.106521}, doi = {10.1016/J.CMPB.2021.106521}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ParkAKJGJLO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkKPCKY22, author = {Tae Young Park and Hyo{-}Jin Kim and So Hui Park and Won Seok Chang and Hyungmin Kim and Kyungho Yoon}, title = {Differential evolution method to find optimal location of a single-element transducer for transcranial focused ultrasound therapy}, journal = {Comput. Methods Programs Biomed.}, volume = {219}, pages = {106777}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.106777}, doi = {10.1016/J.CMPB.2022.106777}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ParkKPCKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fini/ParkCLJRKHSY22, author = {Bumhee Park and Byung Jin Choi and Heirim Lee and Jong{-}Hwan Jang and Hyunwoong Roh and Eun Young Kim and Changhyung Hong and Sang Joon Son and Dukyong Yoon}, title = {Modeling Brain Volume Using Deep Learning-Based Physical Activity Features in Patients With Dementia}, journal = {Frontiers Neuroinformatics}, volume = {16}, pages = {795171}, year = {2022}, url = {https://doi.org/10.3389/fninf.2022.795171}, doi = {10.3389/FNINF.2022.795171}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fini/ParkCLJRKHSY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/ParkKGH22, author = {Jinwoo Park and Jeon{-}Young Kang and Daniel W. Goldberg and Tracy Anne Hammond}, title = {Leveraging temporal changes of spatial accessibility measurements for better policy implications: a case study of electric vehicle {(EV)} charging stations in Seoul, South Korea}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {36}, number = {6}, pages = {1185--1204}, year = {2022}, url = {https://doi.org/10.1080/13658816.2021.1978450}, doi = {10.1080/13658816.2021.1978450}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gis/ParkKGH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LeePAK22, author = {Youngjoon Lee and Sangwoo Park and Jin{-}Hyun Ahn and Joonhyuk Kang}, title = {Accelerated Federated Learning via Greedy Aggregation}, journal = {{IEEE} Commun. Lett.}, volume = {26}, number = {12}, pages = {2919--2923}, year = {2022}, url = {https://doi.org/10.1109/LCOMM.2022.3203581}, doi = {10.1109/LCOMM.2022.3203581}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/LeePAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/ChoiKSKPSJS22, author = {Jinho Choi and Jaehan Kim and Minkyoo Song and Hanna Kim and Nahyeon Park and Minjae Seo and Youngjin Jin and Seungwon Shin}, title = {A Large-Scale Bitcoin Abuse Measurement and Clustering Analysis Utilizing Public Reports}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {105-D}, number = {7}, pages = {1296--1307}, year = {2022}, url = {https://doi.org/10.1587/transinf.2021edp7182}, doi = {10.1587/TRANSINF.2021EDP7182}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/ChoiKSKPSJS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/LeeLKYPYK22, author = {Si Eun Lee and Eunjung Lee and Eun{-}Kyung Kim and Jung Hyun Yoon and Vivian Youngjean Park and Ji Hyun Youk and Jin Young Kwak}, title = {Application of Artificial Intelligence Computer-Assisted Diagnosis Originally Developed for Thyroid Nodules to Breast Lesions on Ultrasound}, journal = {J. Digit. Imaging}, volume = {35}, number = {6}, pages = {1699--1707}, year = {2022}, url = {https://doi.org/10.1007/s10278-022-00680-1}, doi = {10.1007/S10278-022-00680-1}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/LeeLKYPYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jfr/KimPHKKCSCKC22, author = {Jin Tak Kim and Sangshin Park and Sangchul Han and Jinhyeon Kim and Hyogon Kim and Young{-}Ho Choi and Jaehong Seo and Sang Uk Chon and Jungyeong Kim and Jungsan Cho}, title = {Development of disaster-responding special-purpose machinery: Results of experiments}, journal = {J. Field Robotics}, volume = {39}, number = {6}, pages = {783--804}, year = {2022}, url = {https://doi.org/10.1002/rob.22078}, doi = {10.1002/ROB.22078}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jfr/KimPHKKCSCKC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jimaging/HwangPKCCKKJAKK22, author = {Kihwan Hwang and Juntae Park and Young{-}Jae Kwon and Se Jin Cho and Byung Se Choi and Jiwon Kim and Eunchong Kim and Jongha Jang and Kwang{-}Sung Ahn and Sangsoo Kim and Chae{-}Yong Kim}, title = {Fully Automated Segmentation Models of Supratentorial Meningiomas Assisted by Inclusion of Normal Brain Images}, journal = {J. Imaging}, volume = {8}, number = {12}, pages = {327}, year = {2022}, url = {https://doi.org/10.3390/jimaging8120327}, doi = {10.3390/JIMAGING8120327}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jimaging/HwangPKCCKKJAKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/KimJKP22, author = {Young{-}Jong Kim and Sungil Jang and Myung Ho Kim and Jinho Park}, title = {{PBFT} Blockchain-Based OpenStack Identity Service}, journal = {J. Inf. Process. Syst.}, volume = {18}, number = {6}, pages = {741--754}, year = {2022}, url = {https://doi.org/10.3745/JIPS.03.0179}, doi = {10.3745/JIPS.03.0179}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/KimJKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/joi/YouPLYJ22, author = {Taekho You and Jinseo Park and June Young Lee and Jinhyuk Yun and Woo{-}Sung Jung}, title = {Disturbance of questionable publishing to academia}, journal = {J. Informetrics}, volume = {16}, number = {2}, pages = {101294}, year = {2022}, url = {https://doi.org/10.1016/j.joi.2022.101294}, doi = {10.1016/J.JOI.2022.101294}, timestamp = {Wed, 27 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/joi/YouPLYJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkSCCKK22, author = {Hyunsu Park and Jincheol Sim and Yoonjae Choi and Jonghyuck Choi and Youngwook Kwon and Chulwoo Kim}, title = {A 56-Gb/s {PAM-4} Receiver Using Time-Based {LSB} Decoder and {S/H} Technique for Robustness to Comparator Voltage Variations}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {2}, pages = {562--572}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3098821}, doi = {10.1109/JSSC.2021.3098821}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkSCCKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jwe/ParkKKKS22, author = {Byung{-}Seo Park and Woosuk Kim and Jin{-}Kyum Kim and Dong{-}Wook Kim and Young{-}Ho Seo}, title = {Quality Enhancement of 3D Volumetric Contents Based on 6DoF for 5G Telepresence Service}, journal = {J. Web Eng.}, volume = {21}, number = {3}, year = {2022}, url = {https://doi.org/10.13052/jwe1540-9589.2138}, doi = {10.13052/JWE1540-9589.2138}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jwe/ParkKKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/JiCSBSMPSLSOKL22, author = {Sooyeon Ji and Eun{-}Jung Choi and Beomseok Sohn and Kyoungwon Baik and Na{-}Young Shin and Won{-}Jin Moon and Seongbeom Park and Soohwa Song and Phil Hyu Lee and Dongmyung Shin and Se{-}Hong Oh and Eung{-}Yeop Kim and Jongho Lee}, title = {Sandwich spatial saturation for neuromelanin-sensitive {MRI:} Development and multi-center trial}, journal = {NeuroImage}, volume = {264}, pages = {119706}, year = {2022}, url = {https://doi.org/10.1016/j.neuroimage.2022.119706}, doi = {10.1016/J.NEUROIMAGE.2022.119706}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/JiCSBSMPSLSOKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/GongHYKPJSC22, author = {Young Jin Gong and Seong Taek Hwang and Sang Yul Yang and Kihyeon Kim and Jae Hyeong Park and Hosang Jung and Dongsu Shin and Hyouk Ryeol Choi}, title = {Retractable Locking System Driven by Shape Memory Alloy Actuator for Lightweight Soft Robotic Application}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {4}, pages = {12185--12192}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3213489}, doi = {10.1109/LRA.2022.3213489}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/GongHYKPJSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/YangKSSPGC22, author = {Sang Yul Yang and Kihyeon Kim and Sung{-}Won Seo and Dongsu Shin and Jae Hyeong Park and Young Jin Gong and Hyouk Ryeol Choi}, title = {Hybrid Antagonistic System With Coiled Shape Memory Alloy and Twisted and Coiled Polymer Actuator for Lightweight Robotic Arm}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {7}, number = {2}, pages = {4496--4503}, year = {2022}, url = {https://doi.org/10.1109/LRA.2022.3150875}, doi = {10.1109/LRA.2022.3150875}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/YangKSSPGC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ByunKPCKAKYHJKP22, author = {Sung{-}June Byun and Dong{-}Gyun Kim and Kyung{-}Do Park and Yeun{-}Jin Choi and Pervesh Kumar and Imran Ali and Dong{-}Gyu Kim and June{-}Mo Yoo and Hyung{-}Ki Huh and Yeon{-}Jae Jung and Seok{-}Kee Kim and YoungGun Pu and Kang{-}Yoon Lee}, title = {A Low-Power Analog Processor-in-Memory-Based Convolutional Neural Network for Biosensor Applications}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4555}, year = {2022}, url = {https://doi.org/10.3390/s22124555}, doi = {10.3390/S22124555}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ByunKPCKAKYHJKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoiSKTPAESPCE22, author = {Janghoon Choi and Jun Geun Shin and HyukSang Kwon and Yoon{-}Oh Tak and Hyeong Ju Park and Jin{-}Chul Ahn and Joo Beom Eom and Youngseok Seo and Jin Woo Park and Yongdoo Choi and Jonghyun Eom}, title = {Development of Intraoperative Near-Infrared Fluorescence Imaging System Using a Dual-CMOS Single Camera}, journal = {Sensors}, volume = {22}, number = {15}, pages = {5597}, year = {2022}, url = {https://doi.org/10.3390/s22155597}, doi = {10.3390/S22155597}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChoiSKTPAESPCE22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JangPSL22, author = {Jinhyeok Jang and Dana Park and Sangkyung Sung and Young Jae Lee}, title = {{HDOP} and {VDOP} Analysis in an Ideal Placement Environment for Dual GNSSs}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3475}, year = {2022}, url = {https://doi.org/10.3390/s22093475}, doi = {10.3390/S22093475}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JangPSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPKPLS22, author = {Jin{-}Kyum Kim and Byung{-}Seo Park and Woosuk Kim and Jung{-}Tak Park and Sol Lee and Young{-}Ho Seo}, title = {Robust Estimation and Optimized Transmission of 3D Feature Points for Computer Vision on Mobile Communication Network}, journal = {Sensors}, volume = {22}, number = {21}, pages = {8563}, year = {2022}, url = {https://doi.org/10.3390/s22218563}, doi = {10.3390/S22218563}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimPKPLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MochammadNKPLC22, author = {Mochamad Solichin and Yoojeong Noh and Young{-}Jin Kang and Sunhwa Park and Jangwoo Lee and Simon Chin}, title = {Multi-Filter Clustering Fusion for Feature Selection in Rotating Machinery Fault Classification}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2192}, year = {2022}, url = {https://doi.org/10.3390/s22062192}, doi = {10.3390/S22062192}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MochammadNKPLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/NamNLHP22, author = {Jinsu Nam and Duong Hong Nguyen and Seungjun Lee and Seok{-}Mo Heo and Junyoung Park}, title = {Simulation of Non-Carious Cervical Lesions by Computational Toothbrush Model: {A} Novel Three-Dimensional Discrete Element Method}, journal = {Sensors}, volume = {22}, number = {11}, pages = {4183}, year = {2022}, url = {https://doi.org/10.3390/s22114183}, doi = {10.3390/S22114183}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/NamNLHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/OhPCSC22, author = {Jin{-}Hyoung Oh and Jae Hyun Park and Heon Jae Cho and Hye Young Seo and Jong{-}Moon Chae}, title = {A {CBCT} Evaluation of Esthetic Preference Regarding the Perceived Facial Attractiveness of Young Korean Female Adults with a Normal Skeletal Pattern}, journal = {Sensors}, volume = {22}, number = {19}, pages = {7258}, year = {2022}, url = {https://doi.org/10.3390/s22197258}, doi = {10.3390/S22197258}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/OhPCSC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKKHKS22, author = {Byung{-}Seo Park and Woosuk Kim and Jin{-}Kyum Kim and Eui Seok Hwang and Dong{-}Wook Kim and Young{-}Ho Seo}, title = {3D Static Point Cloud Registration by Estimating Temporal Human Pose at Multiview}, journal = {Sensors}, volume = {22}, number = {3}, pages = {1097}, year = {2022}, url = {https://doi.org/10.3390/s22031097}, doi = {10.3390/S22031097}, timestamp = {Wed, 23 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkKKHKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKLC22, author = {Young{-}Jin Park and Ji{-}Eun Kim and Su{-}Hyeong Lee and Kyung{-}Hwan Cho}, title = {An Effective Design Formula for Single-Layer Printed Spiral Coils with the Maximum Quality Factor (Q-Factor) in the Megahertz Frequency Range}, journal = {Sensors}, volume = {22}, number = {20}, pages = {7761}, year = {2022}, url = {https://doi.org/10.3390/s22207761}, doi = {10.3390/S22207761}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkKLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkLHK22, author = {Minjae Park and Seok Young Lee and Jin Seok Hong and Nam Kyu Kwon}, title = {Deep Deterministic Policy Gradient-Based Autonomous Driving for Mobile Robots in Sparse Reward Environments}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9574}, year = {2022}, url = {https://doi.org/10.3390/s22249574}, doi = {10.3390/S22249574}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkLHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkLPKKS22, author = {Byung{-}Seo Park and Sol Lee and Jung{-}Tak Park and Jin{-}Kyum Kim and Woosuk Kim and Young{-}Ho Seo}, title = {Dynamic Reconstruction and Mesh Compression of 4D Volumetric Model Using Correspondence-Based Deformation for Streaming Service}, journal = {Sensors}, volume = {22}, number = {22}, pages = {8815}, year = {2022}, url = {https://doi.org/10.3390/s22228815}, doi = {10.3390/S22228815}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkLPKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkOK22, author = {Jin{-}Young Park and Jong{-}Seok Oh and Young{-}Choon Kim}, title = {Design and Control of Multi-Plate {MR} Clutch Featuring Friction and Magnetic Field Control Modes}, journal = {Sensors}, volume = {22}, number = {5}, pages = {1757}, year = {2022}, url = {https://doi.org/10.3390/s22051757}, doi = {10.3390/S22051757}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkOK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkP22, author = {Jinseong Park and Youngjin Park}, title = {Multiple-Actuator Fault Isolation Using a Minimal {\(\mathscr{l}\)}1-Norm Solution with Applications in Overactuated Electric Vehicles}, journal = {Sensors}, volume = {22}, number = {6}, pages = {2144}, year = {2022}, url = {https://doi.org/10.3390/s22062144}, doi = {10.3390/S22062144}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SeolPKPLK22, author = {Yu Jin Seol and So Hyun Park and Young Jae Kim and Young{-}Taek Park and Hee Young Lee and Kwang Gi Kim}, title = {The Development of an Automatic Rib Sequence Labeling System on Axial Computed Tomography Images with 3-Dimensional Region Growing}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4530}, year = {2022}, url = {https://doi.org/10.3390/s22124530}, doi = {10.3390/S22124530}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SeolPKPLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sigpro/ParkKKKS22, author = {Byung{-}Seo Park and Woosuk Kim and Jin{-}Kyum Kim and Dong{-}Wook Kim and Young{-}Ho Seo}, title = {Iterative extrinsic calibration using virtual viewpoint for 3D reconstruction}, journal = {Signal Process.}, volume = {197}, pages = {108535}, year = {2022}, url = {https://doi.org/10.1016/j.sigpro.2022.108535}, doi = {10.1016/J.SIGPRO.2022.108535}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sigpro/ParkKKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/ParkPKKK22, author = {Jin Woo Park and Jongil Park and Hansol Kim and Namhun Kim and Duck Young Kim}, title = {Assembly Part Positioning on Transformable Pin Array Fixture by Active Pin Maximization and Joining Point Alignment}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {19}, number = {2}, pages = {1047--1057}, year = {2022}, url = {https://doi.org/10.1109/TASE.2021.3050403}, doi = {10.1109/TASE.2021.3050403}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/ParkPKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ChangNKCKPLP22, author = {Seoyun Chang and Hyunkyung Na and Minseok Koo and Taewon Choi and Younghoon Kim and Sun Ah Park and Seung{-}Kyun Lee and Jinhyoung Park}, title = {Therapeutic Quadrisected Annular Array for Improving Magnetic Resonance Compatibility}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {1}, pages = {199--208}, year = {2022}, url = {https://doi.org/10.1109/TBME.2021.3090986}, doi = {10.1109/TBME.2021.3090986}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ChangNKCKPLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChoiCPSKPK22, author = {Jonghyuck Choi and Yoonjae Choi and Hyunsu Park and Jincheol Sim and Youngwook Kwon and Seungwoo Park and Chulwoo Kim}, title = {Analysis of a Multiwire, Multilevel, and Symbol Correlation Combination Scheme}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {69}, number = {8}, pages = {3416--3427}, year = {2022}, url = {https://doi.org/10.1109/TCSI.2022.3171796}, doi = {10.1109/TCSI.2022.3171796}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/ChoiCPSKPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KwonPCSCPK22, author = {Youngwook Kwon and Hyunsu Park and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Seungwoo Park and Chulwoo Kim}, title = {A 15 Gb/s Non-Return-to-Zero Transmitter With 1-Tap Pre-Emphasis Feed-Forward Equalizer for Low-Power Ground Terminated Memory Interfaces}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {6}, pages = {2737--2741}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2022.3159769}, doi = {10.1109/TCSII.2022.3159769}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KwonPCSCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ParkSCCKK22, author = {Hyunsu Park and Jincheol Sim and Yoonjae Choi and Jonghyuck Choi and Youngwook Kwon and Chulwoo Kim}, title = {A 2.4-8 GHz Phase Rotator Delay-Locked Loop Using Cascading Structure for Direct Input-Output Phase Detection}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {69}, number = {3}, pages = {794--798}, year = {2022}, url = {https://doi.org/10.1109/TCSII.2021.3113926}, doi = {10.1109/TCSII.2021.3113926}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ParkSCCKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/JeonKHCKPL22, author = {Sang{-}Bin Jeon and Soon{-}Uk Kwon and June{-}Young Hwang and Yong{-}Hun Cho and Hayeon Kim and Jinhyung Park and In{-}Kwon Lee}, title = {Dynamic optimal space partitioning for redirected walking in multi-user environment}, journal = {{ACM} Trans. Graph.}, volume = {41}, number = {4}, pages = {90:1--90:14}, year = {2022}, url = {https://doi.org/10.1145/3528223.3530113}, doi = {10.1145/3528223.3530113}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/JeonKHCKPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toit/JangPCL22, author = {Si Young Jang and Sung Kyu Park and Jin{-}Hee Cho and Dongman Lee}, title = {{CARES:} Context-Aware Trust Estimation for Realtime Crowdsensing Services in Vehicular Edge Networks}, journal = {{ACM} Trans. Internet Techn.}, volume = {22}, number = {4}, pages = {92:1--92:24}, year = {2022}, url = {https://doi.org/10.1145/3514243}, doi = {10.1145/3514243}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/toit/JangPCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimJMHP22, author = {Subin Kim and Sangsu Jang and Jin{-}Young Moon and Minjoo Han and Young Woo Park}, editor = {Florian 'Floyd' Mueller and Stefan Greuter and Rohit Ashok Khot and Penny Sweetser and Marianna Obrist}, title = {Slide2Remember: an Interactive Wall Frame Enriching Reminiscence Experiences by Providing Re-encounters of Taken Photos and Heard Music in a Similar Period}, booktitle = {{DIS} '22: Designing Interactive Systems Conference, Virtual Event, Australia, June 13 - 17, 2022}, pages = {288--300}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3532106.3533456}, doi = {10.1145/3532106.3533456}, timestamp = {Tue, 11 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/KimJMHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ParkPLJ22, author = {Jin{-}Hwi Park and Young{-}Jae Park and Junoh Lee and Hae{-}Gon Jeon}, title = {DevianceNet: Learning to Predict Deviance from a Large-Scale Geo-Tagged Dataset}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {12043--12052}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i11.21463}, doi = {10.1609/AAAI.V36I11.21463}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ParkPLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsac/HeoGJK0PKSL22, author = {Jeongyoon Heo and Sangwon Gil and Youngman Jung and Jinmok Kim and Donguk Kim and Woojin Park and Yongdae Kim and Kang G. Shin and Choong{-}Hoon Lee}, title = {Are There Wireless Hidden Cameras Spying on Me?}, booktitle = {Annual Computer Security Applications Conference, {ACSAC} 2022, Austin, TX, USA, December 5-9, 2022}, pages = {714--726}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3564625.3564632}, doi = {10.1145/3564625.3564632}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsac/HeoGJK0PKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/KimS22, author = {Youngjin Kim and Tarunraj Singh}, title = {Minimum Energy-Time Optimal Control of Wheeled Mobile Robots: Application to Parallel Parking}, booktitle = {American Control Conference, {ACC} 2022, Atlanta, GA, USA, June 8-10, 2022}, pages = {1188--1193}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ACC53348.2022.9867722}, doi = {10.23919/ACC53348.2022.9867722}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/amcc/KimS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/ParkLKJJBS22, author = {Sebeom Park and Soohyun Lee and Youngtaek Kim and Hyeon Jeon and Seokweon Jung and Jinwook Bok and Jinwook Seo}, title = {{VANT:} {A} Visual Analytics System for Refining Parallel Corpora in Neural Machine Translation}, booktitle = {15th {IEEE} Pacific Visualization Symposium, PacificVis 2022, Tsukuba, Japan, April 11-14, 2022}, pages = {181--185}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PacificVis53943.2022.00029}, doi = {10.1109/PACIFICVIS53943.2022.00029}, timestamp = {Mon, 13 Jun 2022 16:53:35 +0200}, biburl = {https://dblp.org/rec/conf/apvis/ParkLKJJBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/KimKLPSP22, author = {Eung Ju Kim and Yong Hun Kim and Joo Han Lee and So Jin Park and Jin Woo Song and So Young Park}, title = {Gyroscope Bias Estimation Method using Iterated State Transition Fusion Algorithm}, booktitle = {13th Asian Control Conference, {ASCC} 2022, Jeju, Korea, May 4-7, 2022}, pages = {2237--2240}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ASCC56756.2022.9828294}, doi = {10.23919/ASCC56756.2022.9828294}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/KimKLPSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bdc/BaeLPPKK22, author = {Joo{-}Eun Bae and Jin{-}Hyong Lee and Young{-}In Park and So{-}Hyang Park and Kyoung{-}Sook Kim and Kwanghoon Pio Kim}, title = {An Experimental Analysis on Mining Proportional Process Models from Process Logs}, booktitle = {{IEEE/ACM} International Conference on Big Data Computing, Applications and Technologies, {BDCAT} 2022, Vancouver, WA, USA, December 6-9, 2022}, pages = {297--305}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/BDCAT56447.2022.00049}, doi = {10.1109/BDCAT56447.2022.00049}, timestamp = {Sat, 25 Mar 2023 16:11:39 +0100}, biburl = {https://dblp.org/rec/conf/bdc/BaeLPPKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ParkKJL22, author = {Hyungbin Park and TaeYoung Kim and Younghwan Jin and SuKyoung Lee}, title = {IoT Edge Orchestration for Distributed {DNN} Service with Containerized Resource Allocation}, booktitle = {19th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2022, Las Vegas, NV, USA, January 8-11, 2022}, pages = {483--484}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CCNC49033.2022.9700698}, doi = {10.1109/CCNC49033.2022.9700698}, timestamp = {Mon, 28 Aug 2023 21:17:27 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/ParkKJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimPBSYSCULJSRJ22, author = {Joohwan Kim and Junyoung Park and Jindo Byun and Changkyu Seol and Chang Soo Yoon and EunSeok Shin and Hyunyoon Cho and Youngdo Um and Sucheol Lee and Hyungmin Jin and Kwangseob Shin and Hyunsub Norbert Rie and Minsu Jung and Jin{-}Hee Park and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and Hyejeong So and Sungduk Kim and Wansoo Park and Tae Young Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 60-Gb/s/pin single-ended {PAM-4} transmitter with timing skew training and low power data encoding in mimicked 10nm class {DRAM} process}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772814}, doi = {10.1109/CICC53496.2022.9772814}, timestamp = {Mon, 23 May 2022 16:36:20 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimPBSYSCULJSRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/KimCKPC22, author = {Daejin Kim and Youngin Cho and Dongmin Kim and Cheonbok Park and Jaegul Choo}, editor = {Mohammad Al Hasan and Li Xiong}, title = {Residual Correction in Real-Time Traffic Forecasting}, booktitle = {Proceedings of the 31st {ACM} International Conference on Information {\&} Knowledge Management, Atlanta, GA, USA, October 17-21, 2022}, pages = {962--971}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3511808.3557432}, doi = {10.1145/3511808.3557432}, timestamp = {Wed, 19 Oct 2022 12:52:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/KimCKPC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ChoiLHYPKL22, author = {Kanghyun Choi and Hyeyoon Lee and Deokki Hong and Joonsang Yu and Noseong Park and Youngsok Kim and Jinho Lee}, title = {It's All In the Teacher: Zero-Shot Quantization Brought Closer to the Teacher}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {8301--8311}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00813}, doi = {10.1109/CVPR52688.2022.00813}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ChoiLHYPKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LimYP022, author = {Jongin Lim and Sangdoo Yun and Seulki Park and Jin Young Choi}, title = {Hypergraph-Induced Semantic Tuplet Loss for Deep Metric Learning}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {212--222}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00031}, doi = {10.1109/CVPR52688.2022.00031}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/LimYP022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ParkHHY022, author = {Seulki Park and Youngkyu Hong and Byeongho Heo and Sangdoo Yun and Jin Young Choi}, title = {The Majority Can Help the Minority: Context-rich Minority Oversampling for Long-tailed Classification}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {6877--6886}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00676}, doi = {10.1109/CVPR52688.2022.00676}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ParkHHY022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/WangG00GT0CYJWG22, author = {Longguang Wang and Yulan Guo and Yingqian Wang and Juncheng Li and Shuhang Gu and Radu Timofte and Liangyu Chen and Xiaojie Chu and Wenqing Yu and Kai Jin and Zeqiang Wei and Sha Guo and Angulia Yang and Xiuzhuang Zhou and Guodong Guo and Bin Dai and Feiyue Peng and Huaxin Xiao and Shen Yan and Yuxiang Liu and Hanxiao Cai and Pu Cao and Yang Nie and Lu Yang and Qing Song and Xiaotao Hu and Jun Xu and Mai Xu and Junpeng Jing and Xin Deng and Qunliang Xing and Minglang Qiao and Zhenyu Guan and Wenlong Guo and Chenxu Peng and Zan Chen and Junyang Chen and Hao Li and Junbin Chen and Weijie Li and Zhijing Yang and Gen Li and Aijin Li and Lei Sun and Dafeng Zhang and Shizhuo Liu and Jiangtao Zhang and Yanyun Qu and Hao{-}Hsiang Yang and Zhi{-}Kai Huang and Wei{-}Ting Chen and Hua{-}En Chang and Sy{-}Yen Kuo and Qiaohui Liang and Jianxin Lin and Yijun Wang and Lianying Yin and Rongju Zhang and Wei Zhao and Peng Xiao and Rongjian Xu and Zhilu Zhang and Wangmeng Zuo and Hansheng Guo and Guangwei Gao and Tieyong Zeng and Huicheng Pi and Shunli Zhang and Joohyeok Kim and HyeonA Kim and Eunpil Park and Jae{-}Young Sim and Jucai Zhai and Pengcheng Zeng and Yang Liu and Chihao Ma and Yulin Huang and Junying Chen}, title = {{NTIRE} 2022 Challenge on Stereo Image Super-Resolution: Methods and Results}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2022, New Orleans, LA, USA, June 19-20, 2022}, pages = {905--918}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPRW56347.2022.00105}, doi = {10.1109/CVPRW56347.2022.00105}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/WangG00GT0CYJWG22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/HongCLYPKL22, author = {Deokki Hong and Kanghyun Choi and Hyeyoon Lee and Joonsang Yu and Noseong Park and Youngsok Kim and Jinho Lee}, editor = {Rob Oshana}, title = {Enabling hard constraints in differentiable neural network and accelerator co-exploration}, booktitle = {{DAC} '22: 59th {ACM/IEEE} Design Automation Conference, San Francisco, California, USA, July 10 - 14, 2022}, pages = {589--594}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3489517.3530507}, doi = {10.1145/3489517.3530507}, timestamp = {Thu, 25 Aug 2022 14:23:32 +0200}, biburl = {https://dblp.org/rec/conf/dac/HongCLYPKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and Lei Sun and Dafeng Zhang and Neo Yang and Fitz Liu and Jerry Zhao and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Shota Hirose and Kasidis Arunruangsirilert and Luo Ao and Ho Chun Leung and Andrew Wei and Jie Liu and Qiang Liu and Dahai Yu and Ao Li and Lei Luo and Ce Zhu and Seongmin Hong and Dongwon Park and Joonhee Lee and Byeong Hyun Lee and Seunggyu Lee and Se Young Chun and Ruiyuan He and Xuhao Jiang and Haihang Ruan and Xinjian Zhang and Jing Liu and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {92--129}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_5}, doi = {10.1007/978-3-031-25066-8\_5}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkKSP22, author = {Dohyun Park and Sun Jin Kim and Min Hye Shin and Young{-}Hoon Park}, title = {PRE-based Privacy-Sensitive Healthcare Data Management for Secure Sharing}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748450}, doi = {10.1109/ICEIC54506.2022.9748450}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkKSP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ParkL22, author = {Jin Young Park and Yang Kyu Lim}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {Metaverse-Driven Interactive Performing Arts Content Development}, booktitle = {{HCI} International 2022 - Late Breaking Posters - 24th International Conference on Human-Computer Interaction, {HCII} 2022, Virtual Event, June 26 - July 1, 2022, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {1654}, pages = {329--335}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-19679-9\_41}, doi = {10.1007/978-3-031-19679-9\_41}, timestamp = {Tue, 06 Dec 2022 09:48:11 +0100}, biburl = {https://dblp.org/rec/conf/hci/ParkL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimYBBBCKKKLLSS22, author = {Hyunsung Kim and Sungyeob Yoo and Jaewan Bae and Kyeongryeol Bong and Yoonho Boo and Karim Charfi and Hyo{-}Eun Kim and Hyun Suk Kim and Jinseok Kim and Byungjae Lee and Jaehwan Lee and Myeongbo Shim and Sungho Shin and Jeong Seok Woo and Joo{-}Young Kim and Sunghyun Park and Jinwook Oh}, title = {LightTrader : World's first AI-enabled High-Frequency Trading Solution with 16 {TFLOPS} / 64 {TOPS} Deep Learning Inference Accelerators}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895619}, doi = {10.1109/HCS55958.2022.9895619}, timestamp = {Wed, 05 Oct 2022 17:46:21 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimYBBBCKKKLLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKKSALKKSLRL22, author = {Sung Joo Park and H. Kim and K.{-}S. Kim and J. So and J. Ahn and W.{-}J. Lee and D. Kim and Young{-}Ju Kim and J. Seok and J.{-}G. Lee and H.{-}Y. Ryu and C. Y. Lee and J. Prout and K.{-}C. Ryoo and S.{-}J. Han and M.{-}K. Kook and J. S. Choi and J. Gim and Y. S. Ki and S. Ryu and C. Park and D.{-}G. Lee and J. Cho and H. Song and Jin{-}Yup Lee}, title = {Scaling of Memory Performance and Capacity with {CXL} Memory Expander}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--27}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895633}, doi = {10.1109/HCS55958.2022.9895633}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKKSALKKSLRL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ParkLC22, author = {Woong{-}Sub Park and Hyuk Lee and Jin{-}Young Choi}, title = {Formal Modeling of Smart Contract-based Trading System}, booktitle = {24th International Conference on Advanced Communication Technology, {ICACT} 2022, Pyeongchang, Korea, February 13-16, 2022}, pages = {48--52}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.23919/ICACT53585.2022.9728854}, doi = {10.23919/ICACT53585.2022.9728854}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/ParkLC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimPCM22, author = {Youngrok Kim and Sungwoong Park and Hee{-}Jin Choi and Sung{-}Wook Min}, title = {Refractive Index Measurement using Self-interference Incoherent Digital Holography}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2022, Las Vegas, NV, USA, January 7-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICCE53296.2022.9730776}, doi = {10.1109/ICCE53296.2022.9730776}, timestamp = {Wed, 23 Mar 2022 17:30:12 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KimPCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdm/ParkKOLK22, author = {Young{-}Jin Park and Donghyun Kim and Fr{\'{e}}d{\'{e}}ric Odermatt and Juho Lee and Kyung{-}Min Kim}, editor = {Xingquan Zhu and Sanjay Ranka and My T. Thai and Takashi Washio and Xindong Wu}, title = {A Large-Scale Ensemble Learning Framework for Demand Forecasting}, booktitle = {{IEEE} International Conference on Data Mining, {ICDM} 2022, Orlando, FL, USA, November 28 - Dec. 1, 2022}, pages = {378--387}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICDM54844.2022.00048}, doi = {10.1109/ICDM54844.2022.00048}, timestamp = {Thu, 02 Feb 2023 13:50:02 +0100}, biburl = {https://dblp.org/rec/conf/icdm/ParkKOLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ParkCP22, author = {Junyoung Park and Jinhyun Choo and Jinkyoo Park}, title = {Convergent Graph Solvers}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=ItkxLQU01lD}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ParkCP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ParkSSLAL22, author = {Jongjin Park and Younggyo Seo and Jinwoo Shin and Honglak Lee and Pieter Abbeel and Kimin Lee}, title = {{SURF:} Semi-supervised Reward Learning with Data Augmentation for Feedback-efficient Preference-based Reinforcement Learning}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=TfhfZLQ2EJO}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ParkSSLAL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/JinPMWW22, author = {Xiaoyong Jin and Youngsuk Park and Danielle C. Maddix and Hao Wang and Yuyang Wang}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Domain Adaptation for Time Series Forecasting via Attention Sharing}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {10280--10297}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/jin22d.html}, timestamp = {Wed, 17 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/JinPMWW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnp/ParkYCJLS22, author = {Taejune Park and Myoungsung You and Jian Cui and Youngjin Jin and Kilho Lee and Seungwon Shin}, title = {MECaNIC: SmartNIC to Assist {URLLC} Processing in Multi-Access Edge Computing Platforms}, booktitle = {30th {IEEE} International Conference on Network Protocols, {ICNP} 2022, Lexington, KY, USA, October 30 - Nov. 2, 2022}, pages = {1--12}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICNP55882.2022.9940263}, doi = {10.1109/ICNP55882.2022.9940263}, timestamp = {Thu, 24 Nov 2022 14:56:20 +0100}, biburl = {https://dblp.org/rec/conf/icnp/ParkYCJLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeeKPKP22, author = {Jonghoon Lee and Hyunjin Kim and Chulhee Park and Youngsoo Kim and Jong{-}Geun Park}, title = {AI-based Network Security Enhancement for 5G Industrial Internet of Things Environments}, booktitle = {13th International Conference on Information and Communication Technology Convergence, {ICTC} 2022, Jeju Island, Korea, Republic of, October 19-21, 2022}, pages = {971--975}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICTC55196.2022.9952490}, doi = {10.1109/ICTC55196.2022.9952490}, timestamp = {Wed, 30 Nov 2022 17:39:20 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeeKPKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/infocom/KimLLCPSKK22, author = {Jong{-}Min Kim and Ju{-}Hyung Lee and Yeongrok Lee and Hong{-}Seol Cha and Hyunsu Park and Jincheol Sim and Chulwoo Kim and Young{-}Chai Ko}, title = {Experimental Demonstration of RoFSO Transmission Combining {WLAN} Standard and {WDM-FSO} over 100m Distance}, booktitle = {{IEEE} {INFOCOM} 2022 - {IEEE} Conference on Computer Communications Workshops, {INFOCOM} 2022 - Workshops, New York, NY, USA, May 2-5, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/INFOCOMWKSHPS54753.2022.9798035}, doi = {10.1109/INFOCOMWKSHPS54753.2022.9798035}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/infocom/KimLLCPSKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipps/ParkKAAAHKL22, author = {Seongyeon Park and Hajin Kim and Tanveer Ahmad and Nauman Ahmed and Zaid Al{-}Ars and H. Peter Hofstee and Youngsok Kim and Jinho Lee}, title = {SALoBa: Maximizing Data Locality and Workload Balance for Fast Sequence Alignment on GPUs}, booktitle = {2022 {IEEE} International Parallel and Distributed Processing Symposium, {IPDPS} 2022, Lyon, France, May 30 - June 3, 2022}, pages = {728--738}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IPDPS53621.2022.00076}, doi = {10.1109/IPDPS53621.2022.00076}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipps/ParkKAAAHKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KimJCCCCLPBU22, author = {Seongkyung Kim and Ukjin Jung and Seungjin Choo and Kihyun Choi and Tae{-}Jin Chung and Shin{-}Young Chung and Euncheol Lee and Juhun Park and Deokhan Bae and Myungyoon Um}, title = {Middle-of-the-Line Reliability Characterization of Recessed-Diffusion-Contact Adopted sub-5nm Logic Technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {11}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764599}, doi = {10.1109/IRPS48227.2022.9764599}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/KimJCCCCLPBU22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/KwonJHCPL22, author = {Soon{-}Uk Kwon and Sang{-}Bin Jeon and June{-}Young Hwang and Yong{-}Hun Cho and Jinhyung Park and In{-}Kwon Lee}, editor = {Henry B. L. Duh and Ian Williams and Jens Grubert and J. Adam Jones and Jianmin Zheng}, title = {Infinite Virtual Space Exploration Using Space Tiling and Perceivable Reset at Fixed Positions}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2022, Singapore, October 17-21, 2022}, pages = {758--767}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISMAR55827.2022.00094}, doi = {10.1109/ISMAR55827.2022.00094}, timestamp = {Mon, 02 Jan 2023 17:22:09 +0100}, biburl = {https://dblp.org/rec/conf/ismar/KwonJHCPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/KimLLBKPCCA22, author = {Hyoung{-}Jung Kim and Jae{-}Hyuk Lee and Jae{-}Geun Lim and Jun{-}Ho Boo and Ho{-}Jin Kim and Seong{-}Bo Park and Youngdon Choi and Jung{-}Hwan Choi and Gil{-}Cho Ahn}, title = {A 430-MS/s 7-b Asynchronous {SAR} {ADC} With a 40 fF Input Sampling Capacitor}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {235--236}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031358}, doi = {10.1109/ISOCC56007.2022.10031358}, timestamp = {Wed, 15 Feb 2023 22:08:05 +0100}, biburl = {https://dblp.org/rec/conf/isocc/KimLLBKPCCA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/OhPCCPLBLAH22, author = {Young{-}Ju Oh and Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Su{-}Min Park and Chan{-}Ho Lee and Esun Baik and Chan{-}Kyu Lee and Ho{-}Chan Ahn and Sung{-}Wan Hong}, title = {A High Slew-rate Wide-range Capacitive Load Driving Buffer Amplifier with Correlated Dual Positive Feedback Loops}, booktitle = {19th International SoC Design Conference, {ISOCC} 2022, Gangneung-si, Republic of Korea, October 19-22, 2022}, pages = {231--232}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISOCC56007.2022.10031525}, doi = {10.1109/ISOCC56007.2022.10031525}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/OhPCCPLBLAH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCSCKSK22, author = {Hyunsu Park and Yoonjae Choi and Jincheol Sim and Jonghyuck Choi and Youngwook Kwon and Junyoung Song and Chulwoo Kim}, title = {A 0.385-pJ/bit 10-Gb/s TIA-Terminated Di-Code Transceiver with Edge-Delayed Equalization, ECC, and Mismatch Calibration for {HBM} Interfaces}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731740}, doi = {10.1109/ISSCC42614.2022.9731740}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCSCKSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongCYWLJKKLKKK22, author = {Ha{-}Il Song and Hanho Choi and Jun Young Yoo and Hyosup Won and Cheong Min Lee and Huxian Jin and Tai Young Kim and Woohyun Kwon and Kyoohyun Lim and Konan Kwon and Chang{-}Ahn Kim and Taeho Kim and Jun{-}Gi Jo and Jake Eu and Sean Park and Hyeon{-}Min Bae}, title = {A 50Gb/s {PAM-4} Bi-Directional Plastic Waveguide Link with Carrier Synchronization Using PI-Based Costas Loop}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731707}, doi = {10.1109/ISSCC42614.2022.9731707}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SongCYWLJKKLKKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobihoc/JinPL22, author = {Younghwan Jin and Hyungbin Park and SuKyoung Lee}, title = {Distributed inference for multiple {DNN} models in IoT environments: poster}, booktitle = {MobiHoc '22: The Twenty-third International Symposium on Theory, Algorithmic Foundations, and Protocol Design for Mobile Networks and Mobile Computing, Seoul, Republic of Korea, October 17 - 20, 2022}, pages = {281--282}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3492866.3561254}, doi = {10.1145/3492866.3561254}, timestamp = {Tue, 23 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobihoc/JinPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/LeeKCPHKKLS0K22, author = {Haeju Lee and Oh Joon Kwon and Yunseon Choi and Minho Park and Ran Han and Yoonhyung Kim and Jinhyeon Kim and Youngjune Lee and Haebin Shin and Kangwook Lee and Kee{-}Eung Kim}, editor = {Marine Carpuat and Marie{-}Catherine de Marneffe and Iv{\'{a}}n Vladimir Meza Ru{\'{\i}}z}, title = {Learning to Embed Multi-Modal Contexts for Situated Conversational Agents}, booktitle = {Findings of the Association for Computational Linguistics: {NAACL} 2022, Seattle, WA, United States, July 10-15, 2022}, pages = {813--830}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-naacl.61}, doi = {10.18653/V1/2022.FINDINGS-NAACL.61}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/LeeKCPHKKLS0K22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimPP22, author = {Minsu Kim and Junyoung Park and Jinkyoo Park}, editor = {Sanmi Koyejo and S. Mohamed and A. Agarwal and Danielle Belgrave and K. Cho and A. Oh}, title = {Sym-NCO: Leveraging Symmetricity for Neural Combinatorial Optimization}, booktitle = {Advances in Neural Information Processing Systems 35: Annual Conference on Neural Information Processing Systems 2022, NeurIPS 2022, New Orleans, LA, USA, November 28 - December 9, 2022}, year = {2022}, url = {http://papers.nips.cc/paper\_files/paper/2022/hash/0cddb777d3441326544e21b67f41bdc8-Abstract-Conference.html}, timestamp = {Mon, 08 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimPP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimPCLCSKCMKBLP22, author = {Younghoon Kim and Dong{-}Woo Park and Jinchul Cho and Eui Su Lee and Da{-}Hye Choi and Jun{-}Hwan Shin and Mugeon Kim and Seung{-}Hyun Cho and Sang{-}Rok Moon and Eon{-}Sang Kim and Yongsoon Baek and Donghoon Lee and Sang{-}Ho Park and Young Ahn Leem and Il{-}Min Lee and Kyung Hyun Park}, title = {Photonics-Based 300 GHz Band Wireless Terahertz Link using 10Gbps Directly-Modulated Monolithically-Integrated Novel Dual-Mode Laser as Beating Light Source}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2022, San Diego, CA, USA, March 6-10, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://ieeexplore.ieee.org/document/9748274}, timestamp = {Wed, 20 Apr 2022 08:53:41 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimPCLCSKCMKBLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/svcc/KanuriCPKK22, author = {Nikhil Sai Kanuri and Sang{-}Yoon Chang and Younghee Park and Jonghyun Kim and Jinoh Kim}, editor = {Luis Bathen and G{\"{o}}kay Saldamli and Xiaoyan Sun and Thomas H. Austin and Alex J. Nelson}, title = {Impact of Location Spoofing Attacks on Performance Prediction in Mobile Networks}, booktitle = {Silicon Valley Cybersecurity Conference - Third Conference, {SVCC} 2022, Virtual Event, August 17-19, 2022, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1683}, pages = {107--119}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-24049-2\_7}, doi = {10.1007/978-3-031-24049-2\_7}, timestamp = {Sat, 13 May 2023 01:07:19 +0200}, biburl = {https://dblp.org/rec/conf/svcc/KanuriCPKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tagml/ParkCJCW22, author = {Sun Woo Park and Yun Young Choi and Dosang Joe and U Jin Choi and Youngho Woo}, editor = {Alexander Cloninger and Timothy Doster and Tegan Emerson and Manohar Kaul and Ira Ktena and Henry Kvinge and Nina Miolane and Bastian Rice and Sarah Tymochko and Guy Wolf}, title = {The {PWLR} graph Representation: {A} Persistent Weisfeiler-Lehman Scheme with Random Walks for Graph Classification}, booktitle = {Topological, Algebraic and Geometric Learning Workshops 2022, 25-22 July 2022, Virtual}, series = {Proceedings of Machine Learning Research}, volume = {196}, pages = {287--297}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v196/park22a.html}, timestamp = {Tue, 05 Sep 2023 17:08:45 +0200}, biburl = {https://dblp.org/rec/conf/tagml/ParkCJCW22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KwonWKSCJKJJKEP22, author = {Woohyun Kwon and Hyosup Won and Taeho Kim and Ha{-}Il Song and Hanho Choi and Sejun Jeon and Soon{-}Won Kwon and Huxian Jin and Jun{-}Gi Jo and Tai Young Kim and Jake Eu and Jinho Park and Hyeon{-}Min Bae}, title = {A 25.78125Gbps Bi-directional Transceiver with Framed-Pulsewidth Modulation {(FPWM)} for Extended Reach Optical Links in 28nm {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {156--157}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830361}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830361}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/KwonWKSCJKJJKEP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeePPKDLLSOCCS22, author = {Kyung{-}Hoon Lee and Jinwoo Park and Younghyo Park and Byeongwoo Koo and Sunghan Do and Woongtaek Lim and Sungno Lee and Hyochul Shin and Eunhye Oh and Youngjae Cho and Michael Choi and Jongshin Shin}, title = {An Automotive {ASIL-D} Safety Mechanism in {ADC} and {DAC} for Communication Application}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {142--143}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830347}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830347}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/LeePPKDLLSOCCS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RieYBLKKPCUJSJC22, author = {Hyunsub Norbert Rie and Chang Soo Yoon and Jindo Byun and Sucheol Lee and Garam Kim and Joohwan Kim and Junyoung Park and Hyunyoon Cho and Youngdo Um and Hyungmin Jin and Kwangseob Shin and Minsu Jung and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and EunSeok Shin and Hyuk{-}Jun Kwon and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 40-Gb/s/pin Low-Voltage {POD} Single-Ended {PAM-4} Transceiver with Timing Calibrated Reset-less Slicer and Bidirectional T-Coil for {GDDR7} Application}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {148--149}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830507}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830507}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RieYBLKKPCUJSJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@incollection{DBLP:books/sp/22/AsadikouhanjaniZCPK22, author = {Mohammadreza Asadikouhanjani and Hao Zhang and Kyunghwan Cho and Young{-}Jin Park and Seok{-}Bum Ko}, editor = {Weiqiang Liu and Fabrizio Lombardi}, title = {Efficient Approximate {DNN} Accelerators for Edge Devices: An Experimental Study}, booktitle = {Approximate Computing}, pages = {481--508}, publisher = {Springer International Publishing}, year = {2022}, url = {https://doi.org/10.1007/978-3-030-98347-5\_19}, doi = {10.1007/978-3-030-98347-5\_19}, timestamp = {Mon, 06 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/22/AsadikouhanjaniZCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-10050, author = {Jongjin Park and Younggyo Seo and Jinwoo Shin and Honglak Lee and Pieter Abbeel and Kimin Lee}, title = {{SURF:} Semi-supervised Reward Learning with Data Augmentation for Feedback-efficient Preference-based Reinforcement Learning}, journal = {CoRR}, volume = {abs/2203.10050}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.10050}, doi = {10.48550/ARXIV.2203.10050}, eprinttype = {arXiv}, eprint = {2203.10050}, timestamp = {Mon, 28 Mar 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-10050.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-17008, author = {Kanghyun Choi and Hyeyoon Lee and Deokki Hong and Joonsang Yu and Noseong Park and Youngsok Kim and Jinho Lee}, title = {It's All In the Teacher: Zero-Shot Quantization Brought Closer to the Teacher}, journal = {CoRR}, volume = {abs/2203.17008}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.17008}, doi = {10.48550/ARXIV.2203.17008}, eprinttype = {arXiv}, eprint = {2203.17008}, timestamp = {Mon, 04 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-17008.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07421, author = {Jay Hwan Lee and Yeonsoo Kim and Younghyun Ryu and Wasuwee Sodsong and Hyunjun Jeon and Jinsik Park and Bernd Burgstaller and Bernhard Scholz}, title = {Cloud Matrix Machine for Julia and Implicit Parallelization for Matrix Languages}, journal = {CoRR}, volume = {abs/2205.07421}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07421}, doi = {10.48550/ARXIV.2205.07421}, eprinttype = {arXiv}, eprint = {2205.07421}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07421.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12630, author = {Youngjae Yu and Jiwan Chung and Heeseung Yun and Jack Hessel and Jae Sung Park and Ximing Lu and Prithviraj Ammanabrolu and Rowan Zellers and Ronan Le Bras and Gunhee Kim and Yejin Choi}, title = {Multimodal Knowledge Alignment with Reinforcement Learning}, journal = {CoRR}, volume = {abs/2205.12630}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12630}, doi = {10.48550/ARXIV.2205.12630}, eprinttype = {arXiv}, eprint = {2205.12630}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12630.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-13209, author = {Minsu Kim and Junyoung Park and Jinkyoo Park}, title = {Sym-NCO: Leveraging Symmetricity for Neural Combinatorial Optimization}, journal = {CoRR}, volume = {abs/2205.13209}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.13209}, doi = {10.48550/ARXIV.2205.13209}, eprinttype = {arXiv}, eprint = {2205.13209}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-13209.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-15894, author = {Kashif Rasul and Young{-}Jin Park and Max Nihl{\'{e}}n Ramstr{\"{o}}m and Kyung{-}Min Kim}, title = {{VQ-AR:} Vector Quantized Autoregressive Probabilistic Time Series Forecasting}, journal = {CoRR}, volume = {abs/2205.15894}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.15894}, doi = {10.48550/ARXIV.2205.15894}, eprinttype = {arXiv}, eprint = {2205.15894}, timestamp = {Wed, 01 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-15894.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-00694, author = {Junyoung Park and Federico Berto and Arec L. Jamgochian and Mykel J. Kochenderfer and Jinkyoo Park}, title = {Meta-SysId: {A} Meta-Learning Approach for Simultaneous Identification and Prediction}, journal = {CoRR}, volume = {abs/2206.00694}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.00694}, doi = {10.48550/ARXIV.2206.00694}, eprinttype = {arXiv}, eprint = {2206.00694}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-00694.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-02771, author = {Minjun Kim and Junyoung Park and Jinkyoo Park}, title = {Neuro {CROSS} exchange: Learning to {CROSS} exchange to solve realistic vehicle routing problems}, journal = {CoRR}, volume = {abs/2206.02771}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.02771}, doi = {10.48550/ARXIV.2206.02771}, eprinttype = {arXiv}, eprint = {2206.02771}, timestamp = {Tue, 14 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-02771.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-04272, author = {Kihyun Lee and Jinsub Park and Soyeon Choi and Yangjin Lee and Sol Lee and Joowon Jung and Jong{-}Young Lee and Farman Ullah and Zeeshan Tahir and Yong Soo Kim and Gwan{-}Hyoung Lee and Kwanpyo Kim}, title = {{STEM} image analysis based on deep learning: identification of vacancy defects and polymorphs of MoS\({}_{\mbox{2}}\)}, journal = {CoRR}, volume = {abs/2206.04272}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.04272}, doi = {10.48550/ARXIV.2206.04272}, eprinttype = {arXiv}, eprint = {2206.04272}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-04272.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2207-02516, author = {Su Young Kim and Hyeon{-}Jin Park and Kyuyong Shin and Kyung{-}Min Kim}, title = {Ask Me What You Need: Product Retrieval using Knowledge from {GPT-3}}, journal = {CoRR}, volume = {abs/2207.02516}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2207.02516}, doi = {10.48550/ARXIV.2207.02516}, eprinttype = {arXiv}, eprint = {2207.02516}, timestamp = {Wed, 13 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2207-02516.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-04204, author = {Yu{-}Ki Lee and Yue Hao and Zhonghua Xi and Woongbae Kim and Youngmin Park and Kyu{-}Jin Cho and Jyh{-}Ming Lien and In{-}Suk Choi}, title = {Origami-based Zygote structure enables pluripotent shape-transforming deployable structure}, journal = {CoRR}, volume = {abs/2208.04204}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.04204}, doi = {10.48550/ARXIV.2208.04204}, eprinttype = {arXiv}, eprint = {2208.04204}, timestamp = {Wed, 10 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-04204.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-11533, author = {Hye{-}Jin Park and Young{-}Ju Choi and Young{-}Woon Lee and Byung{-}Gyu Kim}, title = {ssFPN: Scale Sequence {(S2)} Feature Based-Feature Pyramid Network for Object Detection}, journal = {CoRR}, volume = {abs/2208.11533}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.11533}, doi = {10.48550/ARXIV.2208.11533}, eprinttype = {arXiv}, eprint = {2208.11533}, timestamp = {Tue, 30 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-11533.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-13427, author = {Sun Woo Park and Yun Young Choi and Dosang Joe and U Jin Choi and Youngho Woo}, title = {The {PWLR} Graph Representation: {A} Persistent Weisfeiler-Lehman scheme with Random Walks for Graph Classification}, journal = {CoRR}, volume = {abs/2208.13427}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.13427}, doi = {10.48550/ARXIV.2208.13427}, eprinttype = {arXiv}, eprint = {2208.13427}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-13427.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-05406, author = {Daejin Kim and Youngin Cho and Dongmin Kim and Cheonbok Park and Jaegul Choo}, title = {Residual Correction in Real-Time Traffic Forecasting}, journal = {CoRR}, volume = {abs/2209.05406}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.05406}, doi = {10.48550/ARXIV.2209.05406}, eprinttype = {arXiv}, eprint = {2209.05406}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-05406.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14480, author = {Jiwoong Park and Jisu Jeong and Kyung{-}Min Kim and Jin Young Choi}, title = {Meta-node: {A} Concise Approach to Effectively Learn Complex Relationships in Heterogeneous Graphs}, journal = {CoRR}, volume = {abs/2210.14480}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14480}, doi = {10.48550/ARXIV.2210.14480}, eprinttype = {arXiv}, eprint = {2210.14480}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-12443, author = {Haewon Jung and Junyoung Park and Jinkyoo Park}, title = {Learning context-aware adaptive solvers to accelerate quadratic programming}, journal = {CoRR}, volume = {abs/2211.12443}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.12443}, doi = {10.48550/ARXIV.2211.12443}, eprinttype = {arXiv}, eprint = {2211.12443}, timestamp = {Thu, 24 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-12443.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-08151, author = {Xiyuan Zhang and Xiaoyong Jin and Karthick Gopalswamy and Gaurav Gupta and Youngsuk Park and Xingjian Shi and Hao Wang and Danielle C. Maddix and Yuyang Wang}, title = {First De-Trend then Attend: Rethinking Attention for Time-Series Forecasting}, journal = {CoRR}, volume = {abs/2212.08151}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.08151}, doi = {10.48550/ARXIV.2212.08151}, eprinttype = {arXiv}, eprint = {2212.08151}, timestamp = {Fri, 05 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-08151.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AnCPJ21, author = {Jun Ho An and Jin Young Chun and Hyun Kook Park and Seong{-}Ook Jung}, title = {All-Bit-Line Read Scheme With Locking Bit-Line and Amplifying Sense Node in {NAND} Flash}, journal = {{IEEE} Access}, volume = {9}, pages = {28001--28011}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3058391}, doi = {10.1109/ACCESS.2021.3058391}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AnCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoKKPLKK21, author = {Jeonghoon Cho and Myeong Woo Kim and Youngjin Kim and Jeong{-}Sun Park and Dohee Lee and Young{-}Sik Kim and Jae Joon Kim}, title = {Seawater Battery-Based Wireless Marine Buoy System With Battery Degradation Prediction and Multiple Power Optimization Capabilities}, journal = {{IEEE} Access}, volume = {9}, pages = {104104--104114}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3098846}, doi = {10.1109/ACCESS.2021.3098846}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoKKPLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCJP21, author = {Hyungjun Kim and Youngbeen Chung and Jie Jin and Junhong Park}, title = {Manifestation of Flexural Vibration Modes of Rails by the Phase-Based Magnification Method}, journal = {{IEEE} Access}, volume = {9}, pages = {98121--98131}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3095619}, doi = {10.1109/ACCESS.2021.3095619}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimCJP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPJ21, author = {Jinsoo Kim and Jahng Hyon Park and Kyung Young Jhang}, title = {Decoupled Longitudinal and Lateral Vehicle Control Based Autonomous Lane Change System Adaptable to Driving Surroundings}, journal = {{IEEE} Access}, volume = {9}, pages = {4315--4334}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3047189}, doi = {10.1109/ACCESS.2020.3047189}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonKLKPSKPBRM21, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep Reinforcement Learning for Guidewire Navigation in Coronary Artery Phantom}, journal = {{IEEE} Access}, volume = {9}, pages = {166409--166422}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3135277}, doi = {10.1109/ACCESS.2021.3135277}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KweonKLKPSKPBRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonYPPLLJHK21, author = {Soon{-}Jae Kweon and Woojin Yun and Hyunwoo Park and Jeong{-}Ho Park and Jung Hoon Lee and Jin Lee and Minkyu Je and Sohmyung Ha and Choul{-}Young Kim}, title = {A Precise Lesion Localization System Using a Magnetometer With Real-Time Baseline Cancellation for Laparoscopic Surgery}, journal = {{IEEE} Access}, volume = {9}, pages = {131648--131657}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3113566}, doi = {10.1109/ACCESS.2021.3113566}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KweonYPPLLJHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeePLKJK21, author = {Gihyoun Lee and Ji{-}Su Park and Jungsoo Lee and Jinuk Kim and Young{-}Jin Jung and Yun{-}Hee Kim}, title = {OptoNet {II:} An Advanced MATLAB-Based Toolbox for Functional Cortical Connectivity Analysis With Surrogate Tests Using fNIRS}, journal = {{IEEE} Access}, volume = {9}, pages = {15983--15991}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3042808}, doi = {10.1109/ACCESS.2020.3042808}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeePLKJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/MochammadKNPA21, author = {Mochamad Solichin and Young{-}Jin Kang and Yoojeong Noh and Sunhwa Park and Byeongha Ahn}, title = {Stable Hybrid Feature Selection Method for Compressor Fault Diagnosis}, journal = {{IEEE} Access}, volume = {9}, pages = {97415--97429}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3092884}, doi = {10.1109/ACCESS.2021.3092884}, timestamp = {Wed, 26 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/MochammadKNPA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkCLAMKYSLJBK21, author = {Jin Park and Min Su Cho and Sang Ho Lee and Hee Dae An and So Ra Min and Geon Uk Kim and Young Jun Yoon and Jae Hwa Seo and Sin{-}Hyung Lee and Jaewon Jang and Jin{-}Hyuk Bae and In Man Kang}, title = {Design of Capacitorless {DRAM} Based on Polycrystalline Silicon Nanotube Structure}, journal = {{IEEE} Access}, volume = {9}, pages = {163675--163685}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3133572}, doi = {10.1109/ACCESS.2021.3133572}, timestamp = {Sat, 25 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkCLAMKYSLJBK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKKSK21, author = {Seonghwan Park and Junsik Kim and Sangjin Kim and Doug Young Suh and Kyuheon Kim}, title = {Frame Control-Based Terrestrial {UHD} {(ATSC} 3.0) Buffer Model for Dynamic Content Insertion}, journal = {{IEEE} Access}, volume = {9}, pages = {61359--61368}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3073463}, doi = {10.1109/ACCESS.2021.3073463}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKKSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SunKPCHS21, author = {Siyu Sun and Ja{-}Young Kwon and Yejin Park and Hyun Cheol Cho and Chang Min Hyun and Jin Keun Seo}, title = {Complementary Network for Accurate Amniotic Fluid Segmentation From Ultrasound Images}, journal = {{IEEE} Access}, volume = {9}, pages = {108223--108235}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3098844}, doi = {10.1109/ACCESS.2021.3098844}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SunKPCHS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WangPKLC21, author = {Dingyang Wang and Junyoung Park and Hee{-}Jin Kim and Kounseok Lee and Sung Ho Cho}, title = {Noncontact Extraction of Biomechanical Parameters in Gait Analysis Using a Multi-Input and Multi-Output Radar Sensor}, journal = {{IEEE} Access}, volume = {9}, pages = {138496--138508}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3117985}, doi = {10.1109/ACCESS.2021.3117985}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/WangPKLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodatamining/PolakaRPL21, author = {Inese Polaka and Danute Razuka{-}Ebela and Jin Young Park and Marcis Leja}, title = {Taxonomy-based data representation for data mining: an example of the magnitude of risk associated with H. pylori infection}, journal = {BioData Min.}, volume = {14}, number = {1}, year = {2021}, url = {https://doi.org/10.1186/s13040-021-00271-w}, doi = {10.1186/S13040-021-00271-W}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/biodatamining/PolakaRPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/AnPMLY21, author = {Young{-}Sil An and Do Young Park and Byoung{-}Hyun Min and Su Jin Lee and Joon{-}Kee Yoon}, title = {Comparison of bone single-photon emission computed tomography {(SPECT)/CT} and bone scintigraphy in assessing knee joints}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {60}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00590-8}, doi = {10.1186/S12880-021-00590-8}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/AnPMLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimCCPYC21, author = {Tong Min Kim and Wonseo Choi and In{-}Young Choi and Sang Jun Park and Kun{-}Ho Yoon and Dong{-}Jin Chang}, title = {Semi-AI and Full-AI digitizer: The ways to digitalize visual field big data}, journal = {Comput. Methods Programs Biomed.}, volume = {207}, pages = {106168}, year = {2021}, url = {https://doi.org/10.1016/j.cmpb.2021.106168}, doi = {10.1016/J.CMPB.2021.106168}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimCCPYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dsj/KimPW21, author = {Jinhak Kim and Young Woong Park and Alvin J. Williams}, title = {A Mathematical Programming Approach for Imputation of Unknown Journal Ratings in a Combined Journal Quality List}, journal = {Decis. Sci.}, volume = {52}, number = {2}, pages = {455--482}, year = {2021}, url = {https://doi.org/10.1111/deci.12400}, doi = {10.1111/DECI.12400}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dsj/KimPW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ewc/JinPKP21, author = {Seung{-}Seop Jin and Young{-}Soo Park and SungTae Kim and Young{-}Hwan Park}, title = {Model updating based on mixed-integer nonlinear programming under model-form uncertainty in finite element model}, journal = {Eng. Comput.}, volume = {37}, number = {4}, pages = {3699--3725}, year = {2021}, url = {https://doi.org/10.1007/s00366-020-01030-x}, doi = {10.1007/S00366-020-01030-X}, timestamp = {Tue, 05 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ewc/JinPKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicetd/ParkKCKP21, author = {Sungho Park and Youngjun Kim and Hyungoo Choi and Yeunwoong Kyung and Jinwoo Park}, title = {{HTTP} DDoS Flooding Attack Mitigation in Software-Defined Networking}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {104-D}, number = {9}, pages = {1496--1499}, year = {2021}, url = {https://doi.org/10.1587/transinf.2021edl8022}, doi = {10.1587/TRANSINF.2021EDL8022}, timestamp = {Thu, 12 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicetd/ParkKCKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ParkCKKP21, author = {Junyoung Park and Jaehyeong Chun and Sang Hun Kim and Youngkook Kim and Jinkyoo Park}, title = {Learning to schedule job-shop problems: representation and policy learning using graph neural network and reinforcement learning}, journal = {Int. J. Prod. Res.}, volume = {59}, number = {11}, pages = {3360--3377}, year = {2021}, url = {https://doi.org/10.1080/00207543.2020.1870013}, doi = {10.1080/00207543.2020.1870013}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijpr/ParkCKKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isr/LeePGY21, author = {Jae Kyu Lee and Jinsoo Park and Shirley Gregor and Victoria Y. Yoon}, title = {Axiomatic Theories and Improving the Relevance of Information Systems Research}, journal = {Inf. Syst. Res.}, volume = {32}, number = {1}, pages = {147--171}, year = {2021}, url = {https://doi.org/10.1287/isre.2020.0958}, doi = {10.1287/ISRE.2020.0958}, timestamp = {Sun, 16 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isr/LeePGY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jacic/ParkPC21, author = {Young{-}Jin Park and Soon{-}Seo Park and Han{-}Lim Choi}, title = {Bayesian Nonparametric State-Space Model for System Identification with Distinguishable Multimodal Dynamics}, journal = {J. Aerosp. Inf. Syst.}, volume = {18}, number = {3}, pages = {116--131}, year = {2021}, url = {https://doi.org/10.2514/1.i010891}, doi = {10.2514/1.I010891}, timestamp = {Sat, 23 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jacic/ParkPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChunKRPOBKSLHPC21, author = {Ki Chul Chun and Yong{-}Ki Kim and Yesin Ryu and Jaewon Park and Chi Sung Oh and Young{-}Yong Byun and So{-}Young Kim and Dong{-}Hak Shin and Jun Gyu Lee and Byung{-}Kyu Ho and Min{-}Sang Park and Seong{-}Jin Cho and Seunghan Woo and Byoung{-}Mo Moon and Beomyong Kil and Sungoh Ahn and Jae Hoon Lee and Sooyoung Kim and Seouk{-}Kyu Choi and Jae{-}Seung Jeong and Sung{-}Gi Ahn and Jihye Kim and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Jung{-}Bae Lee}, title = {A 16-GB 640-GB/s {HBM2E} {DRAM} With a Data-Bus Window Extension Technique and a Synergetic On-Die {ECC} Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {199--211}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3027360}, doi = {10.1109/JSSC.2020.3027360}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChunKRPOBKSLHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkSCCKPPCKJKC21, author = {Hyunsu Park and Jincheol Sim and Yoonjae Choi and Jonghyuck Choi and Youngwook Kwon and Seungwoo Park and Gyutae Park and Jinil Chung and Kyeong{-}Min Kim and Hae{-}Kang Jung and Hyungsoo Kim and Junhyun Chun and Chulwoo Kim}, title = {A 1.3-4-GHz Quadrature-Phase Digital {DLL} Using Sequential Delay Control and Reconfigurable Delay Line}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {6}, pages = {1886--1896}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3045168}, doi = {10.1109/JSSC.2020.3045168}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkSCCKPPCKJKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/LeeP21, author = {Jin Young Lee and Sanghyo Park}, title = {Adaptive fractional motion and disparity estimation skipping in {MV-HEVC}}, journal = {J. Vis. Commun. Image Represent.}, volume = {79}, pages = {103223}, year = {2021}, url = {https://doi.org/10.1016/j.jvcir.2021.103223}, doi = {10.1016/J.JVCIR.2021.103223}, timestamp = {Thu, 09 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/LeeP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ChoSHKKPS21, author = {Hyun Cheol Cho and Siyu Sun and Chang Min Hyun and Ja{-}Young Kwon and Bukweon Kim and Yejin Park and Jin Keun Seo}, title = {Automated ultrasound assessment of amniotic fluid index using deep learning}, journal = {Medical Image Anal.}, volume = {69}, pages = {101951}, year = {2021}, url = {https://doi.org/10.1016/j.media.2020.101951}, doi = {10.1016/J.MEDIA.2020.101951}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/ChoSHKKPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimBLPPBS21, author = {Mansu Kim and Jingxuan Bao and Kefei Liu and Bo{-}yong Park and Hyunjin Park and Jae Young Baik and Li Shen}, title = {A structural enriched functional network: An application to predict brain cognitive performance}, journal = {Medical Image Anal.}, volume = {71}, pages = {102026}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.102026}, doi = {10.1016/J.MEDIA.2021.102026}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimBLPPBS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ZhongLWRKKBNBTP21, author = {Aoxiao Zhong and Xiang Li and Dufan Wu and Hui Ren and Kyung Sang Kim and Young{-}Gon Kim and Varun Buch and Nir Neumark and Bernardo Bizzo and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Ning Guo and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep metric learning-based image retrieval system for chest radiograph and its clinical applications in {COVID-19}}, journal = {Medical Image Anal.}, volume = {70}, pages = {101993}, year = {2021}, url = {https://doi.org/10.1016/j.media.2021.101993}, doi = {10.1016/J.MEDIA.2021.101993}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mia/ZhongLWRKKBNBTP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/KangBCKHLPYYCYC21, author = {Si{-}Hyuck Kang and Hyunyoung Baek and Jihoon Cho and Seok Kim and Hee Hwang and Wonjae Lee and Jin Joo Park and Yeonyee E. Yoon and Chang{-}Hwan Yoon and Young{-}Seok Cho and Tae{-}Jin Youn and Goo{-}Yeong Cho and In{-}Ho Chae and Dong{-}Ju Choi and Sooyoung Yoo and Jung{-}Won Suh}, title = {Management of cardiovascular disease using an mHealth tool: a randomized clinical trial}, journal = {npj Digit. Medicine}, volume = {4}, year = {2021}, url = {https://doi.org/10.1038/s41746-021-00535-z}, doi = {10.1038/S41746-021-00535-Z}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/KangBCKHLPYYCYC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LiuXLFPC21, author = {Tianxin Liu and Haisheng Xia and Dae{-}Young Lee and Amir Firouzeh and Yong{-}Lae Park and Kyu{-}Jin Cho}, title = {A Positive Pressure Jamming Based Variable Stiffness Structure and its Application on Wearable Robots}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {6}, number = {4}, pages = {8078--8085}, year = {2021}, url = {https://doi.org/10.1109/LRA.2021.3097255}, doi = {10.1109/LRA.2021.3097255}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/LiuXLFPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkLJK21, author = {Jinku Park and Sungjae Lee and Young{-}Heon Jo and Hyun{-}Cheol Kim}, title = {Phytoplankton Bloom Changes under Extreme Geophysical Conditions in the Northern Bering Sea and the Southern Chukchi Sea}, journal = {Remote. Sens.}, volume = {13}, number = {20}, pages = {4035}, year = {2021}, url = {https://doi.org/10.3390/rs13204035}, doi = {10.3390/RS13204035}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkLJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/RheePCL21, author = {Ji{-}Young Rhee and Keon{-}Tae Park and Jin{-}Woo Cho and Sangyum Lee}, title = {A Study of the Application and the Limitations of {GPR} Investigation on Underground Survey of the Korean Expressways}, journal = {Remote. Sens.}, volume = {13}, number = {9}, pages = {1805}, year = {2021}, url = {https://doi.org/10.3390/rs13091805}, doi = {10.3390/RS13091805}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/RheePCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnLYPKK21, author = {Hyo{-}Won Ahn and Soo{-}Yeon Lee and Hobeen Yu and Jin{-}Young Park and Kyung{-}A. Kim and Su{-}Jung Kim}, title = {Force Distribution of a Novel Core-Reinforced Multilayered Mandibular Advancement Device}, journal = {Sensors}, volume = {21}, number = {10}, pages = {3383}, year = {2021}, url = {https://doi.org/10.3390/s21103383}, doi = {10.3390/S21103383}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnLYPKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungJJLKCYPJ21, author = {Youngbeen Chung and Jie Jin and Hyun In Jo and Hyun Lee and Sang{-}Heon Kim and Sung Jun Chung and Ho Joo Yoon and Junhong Park and Jinyong Jeon}, title = {Diagnosis of Pneumonia by Cough Sounds Analyzed with Statistical Features and {AI}}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7036}, year = {2021}, url = {https://doi.org/10.3390/s21217036}, doi = {10.3390/S21217036}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChungJJLKCYPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HussainSKHP21, author = {Iqram Hussain and Young Seo and Chang Ho Kim and Chee Meng Benjamin Ho and Se Jin Park}, title = {Quantifying Physiological Biomarkers of a Microwave Brain Stimulation Device}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1896}, year = {2021}, url = {https://doi.org/10.3390/s21051896}, doi = {10.3390/S21051896}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HussainSKHP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HussainYP21, author = {Iqram Hussain and Seo Young and Se Jin Park}, title = {Driving-Induced Neurological Biomarkers in an Advanced Driver-Assistance System}, journal = {Sensors}, volume = {21}, number = {21}, pages = {6985}, year = {2021}, url = {https://doi.org/10.3390/s21216985}, doi = {10.3390/S21216985}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HussainYP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinCKHJHPJ21, author = {Jie Jin and Youngbeen Chung and Wanseung Kim and Yonggi Heo and Jinyong Jeon and Jeongkyu Hoh and Junhong Park and Jung Ki Jo}, title = {Classification of Bladder Emptying Patterns by {LSTM} Neural Network Trained Using Acoustic Signatures}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5328}, year = {2021}, url = {https://doi.org/10.3390/s21165328}, doi = {10.3390/S21165328}, timestamp = {Fri, 24 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JinCKHJHPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungLKSPJ21, author = {Wongyu Jung and Seokbeen Lim and Youngjong Kwak and Jeongeun Sim and Jinsick Park and Dongpyo Jang}, title = {The Influence of Frequency Bands and Brain Region on ECoG-Based {BMI} Learning Performance}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6729}, year = {2021}, url = {https://doi.org/10.3390/s21206729}, doi = {10.3390/S21206729}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JungLKSPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimICOP21, author = {Ji{-}Tae Kim and Dong{-}U. Im and Hyuek{-}Jin Choi and Jae{-}Won Oh and Young{-}Jun Park}, title = {Development and Performance Evaluation of a Bevameter for Measuring Soil Strength}, journal = {Sensors}, volume = {21}, number = {4}, pages = {1541}, year = {2021}, url = {https://doi.org/10.3390/s21041541}, doi = {10.3390/S21041541}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimICOP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPYPKHKK21, author = {Kyeeun Kim and Siwoong Park and Chanil Yeo and Hyoung{-}Jun Park and Hyunjin Kim and Young Soon Heo and Hyun Seo Kang and Kyungsoo Kim}, title = {A Sensing Device with the Optical Temperature Sensors-Based Quad-RX Module and a Security Module}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1620}, year = {2021}, url = {https://doi.org/10.3390/s21051620}, doi = {10.3390/S21051620}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimPYPKHKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwakSPK21, author = {Donghoon Kwak and Guk{-}Jin Son and Mi{-}Kyung Park and Young{-}Duk Kim}, title = {Rapid Foreign Object Detection System on Seaweed Using {VNIR} Hyperspectral Imaging}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5279}, year = {2021}, url = {https://doi.org/10.3390/s21165279}, doi = {10.3390/S21165279}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KwakSPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePYKLSKK21, author = {Ahyeong Lee and Saetbyeol Park and Jinyoung Yoo and Jungsook Kang and Jongguk Lim and Youngwook Seo and Balgeum Kim and Giyoung Kim}, title = {Detecting Bacterial Biofilms Using Fluorescence Hyperspectral Imaging and Various Discriminant Analyses}, journal = {Sensors}, volume = {21}, number = {6}, pages = {2213}, year = {2021}, url = {https://doi.org/10.3390/s21062213}, doi = {10.3390/S21062213}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePYKLSKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MaiYPKCKKML21, author = {Thi Thao Mai and Su Woong Yoo and Suhyun Park and Jin Young Kim and Kang{-}Ho Choi and Chulhong Kim and Seong Young Kwon and Jung{-}Joon Min and Changho Lee}, title = {In Vivo Quantitative Vasculature Segmentation and Assessment for Photodynamic Therapy Process Monitoring Using Photoacoustic Microscopy}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1776}, year = {2021}, url = {https://doi.org/10.3390/s21051776}, doi = {10.3390/S21051776}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/MaiYPKCKKML21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkCOK21, author = {Jae{-}Hyun Park and Jin{-}Young Choi and Song Hee Oh and Seong{-}hun Kim}, title = {Three-Dimensional Digital Superimposition of Orthodontic Bracket Position by Using a Computer-Aided Transfer Jig System: An Accuracy Analysis}, journal = {Sensors}, volume = {21}, number = {17}, pages = {5911}, year = {2021}, url = {https://doi.org/10.3390/s21175911}, doi = {10.3390/S21175911}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkCOK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJSK21, author = {Yu Jin Park and Seol Young Jung and Tae Yong Son and Soon Ju Kang}, title = {Self-Organizing IoT Device-Based Smart Diagnosing Assistance System for Activities of Daily Living}, journal = {Sensors}, volume = {21}, number = {3}, pages = {785}, year = {2021}, url = {https://doi.org/10.3390/s21030785}, doi = {10.3390/S21030785}, timestamp = {Tue, 02 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkJSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ChoiLPCSKK21, author = {Yoonjae Choi and Yeonho Lee and Hyunsu Park and Jonghyuck Choi and Jincheol Sim and Youngwook Kwon and Chulwoo Kim}, title = {A 0.99-pJ/b 15-Gb/s Counter-Based Adaptive Equalizer Using Single Comparator in 28-nm {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {68}, number = {10}, pages = {3189--3193}, year = {2021}, url = {https://doi.org/10.1109/TCSII.2021.3073697}, doi = {10.1109/TCSII.2021.3073697}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/ChoiLPCSKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KimLPKL21, author = {Junsoo Kim and Jae{-}Sung Lim and Jae{-}Young Park and Young{-}Jin Kim and Hangtian Lei}, title = {A New Measure of Operating Speed and Consistency of Digital Protection Instruments Based on {IEC} 60255}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--14}, year = {2021}, url = {https://doi.org/10.1109/TIM.2021.3118096}, doi = {10.1109/TIM.2021.3118096}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/KimLPKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ParkBKL21, author = {Jae{-}Young Park and Jaepil Ban and Young{-}Jin Kim and Xiaonan Lu}, title = {Supplementary Feedforward Control of DGs in a Reconfigurable Microgrid for Load Restoration}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {6}, pages = {4641--4654}, year = {2021}, url = {https://doi.org/10.1109/TSG.2021.3098570}, doi = {10.1109/TSG.2021.3098570}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsg/ParkBKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsg/ParkKL21, author = {Jae{-}Young Park and Young{-}Jin Kim and Xiaonan Lu}, title = {New Analytical Model of Microgrid Frequency and Voltage Variations Due to Network Reconfiguration}, journal = {{IEEE} Trans. Smart Grid}, volume = {12}, number = {1}, pages = {905--908}, year = {2021}, url = {https://doi.org/10.1109/TSG.2020.3018632}, doi = {10.1109/TSG.2020.3018632}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tsg/ParkKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/JungCPKKS21, author = {Seokweon Jung and Kiroong Choe and Seokhyeon Park and Hyung{-}Kwon Ko and Youngtaek Kim and Jinwook Seo}, title = {Mixed-Initiative Approach to Extract Data from Pictures of Medical Invoice}, booktitle = {14th {IEEE} Pacific Visualization Symposium, PacificVis 2021, Tianjin, China, April 19-21, 2021}, pages = {111--115}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/PacificVis52677.2021.00022}, doi = {10.1109/PACIFICVIS52677.2021.00022}, timestamp = {Wed, 09 Jun 2021 09:35:54 +0200}, biburl = {https://dblp.org/rec/conf/apvis/JungCPKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JinBCYPKCCKL21, author = {Hyungmin Jin and Jindo Byun and Hyunyoon Cho and Hojun Yoon and Jin{-}Hee Park and Kyoungsoo Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 24Gb/s/pin {PAM-4} Built Out Tester chip enabling {PAM-4} chips test with {NRZ} interface {ATE}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634750}, doi = {10.1109/A-SSCC53895.2021.9634750}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JinBCYPKCCKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKKJPKCSJJYLS21, author = {Tongsung Kim and Anil Kavala and Hyunsuk Kang and Youngmin Jo and Jungjune Park and Kyoungtae Kang and Byung{-}Kwan Chun and Dong{-}Ho Shin and Dong{-}Su Jang and Byunghoon Jeong and Chiweon Yoon and Jinyub Lee and Jai Hyuk Song}, title = {A Hybrid {ZQ} Calibration Design for High-Density Flash Memory Toggle 5.0 High-speed Interface}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634722}, doi = {10.1109/A-SSCC53895.2021.9634722}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimKKJPKCSJJYLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ParkCC021, author = {Jiwoong Park and Junho Cho and Hyung Jin Chang and Jin Young Choi}, title = {Unsupervised Hyperbolic Representation Learning via Message Passing Auto-Encoders}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition, {CVPR} 2021, virtual, June 19-25, 2021}, pages = {5516--5526}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021/html/Park\_Unsupervised\_Hyperbolic\_Representation\_Learning\_via\_Message\_Passing\_Auto-Encoders\_CVPR\_2021\_paper.html}, doi = {10.1109/CVPR46437.2021.00547}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ParkCC021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcase/ParkJL21, author = {Sooyoung Park and Youngho Jeong and Taejin Lee}, editor = {Frederic Font and Annamaria Mesaros and Daniel P. W. Ellis and Eduardo Fonseca and Magdalena Fuentes and Benjamin Elizalde}, title = {Many-to-Many Audio Spectrogram Tansformer: Transformer for Sound Event Localization and Detection}, booktitle = {Proceedings of the 6th Workshop on Detection and Classification of Acoustic Scenes and Events 2021 {(DCASE} 2021), Online, November 15-19, 2021}, pages = {105--109}, year = {2021}, url = {http://dcase.community/documents/workshop2021/proceedings/DCASE2021Workshop\_Park\_39.pdf}, timestamp = {Sat, 09 Apr 2022 12:45:44 +0200}, biburl = {https://dblp.org/rec/conf/dcase/ParkJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KoPOJKB21, author = {Goo{-}Han Ko and Jun Young Park and Kwang{-}Il Oh and Zhenbo Jin and Seong{-}Hoon Kim and Donghyun Baek}, title = {Multi-Mode Signal Generator for K-band Radar Sensor Applications}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2021, Jeju, South Korea, January 31 - February 3, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICEIC51217.2021.9369803}, doi = {10.1109/ICEIC51217.2021.9369803}, timestamp = {Fri, 26 Mar 2021 08:44:08 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KoPOJKB21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimKLLKJ0KKSLJL21, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {3405--3424}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.274}, doi = {10.18653/V1/2021.EMNLP-MAIN.274}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/KimKLLKJ0KKSLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/YoonJPBJCKLCCCK21, author = {Hojun Yoon and Wonjoo Jung and Jaewoo Park and Jindo Byun and Hyungmin Jin and Hyunyoon Cho and Youngmin Kim and Baek{-}Jin Lim and Young{-}Chul Cho and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Changsik Yoo and Sang{-}Hyun Lee}, title = {A 3.2-12.8Gb/s Duty-Cycle Compensating Quadrature Error Corrector for {DRAM} Interfaces, With Fast Locking and Low Power Characteristics}, booktitle = {47th {ESSCIRC} 2021 - European Solid State Circuits Conference, {ESSCIR} 2021, Grenoble, France, September 13-22, 2021}, pages = {463--466}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ESSCIRC53450.2021.9567848}, doi = {10.1109/ESSCIRC53450.2021.9567848}, timestamp = {Thu, 28 Oct 2021 16:11:37 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/YoonJPBJCKLCCCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKLKSRLWSPCSC21, author = {Jin Hyun Kim and Shinhaeng Kang and Sukhan Lee and Hyeonsu Kim and Woongjae Song and Yuhwan Ro and Seungwon Lee and David Wang and Hyunsung Shin and BengSeng Phuah and Jihyun Choi and Jinin So and YeonGon Cho and Joon{-}Ho Song and Jangseok Choi and Jeonghyeon Cho and Kyomin Sohn and Young{-}Soo Sohn and Kwang{-}Il Park and Nam Sung Kim}, title = {Aquabolt-XL: Samsung {HBM2-PIM} with in-memory processing for {ML} accelerators and beyond}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--26}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567191}, doi = {10.1109/HCS52781.2021.9567191}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKLKSRLWSPCSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/OhKJSBLPKHL21, author = {Young H. Oh and Seonghak Kim and Yunho Jin and Sam Son and Jonghyun Bae and Jongsung Lee and Yeonhong Park and Dong Uk Kim and Tae Jun Ham and Jae W. Lee}, title = {Layerweaver: Maximizing Resource Utilization of Neural Processing Units via Layer-Wise Scheduling}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2021, Seoul, South Korea, February 27 - March 3, 2021}, pages = {584--597}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HPCA51647.2021.00056}, doi = {10.1109/HPCA51647.2021.00056}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/OhKJSBLPKHL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ParkLC21, author = {Woong{-}Sub Park and Hyuk Lee and Jin{-}Young Choi}, title = {Formal Modeling of Smart Contract-based Trading System}, booktitle = {23rd International Conference on Advanced Communication Technology, {ICACT} 2021, Pyeongchang, South Korea, February 7-10, 2021}, pages = {48--52}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/ICACT51234.2021.9370462}, doi = {10.23919/ICACT51234.2021.9370462}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icact/ParkLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/YeoHCPK21, author = {Woon{-}Ha Yeo and Young Jin Heo and Young Ju Choi and Seo{-}Jeon Park and Byung{-}Gyu Kim}, title = {Scene Classification Algorithm Based on Semantic Segmented Objects}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2021, Las Vegas, NV, USA, January 10-12, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCE50685.2021.9427672}, doi = {10.1109/ICCE50685.2021.9427672}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/YeoHCPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/KimYPKL21, author = {Daehee Kim and Youngjun Yoo and Seunghyun Park and Jinkyu Kim and Jaekoo Lee}, title = {SelfReg: Self-supervised Contrastive Regularization for Domain Generalization}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {9599--9608}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00948}, doi = {10.1109/ICCV48922.2021.00948}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/KimYPKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ParkLJ021, author = {Seulki Park and Jongin Lim and Younghan Jeon and Jin Young Choi}, title = {Influence-Balanced Loss for Imbalanced Visual Classification}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {715--724}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00077}, doi = {10.1109/ICCV48922.2021.00077}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ParkLJ021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcs/OhPPCLCY21, author = {Myoungwon Oh and Jiwoong Park and Sung Kyu Park and Adel Choi and Jongyoul Lee and Jin{-}Hyeok Choi and Heon Y. Yeom}, title = {Re-architecting Distributed Block Storage System for Improving Random Write Performance}, booktitle = {41st {IEEE} International Conference on Distributed Computing Systems, {ICDCS} 2021, Washington DC, USA, July 7-10, 2021}, pages = {104--114}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICDCS51616.2021.00019}, doi = {10.1109/ICDCS51616.2021.00019}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icdcs/OhPPCLCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeLPC21, author = {Hyeon Kyu Lee and Ji{-}Hack Lee and Jin{-}Oh Park and Young{-}Seok Choi}, title = {Data-driven Data Augmentation for Motor Imagery Brain-Computer Interface}, booktitle = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, pages = {683--686}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICOIN50884.2021.9333908}, doi = {10.1109/ICOIN50884.2021.9333908}, timestamp = {Fri, 12 Feb 2021 11:35:06 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeLPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkLC21, author = {Jin{-}Oh Park and Dae{-}Young Lee and Young{-}Seok Choi}, title = {Robust Epileptic Seizure Detection Using Multiscale Distribution Entropy Analysis for Short {EEG} Recordings}, booktitle = {International Conference on Information Networking, {ICOIN} 2021, Jeju Island, South Korea, January 13-16, 2021}, pages = {473--476}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICOIN50884.2021.9333993}, doi = {10.1109/ICOIN50884.2021.9333993}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ParkLC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/HaPCPC21, author = {Jung{-}Su Ha and Young{-}Jin Park and Hyeok{-}Joo Chae and Soon{-}Seo Park and Han{-}Lim Choi}, title = {Distilling a Hierarchical Policy for Planning and Control via Representation and Reinforcement Learning}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2021, Xi'an, China, May 30 - June 5, 2021}, pages = {4459--4466}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICRA48506.2021.9561017}, doi = {10.1109/ICRA48506.2021.9561017}, timestamp = {Fri, 22 Oct 2021 19:54:31 +0200}, biburl = {https://dblp.org/rec/conf/icra/HaPCPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkC21a, author = {Young{-}Jin Park and Hui{-}Sup Cho}, title = {A Simple Method to Detection the Lung Cancer Tumor using {CT} images on Deep Learning}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1510--1512}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620857}, doi = {10.1109/ICTC52510.2021.9620857}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkC21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ihci/HwangPSPJ21, author = {Seung{-}Min Hwang and Sunha Park and Na{-}Yeon Seo and Hae{-}Yean Park and Young{-}Jin Jung}, editor = {Jong{-}Hoon Kim and Madhusudan Singh and Javed Khan and Uma Shanker Tiwary and Mriganka Sur and Dhananjay Singh}, title = {{AI} Based Convenient Evaluation Software for Rehabilitation Therapy for Finger Tapping Test}, booktitle = {Intelligent Human Computer Interaction - 13th International Conference, {IHCI} 2021, Kent, OH, USA, December 20-22, 2021, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {13184}, pages = {124--127}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-98404-5\_11}, doi = {10.1007/978-3-030-98404-5\_11}, timestamp = {Wed, 25 Oct 2023 08:25:58 +0200}, biburl = {https://dblp.org/rec/conf/ihci/HwangPSPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SimPKKK21, author = {Jincheol Sim and Hyunsu Park and Youngwook Kwon and Seongcheol Kim and Chulwoo Kim}, title = {A 1-3.2 GHz 0.6 mW/GHz Duty-Cycle-Corrector Using Bangbang Duty-Cyle-Detector}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2021, Daegu, South Korea, May 22-28, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISCAS51556.2021.9401792}, doi = {10.1109/ISCAS51556.2021.9401792}, timestamp = {Fri, 02 Jul 2021 12:26:54 +0200}, biburl = {https://dblp.org/rec/conf/iscas/SimPKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgta/KimPACNWK21, author = {Jinsan Kim and Kyuchan Park and BoHyun Ahn and Jinchun Choi and Youngtae Noh and Dong{-}Jun Won and Taesic Kim}, title = {Real-Time Hardware-in-the-Loop Distributed Energy Resources System Testbed using {IEEE} 2030.5 Standard}, booktitle = {2021 {IEEE} {PES} Innovative Smart Grid Technologies - Asia {(ISGT} Asia), Brisbane, Australia, December 5-8, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISGTAsia49270.2021.9715595}, doi = {10.1109/ISGTASIA49270.2021.9715595}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgta/KimPACNWK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KeelKKBKCSLJSHA21, author = {Min{-}Sun Keel and Daeyun Kim and Yeomyung Kim and Myunghan Bae and Myoungoh Ki and Bumsik Chung and Sooho Son and Hoyong Lee and Heeyoung Jo and Seung{-}Chul Shin and Sunjoo Hong and Jaeil An and Yonghun Kwon and Sungyoung Seo and Sunghyuck Cho and Youngchan Kim and Young{-}Gu Jin and Youngsun Oh and Yitae Kim and JungChak Ahn and Kyoungmin Koh and Yongin Park}, title = {A 4-tap 3.5 {\(\mu\)}m 1.2 Mpixel Indirect Time-of-Flight {CMOS} Image Sensor with Peak Current Mitigation and Multi-User Interference Cancellation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {106--108}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365854}, doi = {10.1109/ISSCC42613.2021.9365854}, timestamp = {Wed, 10 Mar 2021 15:02:58 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KeelKKBKCSLJSHA21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCALCPPJKCYJ21, author = {Yong{-}Hun Kim and Hyung{-}Jin Kim and Jaemin Choi and Min{-}Su Ahn and Dongkeon Lee and Seung{-}Hyun Cho and Dong{-}Yeon Park and Young{-}Jae Park and Min{-}Soo Jang and Yong{-}Jun Kim and Jinyong Choi and Sung{-}Woo Yoon and Jae{-}Woo Jung and Jae{-}Koo Park and Jae{-}Woo Lee and Dae{-}Hyun Kwon and Hyung{-}Seok Cha and Si{-}Hyeong Cho and Seong{-}Hoon Kim and Jihwa You and Kyoung{-}Ho Kim and Dae{-}Hyun Kim and Byung{-}Cheol Kim and Young{-}Kwan Kim and Jun{-}Ho Kim and Seouk{-}Kyu Choi and Chanyoung Kim and Byongwook Na and Hye{-}In Choi and Reum Oh and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {25.2 {A} 16Gb Sub-1V 7.14Gb/s/pin {LPDDR5} {SDRAM} Applying a Mosaic Architecture with a Short-Feedback 1-Tap DFE, an {FSS} Bus with Low-Level Swing and an Adaptively Controlled Body Biasing in a 3\({}^{\mbox{rd}}\)-Generation 10nm {DRAM}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {346--348}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366050}, doi = {10.1109/ISSCC42613.2021.9366050}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCALCPPJKCYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKOPKLLJCKPY21, author = {Jae{-}Woo Park and Doogon Kim and Sunghwa Ok and Jaebeom Park and Taeheui Kwon and Hyunsoo Lee and Sungmook Lim and Sun{-}Young Jung and Hyeong{-}Jin Choi and Taikyu Kang and Gwan Park and Chul{-}Woo Yang and Jeong{-}Gil Choi and Gwihan Ko and Jae{-}Hyeon Shin and Ingon Yang and Junghoon Nam and Hyeokchan Sohn and Seok{-}in Hong and Yohan Jeong and Sung{-}Wook Choi and Changwoon Choi and Hyun{-}Soo Shin and Junyoun Lim and Dongkyu Youn and Sanghyuk Nam and Juyeab Lee and Myungkyu Ahn and Hoseok Lee and Seungpil Lee and Jongmin Park and Kichang Gwon and Woopyo Jeong and Jungdal Choi and Jinkook Kim and Kyowon Jin}, title = {A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm\({}^{\mbox{2}}\) Density with a Peripheral Circuit Under Cell Array Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {422--423}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365809}, doi = {10.1109/ISSCC42613.2021.9365809}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKOPKLLJCKPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPCLLKLKJIPK21, author = {Jongeun Park and Sungbong Park and Kwansik Cho and Taehun Lee and Changkyu Lee and Donghyun Kim and Beomsuk Lee and SungIn Kim and Ho{-}Chul Ji and Dongmo Im and Haeyong Park and Jinyoung Kim and Jungho Cha and Tae{-}Hoon Kim and Insung Joe and Soojin Hong and Chongkwang Chang and Jingyun Kim and WooGwan Shim and Taehee Kim and Jamie Lee and Donghyuk Park and Euiyeol Kim and Howoo Park and Jaekyu Lee and Yitae Kim and JungChak Ahn and Youngki Chung and ChungSam Jun and Hyunchul Kim and Chang{-}Rok Moon and Ho{-}Kyu Kang}, title = {7.9 1/2.74-inch 32Mpixel-Prototype {CMOS} Image Sensor with 0.64{\(\mu\)} m Unit Pixels Separated by Full-Depth Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {122--124}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365751}, doi = {10.1109/ISSCC42613.2021.9365751}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPCLLKLKJIPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lak/LohSLBHLCKPC21, author = {Hyunbin Loh and Dongmin Shin and Seewoo Lee and Jineon Baek and Chanyou Hwang and Youngnam Lee and Yeongmin Cha and Soonwoo Kwon and Juneyoung Park and Youngduck Choi}, editor = {Maren Scheffel and Nia Dowell and Srecko Joksimovic and George Siemens}, title = {Recommendation for Effective Standardized Exam Preparation}, booktitle = {LAK'21: 11th International Learning Analytics and Knowledge Conference, Irvine, CA, USA, April 12-16, 2021}, pages = {397--404}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3448139.3448177}, doi = {10.1145/3448139.3448177}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/lak/LohSLBHLCKPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ChoiHPKL21, author = {Kanghyun Choi and Deokki Hong and Noseong Park and Youngsok Kim and Jinho Lee}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Qimera: Data-free Quantization with Synthetic Boundary Supporting Samples}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {14835--14847}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/7cc234202e98d2722580858573fd0817-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ChoiHPKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/GrucaSLRCHPRSCLDBPPSKSKACPSCLKKKSYESYS21, author = {Aleksandra Gruca and Federico Serva and Lloren{\c{c}} Lliso and Pilar R{\'{\i}}podas and Xavier Calbet and Pedro Herruzo and Jir{\'{\i}} Pihrt and Rudolf Raevskiy and Petr Sim{\'{a}}nek and Matej Choma and Yang Li and Haiyu Dong and Yury Belousov and Sergey Polezhaev and Brian Pulfer and Minseok Seo and Doyi Kim and Seungheon Shin and Eunbin Kim and Sewoong Ahn and Yeji Choi and Jinyoung Park and Minseok Son and Seungju Cho and Inyoung Lee and Changick Kim and Taehyeon Kim and Shinhwan Kang and Hyeonjeong Shin and Deukryeol Yoon and Seongha Eom and Kijung Shin and Se{-}Young Yun and Bertrand Le Saux and Michael K. Kopp and Sepp Hochreiter and David P. Kreil}, editor = {Marco Ciccone and Gustavo Stolovitzky and Jacob Albrecht}, title = {Weather4cast at NeurIPS 2022: Super-Resolution Rain Movie Prediction under Spatio-temporal Shifts}, booktitle = {NeurIPS 2022 Competition Track, November 28 - December 9, 2022, Online}, series = {Proceedings of Machine Learning Research}, volume = {220}, pages = {292--313}, publisher = {{PMLR}}, year = {2021}, url = {https://proceedings.mlr.press/v220/gruca22a.html}, timestamp = {Mon, 17 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/GrucaSLRCHPRSCLDBPPSKSKACPSCLKKKSYESYS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KwonCYPPG21, author = {Yeong{-}Dae Kwon and Jinho Choo and Iljoo Yoon and Minah Park and Duwon Park and Youngjune Gwon}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Matrix encoding networks for neural combinatorial optimization}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {5138--5149}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/29539ed932d32f1c56324cded92c07c2-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/KwonCYPPG21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkSLZQSL21, author = {Jongjin Park and Younggyo Seo and Chang Liu and Li Zhao and Tao Qin and Jinwoo Shin and Tie{-}Yan Liu}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Object-Aware Regularization for Addressing Causal Confusion in Imitation Learning}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {3029--3042}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/17a3120e4e5fbdc3cb5b5f946809b06a-Abstract.html}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/ParkSLZQSL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ZellersLHYPCFC21, author = {Rowan Zellers and Ximing Lu and Jack Hessel and Youngjae Yu and Jae Sung Park and Jize Cao and Ali Farhadi and Yejin Choi}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {{MERLOT:} Multimodal Neural Script Knowledge Models}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {23634--23651}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/c6d4eb15f1e84a36eff58eca3627c82e-Abstract.html}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ZellersLHYPCFC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/JungPJKKKK21, author = {Seungjae Jung and Young{-}Jin Park and Jisu Jeong and Kyung{-}Min Kim and Hiun Kim and Minkyu Kim and Hanock Kwak}, editor = {Humberto Jes{\'{u}}s Corona Pamp{\'{\i}}n and Martha A. Larson and Martijn C. Willemsen and Joseph A. Konstan and Julian J. McAuley and Jean Garcia{-}Gathright and Bouke Huurnink and Even Oldridge}, title = {Global-Local Item Embedding for Temporal Set Prediction}, booktitle = {RecSys '21: Fifteenth {ACM} Conference on Recommender Systems, Amsterdam, The Netherlands, 27 September 2021 - 1 October 2021}, pages = {674--679}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460231.3478844}, doi = {10.1145/3460231.3478844}, timestamp = {Mon, 20 Sep 2021 17:35:14 +0200}, biburl = {https://dblp.org/rec/conf/recsys/JungPJKKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-06828, author = {Xiaoyong Jin and Youngsuk Park and Danielle C. Maddix and Yuyang Wang and Xifeng Yan}, title = {Attention-based Domain Adaptation for Time Series Forecasting}, journal = {CoRR}, volume = {abs/2102.06828}, year = {2021}, url = {https://arxiv.org/abs/2102.06828}, eprinttype = {arXiv}, eprint = {2102.06828}, timestamp = {Thu, 28 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-06828.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-03373, author = {Han Li and Sunghyun Park and Aswarth Dara and Jinseok Nam and Sungjin Lee and Young{-}Bum Kim and Spyros Matsoukas and Ruhi Sarikaya}, title = {Neural model robustness for skill routing in large-scale conversational {AI} systems: {A} design choice exploration}, journal = {CoRR}, volume = {abs/2103.03373}, year = {2021}, url = {https://arxiv.org/abs/2103.03373}, eprinttype = {arXiv}, eprint = {2103.03373}, timestamp = {Mon, 15 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-03373.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2103-16046, author = {Jiwoong Park and Junho Cho and Hyung Jin Chang and Jin Young Choi}, title = {Unsupervised Hyperbolic Representation Learning via Message Passing Auto-Encoders}, journal = {CoRR}, volume = {abs/2103.16046}, year = {2021}, url = {https://arxiv.org/abs/2103.16046}, eprinttype = {arXiv}, eprint = {2103.16046}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2103-16046.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-00607, author = {Seewoo Lee and Youngduck Choi and Juneyoung Park and Byungsoo Kim and Jinwoo Shin}, title = {Consistency and Monotonicity Regularization for Neural Knowledge Tracing}, journal = {CoRR}, volume = {abs/2105.00607}, year = {2021}, url = {https://arxiv.org/abs/2105.00607}, eprinttype = {arXiv}, eprint = {2105.00607}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-00607.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-00573, author = {Kyuyong Shin and Hanock Kwak and Kyung{-}Min Kim and Minkyu Kim and Young{-}Jin Park and Jisu Jeong and Seungjae Jung}, title = {One4all User Representation for Recommender Systems in E-commerce}, journal = {CoRR}, volume = {abs/2106.00573}, year = {2021}, url = {https://arxiv.org/abs/2106.00573}, eprinttype = {arXiv}, eprint = {2106.00573}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-00573.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-01028, author = {Fangying Chen and Junyoung Park and Jinkyoo Park}, title = {A Hypergraph Convolutional Neural Network for Molecular Properties Prediction using Functional Group}, journal = {CoRR}, volume = {abs/2106.01028}, year = {2021}, url = {https://arxiv.org/abs/2106.01028}, eprinttype = {arXiv}, eprint = {2106.01028}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-01028.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-01086, author = {Junyoung Park and Jaehyeong Chun and Sang{-}Hun Kim and Youngkook Kim and Jinkyoo Park}, title = {Learning to schedule job-shop problems: Representation and policy learning using graph neural network and reinforcement learning}, journal = {CoRR}, volume = {abs/2106.01086}, year = {2021}, url = {https://arxiv.org/abs/2106.01086}, eprinttype = {arXiv}, eprint = {2106.01086}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-01086.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-01680, author = {Junyoung Park and Jinhyun Choo and Jinkyoo Park}, title = {Convergent Graph Solvers}, journal = {CoRR}, volume = {abs/2106.01680}, year = {2021}, url = {https://arxiv.org/abs/2106.01680}, eprinttype = {arXiv}, eprint = {2106.01680}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-01680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-02636, author = {Rowan Zellers and Ximing Lu and Jack Hessel and Youngjae Yu and Jae Sung Park and Jize Cao and Ali Farhadi and Yejin Choi}, title = {{MERLOT:} Multimodal Neural Script Knowledge Models}, journal = {CoRR}, volume = {abs/2106.02636}, year = {2021}, url = {https://arxiv.org/abs/2106.02636}, eprinttype = {arXiv}, eprint = {2106.02636}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-02636.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-03051, author = {Junyoung Park and Sanjar Bakhtiyar and Jinkyoo Park}, title = {ScheduleNet: Learn to solve multi-agent scheduling problems with reinforcement learning}, journal = {CoRR}, volume = {abs/2106.03051}, year = {2021}, url = {https://arxiv.org/abs/2106.03051}, eprinttype = {arXiv}, eprint = {2106.03051}, timestamp = {Thu, 10 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-03051.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07217, author = {Seulki Park and Dae Ung Jo and Jin Young Choi}, title = {Over-Fit: Noisy-Label Detection based on the Overfitted Model Property}, journal = {CoRR}, volume = {abs/2106.07217}, year = {2021}, url = {https://arxiv.org/abs/2106.07217}, eprinttype = {arXiv}, eprint = {2106.07217}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07217.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-11113, author = {Yeong{-}Dae Kwon and Jinho Choo and Iljoo Yoon and Minah Park and Duwon Park and Youngjune Gwon}, title = {Matrix Encoding Networks for Neural Combinatorial Optimization}, journal = {CoRR}, volume = {abs/2106.11113}, year = {2021}, url = {https://arxiv.org/abs/2106.11113}, eprinttype = {arXiv}, eprint = {2106.11113}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-11113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-11581, author = {Michael Poli and Stefano Massaroli and Clayton M. Rabideau and Junyoung Park and Atsushi Yamashita and Hajime Asama and Jinkyoo Park}, title = {Continuous-Depth Neural Models for Dynamic Graph Prediction}, journal = {CoRR}, volume = {abs/2106.11581}, year = {2021}, url = {https://arxiv.org/abs/2106.11581}, eprinttype = {arXiv}, eprint = {2106.11581}, timestamp = {Wed, 30 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-11581.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-15166, author = {Taekho You and Jinseo Park and June Young Lee and Jinhyuk Yun and Woo{-}Sung Jung}, title = {Disturbance of greedy publishing to academia}, journal = {CoRR}, volume = {abs/2106.15166}, year = {2021}, url = {https://arxiv.org/abs/2106.15166}, eprinttype = {arXiv}, eprint = {2106.15166}, timestamp = {Mon, 05 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-15166.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-02074, author = {Seungjae Jung and Young{-}Jin Park and Jisu Jeong and Kyung{-}Min Kim and Hiun Kim and Minkyu Kim and Hanock Kwak}, title = {Global-Local Item Embedding for Temporal Set Prediction}, journal = {CoRR}, volume = {abs/2109.02074}, year = {2021}, url = {https://arxiv.org/abs/2109.02074}, eprinttype = {arXiv}, eprint = {2109.02074}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-02074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-04650, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, journal = {CoRR}, volume = {abs/2109.04650}, year = {2021}, url = {https://arxiv.org/abs/2109.04650}, eprinttype = {arXiv}, eprint = {2109.04650}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-04650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01840, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep reinforcement learning for guidewire navigation in coronary artery phantom}, journal = {CoRR}, volume = {abs/2110.01840}, year = {2021}, url = {https://arxiv.org/abs/2110.01840}, eprinttype = {arXiv}, eprint = {2110.01840}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-02444, author = {Seulki Park and Jongin Lim and Younghan Jeon and Jin Young Choi}, title = {Influence-Balanced Loss for Imbalanced Visual Classification}, journal = {CoRR}, volume = {abs/2110.02444}, year = {2021}, url = {https://arxiv.org/abs/2110.02444}, eprinttype = {arXiv}, eprint = {2110.02444}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-02444.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-14118, author = {Jongjin Park and Younggyo Seo and Chang Liu and Li Zhao and Tao Qin and Jinwoo Shin and Tie{-}Yan Liu}, title = {Object-Aware Regularization for Addressing Causal Confusion in Imitation Learning}, journal = {CoRR}, volume = {abs/2110.14118}, year = {2021}, url = {https://arxiv.org/abs/2110.14118}, eprinttype = {arXiv}, eprint = {2110.14118}, timestamp = {Mon, 29 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-14118.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2111-02625, author = {Kanghyun Choi and Deokki Hong and Noseong Park and Youngsok Kim and Jinho Lee}, title = {Qimera: Data-free Quantization with Synthetic Boundary Supporting Samples}, journal = {CoRR}, volume = {abs/2111.02625}, year = {2021}, url = {https://arxiv.org/abs/2111.02625}, eprinttype = {arXiv}, eprint = {2111.02625}, timestamp = {Fri, 05 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2111-02625.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-00412, author = {Seulki Park and Youngkyu Hong and Byeongho Heo and Sangdoo Yun and Jin Young Choi}, title = {The Majority Can Help The Minority: Context-rich Minority Oversampling for Long-tailed Classification}, journal = {CoRR}, volume = {abs/2112.00412}, year = {2021}, url = {https://arxiv.org/abs/2112.00412}, eprinttype = {arXiv}, eprint = {2112.00412}, timestamp = {Tue, 07 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-00412.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/CheongKKPPN20, author = {Seok Hyun Cheong and Min{-}Jae Kang and Younghyun Kim and Minho Park and Jinho Park and Dong Kun Noh}, title = {Solar-CTP: An Enhanced {CTP} for Solar-Powered Wireless Sensor Networks}, journal = {{IEEE} Access}, volume = {8}, pages = {127142--127155}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3008181}, doi = {10.1109/ACCESS.2020.3008181}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/CheongKKPPN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/DoC20, author = {Hoseok Do and Jin Young Choi}, title = {Context-Based Parking Slot Detection With a Realistic Dataset}, journal = {{IEEE} Access}, volume = {8}, pages = {171551--171559}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3024668}, doi = {10.1109/ACCESS.2020.3024668}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/DoC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJKSCLJKLLLP20, author = {Youngil Kim and Jinwoo Jeong and Wang Kexin and Yong Ho Song and Seungdo Choi and Daeyong Lee and Joonyong Jeong and Jaewook Kwak and Jungkeol Lee and Gyeongyong Lee and Sangjin Lee and Kibin Park}, title = {Low-Overhead Compressibility Prediction for High-Performance Lossless Data Compression}, journal = {{IEEE} Access}, volume = {8}, pages = {37105--37123}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2975929}, doi = {10.1109/ACCESS.2020.2975929}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJKSCLJKLLLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJYPP20, author = {Young{-}Jin Kim and Young{-}Kyung Jeong and Dong{-}Gi Youn and Hyun Ho Park and Yong Bae Park}, title = {Design of a Small {NEMP} Simulator for the Immunity Test of Core Electronic Components in {HEMP} Environments}, journal = {{IEEE} Access}, volume = {8}, pages = {217773--217780}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3042262}, doi = {10.1109/ACCESS.2020.3042262}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJYPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeCLNKP20, author = {Jinyoung Lee and Woo{-}Nam Chung and Tae{-}Hyoung Lee and Jae{-}Ho Nah and Youngsik Kim and Woo{-}Chan Park}, title = {Load Balancing Algorithm for Real-Time Ray Tracing of Dynamic Scenes}, journal = {{IEEE} Access}, volume = {8}, pages = {165003--165009}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3019075}, doi = {10.1109/ACCESS.2020.3019075}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeCLNKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkK20, author = {Jonghyun Park and Youngjin Kim}, title = {Supervised-Learning-Based Optimal Thermal Management in an Electric Vehicle}, journal = {{IEEE} Access}, volume = {8}, pages = {1290--1302}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2019.2961791}, doi = {10.1109/ACCESS.2019.2961791}, timestamp = {Thu, 09 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKKL20, author = {Cheol Young Park and Jin Woog Kim and Bosung Kim and Joongyoon Lee}, title = {Prediction for Manufacturing Factors in a Steel Plate Rolling Smart Factory Using Data Clustering-Based Machine Learning}, journal = {{IEEE} Access}, volume = {8}, pages = {60890--60905}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2983188}, doi = {10.1109/ACCESS.2020.2983188}, timestamp = {Mon, 04 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkSKK20, author = {Geun{-}Ho Park and Young{-}Kwang Seo and Wan{-}Jin Kim and Hyoung{-}Nam Kim}, title = {Distance Estimation of High-Speed Underwater Targets Based on a Frequency-Coded Continuous Wave}, journal = {{IEEE} Access}, volume = {8}, pages = {224803--224816}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3045006}, doi = {10.1109/ACCESS.2020.3045006}, timestamp = {Sat, 09 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkSKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/KimCLLPYR20, author = {Jin Hwan Kim and Kyu Young Choi and Sang{-}Hyo Lee and Dong Jin Lee and Bum Jung Park and Dae Young Yoon and Young{-}Soo Rho}, title = {The value of CT, MRI, and {PET-CT} in detecting retropharyngeal lymph node metastasis of head and neck squamous cell carcinoma}, journal = {{BMC} Medical Imaging}, volume = {20}, number = {1}, pages = {88}, year = {2020}, url = {https://doi.org/10.1186/s12880-020-00487-y}, doi = {10.1186/S12880-020-00487-Y}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/KimCLLPYR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dke/WhangNYPCKYL20, author = {Kyu{-}Young Whang and Inju Na and Tae{-}Seob Yun and Jin{-}Ah Park and Kyu{-}Hyun Cho and Se{-}Jin Kim and Ilyeop Yi and Byung Suk Lee}, title = {Building social networking services systems using the relational shared-nothing parallel {DBMS}}, journal = {Data Knowl. Eng.}, volume = {125}, pages = {101756}, year = {2020}, url = {https://doi.org/10.1016/j.datak.2019.101756}, doi = {10.1016/J.DATAK.2019.101756}, timestamp = {Thu, 01 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dke/WhangNYPCKYL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hcis/KimP20, author = {Young{-}Jong Kim and Jinho Park}, title = {Hybrid decentralized {PBFT} Blockchain Framework for OpenStack message queue}, journal = {Hum. centric Comput. Inf. Sci.}, volume = {10}, pages = {31}, year = {2020}, url = {https://doi.org/10.1186/s13673-020-00238-6}, doi = {10.1186/S13673-020-00238-6}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hcis/KimP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hcis/LeeRPP20, author = {Younghun Lee and Shailendra Rathore and Jin Ho Park and Jong Hyuk Park}, title = {A blockchain-based smart home gateway architecture for preventing data forgery}, journal = {Hum. centric Comput. Inf. Sci.}, volume = {10}, pages = {9}, year = {2020}, url = {https://doi.org/10.1186/s13673-020-0214-5}, doi = {10.1186/S13673-020-0214-5}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hcis/LeeRPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ParkJM20, author = {Sejin Park and Woojin Jeong and Young Shik Moon}, title = {X-ray Image Segmentation using Multi-task Learning}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {14}, number = {3}, pages = {1104--1120}, year = {2020}, url = {https://doi.org/10.3837/tiis.2020.03.011}, doi = {10.3837/TIIS.2020.03.011}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/ParkJM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/KimWYKPRM20, author = {Jinsul Kim and Yonggwan Won and Changwoo Yoon and Jin{-}Young Kim and Sangho Park and JaeCheol Ryou and Linh Van Ma}, title = {A Context-aware adaptive algorithm for ambient intelligence {DASH} at mobile edge computing}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {11}, number = {4}, pages = {1377--1385}, year = {2020}, url = {https://doi.org/10.1007/s12652-018-1049-z}, doi = {10.1007/S12652-018-1049-Z}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaihc/KimWYKPRM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcse/AnCP20, author = {Youngjin An and Hyunyul Cho and Jinah Park}, title = {Virtual Whole-Hand Grasping Feedback for Object Manipulation with a Two-Finger Haptic Interface}, journal = {J. Comput. Sci. Eng.}, volume = {14}, number = {2}, pages = {41--51}, year = {2020}, url = {https://doi.org/10.5626/jcse.2020.14.2.41}, doi = {10.5626/JCSE.2020.14.2.41}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcse/AnCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/KimPBPKPKKONB20, author = {Duck Young Kim and Jin Woo Park and Sujeong Baek and K.{-}B. Park and H.{-}R. Kim and J.{-}I. Park and H.{-}S. Kim and B.{-}B. Kim and H.{-}Y. Oh and Kichang Namgung and Woonsang Baek}, title = {A modular factory testbed for the rapid reconfiguration of manufacturing systems}, journal = {J. Intell. Manuf.}, volume = {31}, number = {3}, pages = {661--680}, year = {2020}, url = {https://doi.org/10.1007/s10845-019-01471-2}, doi = {10.1007/S10845-019-01471-2}, timestamp = {Fri, 14 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jim/KimPBPKPKKONB20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ParkKBCKKRC20, author = {Hee{-}Sun Park and Sung{-}Hoon Kim and Myoung{-}Rye Bong and Dae{-}Kee Choi and Wook{-}Jong Kim and Seung{-}Woo Ku and Young Jin Ro and Incheol Choi}, title = {Optimization of the Operating Room Scheduling Process for Improving Efficiency in a Tertiary Hospital}, journal = {J. Medical Syst.}, volume = {44}, number = {9}, pages = {171}, year = {2020}, url = {https://doi.org/10.1007/s10916-020-01644-0}, doi = {10.1007/S10916-020-01644-0}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jms/ParkKBCKKRC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JinPKKKC20, author = {Xuefan Jin and Woosung Park and Dong{-}Seok Kang and Youngjun Ko and Kee{-}Won Kwon and Jung{-}Hoon Chun}, title = {A 4-GHz Sub-Harmonically Injection-Locked Phase-Locked Loop With Self-Calibrated Injection Timing and Pulsewidth}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {10}, pages = {2724--2733}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3005806}, doi = {10.1109/JSSC.2020.3005806}, timestamp = {Tue, 06 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JinPKKKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeJKPKC20, author = {Sangwoo Lee and Jinwoong Jeong and Taewoong Kim and Chanmin Park and Taewoo Kim and Youngcheol Chae}, title = {A 5.2-Mpixel 88.4-dB {DR} 12-in {CMOS} X-Ray Detector With 16-bit Column-Parallel Continuous-Time Incremental {\(\Delta\)}{\(\Sigma\)} ADCs}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2878--2888}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3011967}, doi = {10.1109/JSSC.2020.3011967}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeJKPKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/JunJSSCCPKYYLK20, author = {Heeyeon Jun and Yena Jeon and Sook Jin Seong and Jung Ju Seo and Ji{-}Young Choi and Janghee Cho and Sun{-}Hee Park and Chan{-}Duck Kim and Young{-}Ran Yoon and Se{-}Hee Yoon and Jong Soo Lee and Yong{-}Lim Kim}, title = {ICT-based adherence monitoring in kidney transplant recipients: a randomized controlled trial}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {105}, year = {2020}, url = {https://doi.org/10.1186/s12911-020-01146-6}, doi = {10.1186/S12911-020-01146-6}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/JunJSSCCPKYYLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ParkBSLL20, author = {Jin{-}Hyeok Park and Jeong{-}Heum Baek and Sunjin Sym and Kang Yoon Lee and Youngho Lee}, title = {A data-driven approach to a chemotherapy recommendation model based on deep learning for patients with colorectal cancer in Korea}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {241}, year = {2020}, url = {https://doi.org/10.1186/s12911-020-01265-0}, doi = {10.1186/S12911-020-01265-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ParkBSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeeP20, author = {Jin Young Lee and Hyun Wook Park}, title = {HEVC-based three-layer texture and depth coding for lossless synthesis in 3D video coding}, journal = {Multim. Tools Appl.}, volume = {79}, number = {29-30}, pages = {20929--20945}, year = {2020}, url = {https://doi.org/10.1007/s11042-020-08938-y}, doi = {10.1007/S11042-020-08938-Y}, timestamp = {Wed, 21 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeeP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/Malik-SheriffGN20, author = {Rahuman S. Malik{-}Sheriff and Mihai Glont and Tung V. N. Nguyen and Krishan K. Tiwari and Matthew G. Roberts and Ashley Xavier and Manh T. Vu and Jinghao Men and Matthieu Maire and Sarubini Kananathan and Emma L. Fairbanks and Johannes P. Meyer and Chinmay Arankalle and Thawfeek M. Varusai and Vincent Knight{-}Schrijver and Lu Li and Corina Due{\~{n}}as{-}Roca and Gaurhari Dass and Sarah M. Keating and Young Mi Park and Nicola Buso and Nicolas Rodriguez and Michael Hucka and Henning Hermjakob}, title = {BioModels - 15 years of sharing computational models in life science}, journal = {Nucleic Acids Res.}, volume = {48}, number = {Database-Issue}, pages = {D407--D415}, year = {2020}, url = {https://doi.org/10.1093/nar/gkz1055}, doi = {10.1093/NAR/GKZ1055}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/Malik-SheriffGN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/CollinsBKZAFKLG20, author = {Ryan L. Collins and Harrison Brand and Konrad J. Karczewski and Xuefang Zhao and Jessica Alf{\"{o}}ldi and Laurent C. Francioli and Amit V. Khera and Chelsea Lowther and Laura D. Gauthier and Harold Wang and Nicholas A. Watts and Matthew Solomonson and Alexander Baumann and Ruchi Munshi and Mark Walker and Christopher W. Whelan and Yongqing Huang and Ted Brookings and Ted Sharpe and Matthew R. Stone and Elise Valkanas and Jack Fu and Grace Tiao and Kristen M. Laricchia and Valent{\'{\i}}n Ruano{-}Rubio and Christine Stevens and Namrata Gupta and Caroline Cusick and Lauren Margolin and Irina M. Armean and Eric Banks and Louis Bergelson and Kristian Cibulskis and Kristen M. Connolly and Miguel Covarrubias and Beryl B. Cummings and Mark J. Daly and Stacey Donnelly and Yossi Farjoun and Steven Ferriera and Stacey Gabriel and Jeff Gentry and Thibault Jeandet and Diane Kaplan and Christopher Llanwarne and Eric V. Minikel and Benjamin M. Neale and Sam Novod and Anne H. O'Donnell{-}Luria and Nikelle Petrillo and Timothy Poterba and David Roazen and Andrea Saltzman and Kaitlin E. Samocha and Molly Schleicher and Cotton Seed and Jos{\'{e}} Soto and Kathleen Tibbetts and Charlotte Tolonen and Christopher Vittal and Gordon Wade and Arcturus Wang and Qingbo Wang and James S. Ware and Ben Weisburd and Nicola Whiffin and Carlos A. Aguilar Salinas and Tariq Ahmad and Christine M. Albert and Diego Ardissino and Gil Atzmon and John Barnard and Laurent Beaugerie and Emelia J. Benjamin and Michael Boehnke and Lori L. Bonnycastle and Erwin P. Bottinger and Donald W. Bowden and Matthew J. Bown and John C. Chambers and Juliana C. Chan and Daniel Chasman and Judy Cho and Mina K. Chung and Bruce Cohen and Adolfo Correa and Dana Dabelea and Dawood Darbar and Ravindranath Duggirala and Jos{\'{e}}e Dupuis and Patrick T. Ellinor and Roberto Elosua and Jeanette Erdmann and T{\~{o}}nu Esko and Martti F{\"{a}}rkkil{\"{a}} and Jose Florez and Andre Franke and Gad Getz and Benjamin Glaser and Stephen J. Glatt and David Goldstein and Clicerio Gonzalez and Leif Groop and Christopher A. Haiman and Craig Hanis and Matthew Harms and Mikko Hiltunen and Matti M. Holi and Christina M. Hultman and Mikko Kallela and Jaakko Kaprio and Sekar Kathiresan and Bong{-}Jo Kim and Young Jin Kim and George Kirov and Jaspal Kooner and Seppo Koskinen and Harlan M. Krumholz and Subra Kugathasan and Soo Heon Kwak and Markku Laakso and Terho Lehtim{\"{a}}ki and Ruth J. F. Loos and Steven A. Lubitz and Ronald C. W. Ma and Daniel G. MacArthur and Jaume Marrugat and Kari M. Mattila and Steven A. McCarroll and Mark I. McCarthy and Dermot McGovern and Ruth McPherson and James B. Meigs and Olle Melander and Andres Metspalu and Peter M. Nilsson and Michael C. O'Donovan and Dost {\"{O}}ng{\"{u}}r and Lorena Orozco and Michael J. Owen and Colin N. A. Palmer and Aarno Palotie and Kyong Soo Park and Carlos Pato and Ann E. Pulver and Nazneen Rahman and Anne M. Remes and John D. Rioux and Samuli Ripatti and Dan M. Roden and Danish Saleheen and Veikko Salomaa and Nilesh J. Samani and Jeremiah Scharf and Heribert Schunkert and Moore B. Shoemaker and Pamela Sklar and Hilkka Soininen and Harry Sokol and Tim Spector and Patrick F. Sullivan and Jaana Suvisaari and E. Shyong Tai and Yik Ying Teo and Tuomi Tiinamaija and Ming Tsuang and Dan Turner and Teresa Tusie{-}Luna and Erkki Vartiainen and Hugh Watkins and Rinse K. Weersma and Maija Wessman and James G. Wilson and Ramnik J. Xavier and Kent D. Taylor and Henry J. Lin and Stephen S. Rich and Wendy S. Post and Yii{-}Der Ida Chen and Jerome I. Rotter and Chad Nusbaum and Anthony A. Philippakis and Eric S. Lander and Michael E. Talkowski}, title = {A structural variation reference for medical and population genetics}, journal = {Nat.}, volume = {581}, number = {7809}, pages = {444--451}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2287-8}, doi = {10.1038/S41586-020-2287-8}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/CollinsBKZAFKLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/RheinbayNAWSTHH20, author = {Esther Rheinbay and Morten Muhlig Nielsen and Federico Abascal and Jeremiah Wala and Ofer Shapira and Grace Tiao and Henrik Hornsh{\o}j and Julian M. Hess and Randi Istrup Juul and Ziao Lin and Lars Feuerbach and Radhakrishnan Sabarinathan and Tobias Madsen and Jaegil Kim and Loris Mularoni and Shimin Shuai and Andr{\'{e}}s Lanz{\'{o}}s and Carl Herrmann and Yosef E. Maruvka and Ciyue Shen and Samirkumar B. Amin and Pratiti Bandopadhayay and Johanna Bertl and Keith A. Boroevich and John Busanovich and Joana Carlevaro{-}Fita and Dimple Chakravarty and Calvin Wing Yiu Chan and David Craft and Priyanka Dhingra and Klev Diamanti and Nuno A. Fonseca and Abel Gonzalez{-}Perez and Qianyun Guo and Mark P. Hamilton and Nicholas J. Haradhvala and Chen Hong and Keren Isaev and Todd A. Johnson and Malene Juul and Andr{\'{e}} Kahles and Abdullah Kahraman and Youngwook Kim and Jan Komorowski and Kiran Kumar and Sushant Kumar and Donghoon Lee and Kjong{-}Van Lehmann and Yilong Li and Eric Minwei Liu and Lucas Lochovsky and Keunchil Park and Oriol Pich and Nicola D. Roberts and Gordon Saksena and Steven E. Schumacher and Nikos Sidiropoulos and Lina Sieverling and Nasa Sinnott{-}Armstrong and Chip Stewart and David Tamborero and Jose M. C. Tubio and Husen M. Umer and Liis Uusk{\"{u}}la{-}Reimand and Claes Wadelius and Lina Wadi and Xiaotong Yao and Cheng{-}Zhong Zhang and Jing Zhang and James E. Haber and Asger Hobolth and Marcin Imielinski and Manolis Kellis and Michael S. Lawrence and Christian von Mering and Hidewaki Nakagawa and Benjamin J. Raphael and Mark A. Rubin and Chris Sander and Lincoln D. Stein and Joshua M. Stuart and Tatsuhiko Tsunoda and David A. Wheeler and Rory Johnson and J{\"{u}}ri Reimand and Mark Gerstein and Ekta Khurana and Peter J. Campbell and N{\'{u}}ria L{\'{o}}pez{-}Bigas and Gary D. Bader and Jonathan Barenboim and Rameen Beroukhim and S{\o}ren Brunak and Ken Chen and Jung Kyoon Choi and Jordi Deu{-}Pons and J. Lynn Fink and Joan Frigola and Carlo Gambacorti Passerini and Dale W. Garsed and Gad Getz and Ivo Glynne Gut and David Haan and Arif Ozgun Harmanci and Mohamed Helmy and Ermin Hodzic and Jos{\'{e}} M. G. Izarzugaza and Jong K. Kim and Jan O. Korbel and Erik Larsson and Shantao Li and Xiaotong Li and Shaoke Lou and Kathleen Marchal and I{\~{n}}igo Martincorena and Alexander Mart{\'{\i}}nez{-}Fundichely and Patrick D. McGillivray and William Meyerson and Ferran Mui{\~{n}}os and Marta Paczkowska and Kiejung Park and Jakob Skou Pedersen and Tirso Pons and Sergio Pulido{-}Tamayo and Iker Reyes{-}Salazar and Matthew A. Reyna and Carlota Rubio{-}Perez and S{\"{u}}leyman Cenk Sahinalp and Leonidas Salichos and Mark Shackleton and Raunak Shrestha and Alfonso Valencia and Miguel Vazquez and Lieven P. C. Verbeke and Jiayin Wang and Jonathan Warrell and Sebastian M. Waszak and Joachim Weischenfeldt and Guanming Wu and Jun Yu and Xuanping Zhang and Yan Zhang and Zhongming Zhao and Lihua Zou and Kadir C. Akdemir and Eva G. Alvarez and Adrian Baez{-}Ortega and Paul C. Boutros and David D. L. Bowtell and Benedikt Brors and Kathleen H. Burns and Kin Chan and Isidro Cort{\'{e}}s{-}Ciriano and Ana Dueso{-}Barroso and Andrew J. Dunford and Paul A. Edwards and Xavier Estivill and Dariush Etemadmoghadam and Milana Frenkel{-}Morgenstern and Dmitry A. Gordenin and Barbara Hutter and David T. W. Jones and Young Seok Ju and Marat D. Kazanov and Leszek J. Klimczak and Youngil Koh and Eunjung Alice Lee and Jake June{-}Koo Lee and Andy G. Lynch and Geoff MacIntyre and Florian Markowetz and Matthew Meyerson and Satoru Miyano and Fabio C. P. Navarro and Stephan Ossowski and Peter J. Park and John V. Pearson and Montserrat Puiggr{\`{o}}s and Karsten Rippe and Steven A. Roberts and Bernardo Rodriguez{-}Martin and Ralph Scully and David Torrents and Izar Villasante and Nicola Waddell and Jeremiah A. Wala and Lixing Yang and Sung{-}Soo Yoon and Jorge Zamora}, title = {Analyses of non-coding somatic drivers in 2,658 cancer whole genomes}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {102--111}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1965-x}, doi = {10.1038/S41586-020-1965-X}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/RheinbayNAWSTHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ParkKJJK20, author = {Jae Hyeon Park and Jigang Kim and Youngseok Jang and Inkyu Jang and H. Jin Kim}, title = {Learning Transformable and Plannable se(3) Features for Scene Imitation of a Mobile Service Robot}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {5}, number = {2}, pages = {1664--1671}, year = {2020}, url = {https://doi.org/10.1109/LRA.2020.2968032}, doi = {10.1109/LRA.2020.2968032}, timestamp = {Mon, 25 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/ParkKJJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKHLHKKPHJK20, author = {Seung Hee Kim and Hyun{-}Cheol Kim and Chang{-}Uk Hyun and Sungjae Lee and Jung{-}Seok Ha and Joo{-}Hong Kim and Young{-}Joo Kwon and Jeong{-}Won Park and Hyangsun Han and Seong{-}Yeob Jeong and Duk{-}jin Kim}, title = {Evolution of Backscattering Coefficients of Drifting Multi-Year Sea Ice during End of Melting and Onset of Freeze-up in the Western Beaufort Sea}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1378}, year = {2020}, url = {https://doi.org/10.3390/rs12091378}, doi = {10.3390/RS12091378}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKHLHKKPHJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPJJ20, author = {Dae{-}Won Kim and Young{-}Je Park and Jin{-}Yong Jeong and Young{-}Heon Jo}, title = {Estimation of Hourly Sea Surface Salinity in the East China Sea Using Geostationary Ocean Color Imager Measurements}, journal = {Remote. Sens.}, volume = {12}, number = {5}, pages = {755}, year = {2020}, url = {https://doi.org/10.3390/rs12050755}, doi = {10.3390/RS12050755}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPJJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkKBJ20, author = {Jinku Park and Hyun{-}Cheol Kim and Dukwon Bae and Young{-}Heon Jo}, title = {Data Reconstruction for Remotely Sensed Chlorophyll-a Concentration in the Ross Sea Using Ensemble-Based Machine Learning}, journal = {Remote. Sens.}, volume = {12}, number = {11}, pages = {1898}, year = {2020}, url = {https://doi.org/10.3390/rs12111898}, doi = {10.3390/RS12111898}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkKBJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungPPK20, author = {Kyungmi Chung and Jin Young Park and Kiwan Park and Yaeri Kim}, title = {Which Visual Modality Is Important When Judging the Naturalness of the Agent (Artificial Versus Human Intelligence) Providing Recommendations in the Symbolic Consumption Context?}, journal = {Sensors}, volume = {20}, number = {17}, pages = {5016}, year = {2020}, url = {https://doi.org/10.3390/s20175016}, doi = {10.3390/S20175016}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChungPPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HwangLMP20, author = {Young{-}Joon Hwang and Jin{-}Gu Lee and Un{-}Chul Moon and Ho{-}Hyun Park}, title = {{SSD-TSEFFM:} New {SSD} Using Trident Feature and Squeeze and Extraction Feature Fusion}, journal = {Sensors}, volume = {20}, number = {13}, pages = {3630}, year = {2020}, url = {https://doi.org/10.3390/s20133630}, doi = {10.3390/S20133630}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HwangLMP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HyunJPY20, author = {Eugin Hyun and Young{-}Seok Jin and Jae{-}Hyun Park and Jong{-}Ryul Yang}, title = {Machine Learning-Based Human Recognition Scheme Using a Doppler Radar Sensor for In-Vehicle Applications}, journal = {Sensors}, volume = {20}, number = {21}, pages = {6202}, year = {2020}, url = {https://doi.org/10.3390/s20216202}, doi = {10.3390/S20216202}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/HyunJPY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinCP20, author = {Jie Jin and Youngbeen Chung and Junhong Park}, title = {Development of a Flowmeter Using Vibration Interaction between Gauge Plate and External Flow Analyzed by {LSTM}}, journal = {Sensors}, volume = {20}, number = {20}, pages = {5922}, year = {2020}, url = {https://doi.org/10.3390/s20205922}, doi = {10.3390/S20205922}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JinCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangKPKL20, author = {Min Kang and Hyunjin Kwon and Jin{-}Hyeok Park and Seokhwan Kang and Youngho Lee}, title = {Deep-Asymmetry: Asymmetry Matrix Image for Deep Learning Method in Pre-Screening Depression}, journal = {Sensors}, volume = {20}, number = {22}, pages = {6526}, year = {2020}, url = {https://doi.org/10.3390/s20226526}, doi = {10.3390/S20226526}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KangKPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLPYSHKYY20, author = {Do Hun Kim and Yang Soo Lee and Won Kyu Park and Jin Sun Yoo and Changup Shim and Young Joon Hong and Bong Kyun Kang and Dae Ho Yoon and Woo Seok Yang}, title = {Flexible Graphite/PPG Hybrid Composite-Based Resistive Sensor for Sensing Organic Compounds}, journal = {Sensors}, volume = {20}, number = {9}, pages = {2651}, year = {2020}, url = {https://doi.org/10.3390/s20092651}, doi = {10.3390/S20092651}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLPYSHKYY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeRLPLKKK20, author = {Seungjun Lee and Seong Min Roh and Eunji Lee and Yejin Park and Byung Chul Lee and Youngeun Kwon and Hye Jin Kim and Jinsik Kim}, title = {Applications of Converged Various Forces for Detection of Biomolecules and Novelty of Dielectrophoretic Force in the Applications}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3242}, year = {2020}, url = {https://doi.org/10.3390/s20113242}, doi = {10.3390/S20113242}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeRLPLKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkC20a, author = {Seunghyun Park and Jin{-}Young Choi}, title = {Hierarchical Anomaly Detection Model for In-Vehicle Networks Using Machine Learning Algorithms}, journal = {Sensors}, volume = {20}, number = {14}, pages = {3934}, year = {2020}, url = {https://doi.org/10.3390/s20143934}, doi = {10.3390/S20143934}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkC20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJKK20, author = {Sang{-}Jin Park and Young{-}Sang Joo and Hoe{-}Woong Kim and Sung{-}Kyun Kim}, title = {Selective Generation of Lamb Wave Modes in a Finite-Width Plate by Angle-Beam Excitation Method}, journal = {Sensors}, volume = {20}, number = {14}, pages = {3868}, year = {2020}, url = {https://doi.org/10.3390/s20143868}, doi = {10.3390/S20143868}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkSJLJ20, author = {Chan Yuk Park and Jin Ho Sung and Eun Young Jeong and Hee Su Lee and Jong Seob Jeong}, title = {Interleaved Array Transducer with Polarization Inversion Technique to Implement Ultrasound Tissue Harmonic Imaging}, journal = {Sensors}, volume = {20}, number = {14}, pages = {3915}, year = {2020}, url = {https://doi.org/10.3390/s20143915}, doi = {10.3390/S20143915}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkSJLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangHKPLPLKJ20, author = {WonSeok Yang and Jun{-}Yong Hong and Jeong{-}Youn Kim and Seung{-}ho Paik and Seung Hyun Lee and Ji{-}Su Park and Gihyoun Lee and Beop Min Kim and Young{-}Jin Jung}, title = {A Novel Singular Value Decomposition-Based Denoising Method in 4-Dimensional Computed Tomography of the Brain in Stroke Patients with Statistical Evaluation}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3063}, year = {2020}, url = {https://doi.org/10.3390/s20113063}, doi = {10.3390/S20113063}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangHKPLPLKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/BaePK20, author = {Mun{-}Jin Bae and Chan{-}Ho Park and Young{-}Ho Kim}, title = {Conditions to Guarantee the Existence of the Solution to Stochastic Differential Equations of Neutral Type}, journal = {Symmetry}, volume = {12}, number = {10}, pages = {1613}, year = {2020}, url = {https://doi.org/10.3390/sym12101613}, doi = {10.3390/SYM12101613}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/BaePK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/ChoKP20, author = {Woojin Cho and Youngrae Kim and Jinkyoo Park}, title = {Hierarchical Anomaly Detection Using a Multioutput Gaussian Process}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {17}, number = {1}, pages = {261--272}, year = {2020}, url = {https://doi.org/10.1109/TASE.2019.2917887}, doi = {10.1109/TASE.2019.2917887}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/ChoKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KimPJ20, author = {Ho{-}Jun Kim and Jin Bae Park and Young Hoon Joo}, title = {Decentralized H\({}_{\mbox{{\(\infty\)}}}\) Sampled-Data Fuzzy Filter for Nonlinear Interconnected Oscillating Systems With Uncertain Interconnections}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {28}, number = {3}, pages = {487--498}, year = {2020}, url = {https://doi.org/10.1109/TFUZZ.2019.2908151}, doi = {10.1109/TFUZZ.2019.2908151}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/KimPJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KimJPK20, author = {Sul{-}Ho Kim and Seok{-}Woo Jang and Jin{-}Ho Park and Gye{-}Young Kim}, title = {Robust hand pose estimation using visual sensor in IoT environment}, journal = {J. Supercomput.}, volume = {76}, number = {7}, pages = {5382--5401}, year = {2020}, url = {https://doi.org/10.1007/s11227-019-03082-3}, doi = {10.1007/S11227-019-03082-3}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KimJPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KwonCMYLKKPKH20, author = {Soonho Kwon and Yongtae Choi and Sangmi Moon and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Intae Hwang}, title = {Performance Enhancement of Hybrid {TDOA/AOA} Using Multipath Delay Estimation}, journal = {Wirel. Pers. Commun.}, volume = {115}, number = {3}, pages = {2551--2568}, year = {2020}, url = {https://doi.org/10.1007/s11277-020-07696-2}, doi = {10.1007/S11277-020-07696-2}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KwonCMYLKKPKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aied/ChoiLSCPLBBKH20, author = {Youngduck Choi and Youngnam Lee and Dongmin Shin and Junghyun Cho and Seoyon Park and Seewoo Lee and Jineon Baek and Chan Bae and Byungsoo Kim and Jaewe Heo}, editor = {Ig Ibert Bittencourt and Mutlu Cukurova and Kasia Muldner and Rose Luckin and Eva Mill{\'{a}}n}, title = {EdNet: {A} Large-Scale Hierarchical Dataset in Education}, booktitle = {Artificial Intelligence in Education - 21st International Conference, {AIED} 2020, Ifrane, Morocco, July 6-10, 2020, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {12164}, pages = {69--73}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-52240-7\_13}, doi = {10.1007/978-3-030-52240-7\_13}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aied/ChoiLSCPLBBKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccs/AhnLKMPP020, author = {Jinwoo Ahn and Junghee Lee and Yungwoo Ko and Donghyun Min and Jiyun Park and Sungyong Park and Youngjae Kim}, editor = {Hung{-}Min Sun and Shiuh{-}Pyng Shieh and Guofei Gu and Giuseppe Ateniese}, title = {{DISKSHIELD:} {A} Data Tamper-Resistant Storage for Intel {SGX}}, booktitle = {{ASIA} {CCS} '20: The 15th {ACM} Asia Conference on Computer and Communications Security, Taipei, Taiwan, October 5-9, 2020}, pages = {799--812}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3320269.3384717}, doi = {10.1145/3320269.3384717}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccs/AhnLKMPP020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/MinCHSKPJOLY20, author = {Seonwoo Min and Hyun{-}Soo Choi and Hyeongrok Han and Minji Seo and Jin{-}Kook Kim and Junsang Park and Sunghoon Jung and Il{-}Young Oh and Byunghan Lee and Sungroh Yoon}, title = {Bag of Tricks for Electrocardiogram Classification With Deep Neural Networks}, booktitle = {Computing in Cardiology, CinC 2020, Rimini, Italy, September 13-16, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.22489/CinC.2020.328}, doi = {10.22489/CINC.2020.328}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cinc/MinCHSKPJOLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csedu/LeeSLLCCPLBKC20, author = {Youngnam Lee and Dongmin Shin and Hyunbin Loh and Jaemin Lee and Piljae Chae and Junghyun Cho and Seoyon Park and Jinhwan Lee and Jineon Baek and Byungsoo Kim and Youngduck Choi}, editor = {H. Chad Lane and Susan Zvacek and James Uhomoibhi}, title = {Deep Attentive Study Session Dropout Prediction in Mobile Learning Environment}, booktitle = {Proceedings of the 12th International Conference on Computer Supported Education, {CSEDU} 2020, Prague, Czech Republic, May 2-4, 2020, Volume 1}, pages = {26--35}, publisher = {{SCITEPRESS}}, year = {2020}, url = {https://doi.org/10.5220/0009347700260035}, doi = {10.5220/0009347700260035}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csedu/LeeSLLCCPLBKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedATBCZ20, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yue Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2077--2088}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Abdelhamed\_NTIRE\_2020\_Challenge\_on\_Real\_Image\_Denoising\_Dataset\_Methods\_and\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedATBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangGTSDZYGJYK20, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2045--2057}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Zhang\_NTIRE\_2020\_Challenge\_on\_Perceptual\_Extreme\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00254}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangGTSDZYGJYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/KimCPKHK20, author = {Byungjoo Kim and Bryce Chudomelka and Jinyoung Park and Jaewoo Kang and Youngjoon Hong and Hyunwoo J. Kim}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Robust Neural Networks Inspired by Strong Stability Preserving Runge-Kutta Methods}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {IX}}, series = {Lecture Notes in Computer Science}, volume = {12354}, pages = {416--432}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58545-7\_24}, doi = {10.1007/978-3-030-58545-7\_24}, timestamp = {Thu, 05 Nov 2020 14:01:21 +0100}, biburl = {https://dblp.org/rec/conf/eccv/KimCPKHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeMLCJKPKKSNSK20, author = {Hyungwoo Lee and Jun Seung Mun and Jin San Lee and Yeongu Chung and Woo Ram Jung and Joonseong Kang and Sung{-}Min Park and Wonok Kang and Se Hyeon Kim and Dae{-}Won Seo and Duk L. Na and Young{-}Min Shon and Sang Joon Kim}, title = {Long-term Non-Anesthetic Preclinical Study Available Extra-Cranial Brain Activator {(ECBA)} System for the Future Minimally-Invasive Human Neuro-Modulation}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {5184--5187}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176408}, doi = {10.1109/EMBC44109.2020.9176408}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LeeMLCJKPKKSNSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParkQJS20, author = {Jinhwan Park and Xue Qian and Youngmin Jo and Wonyong Sung}, title = {Low-Latency Lightweight Streaming Speech Recognition with 8-Bit Quantized Simple Gated Convolutional Neural Networks}, booktitle = {2020 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2020, Barcelona, Spain, May 4-8, 2020}, pages = {1803--1807}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICASSP40776.2020.9053054}, doi = {10.1109/ICASSP40776.2020.9053054}, timestamp = {Thu, 23 Jul 2020 16:19:28 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParkQJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icbinb/JungKKP20, author = {Seungjae Jung and Kyung{-}Min Kim and Hanock Kwak and Young{-}Jin Park}, editor = {Jessica Zosa Forde and Francisco J. R. Ruiz and Melanie F. Pradier and Aaron Schein}, title = {A Worrying Analysis of Probabilistic Time-series Models for Sales Forecasting}, booktitle = {"I Can't Believe It's Not Better!" at NeurIPS Workshops, Virtual, December 12, 2020}, series = {Proceedings of Machine Learning Research}, volume = {137}, pages = {98--105}, publisher = {{PMLR}}, year = {2020}, url = {https://proceedings.mlr.press/v137/jung20a.html}, timestamp = {Thu, 14 Jul 2022 17:31:05 +0200}, biburl = {https://dblp.org/rec/conf/icbinb/JungKKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/DoKLKC020, author = {Hoseok Do and Jihyun Kim and Kwon Lee and Deukhyeon Kim and Kyuyeol Chae and Jin Young Choi}, title = {Implementation of CNN-based parking slot type classification using around view images}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9212312}, doi = {10.1109/ICCE46568.2020.9212312}, timestamp = {Wed, 23 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/DoKLKC020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimPCJK20, author = {Giseop Kim and Yeong Sang Park and Younghun Cho and Jinyong Jeong and Ayoung Kim}, title = {MulRan: Multimodal Range Dataset for Urban Place Recognition}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {6246--6253}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9197298}, doi = {10.1109/ICRA40945.2020.9197298}, timestamp = {Mon, 28 Sep 2020 12:19:08 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimPCJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoiKSPP20, author = {Jinchul Choi and Hyunseok Kim and Youngsung Son and Chan{-}Won Park and Jun Hee Park}, title = {Robotic Behavioral Cloning Through Task Building}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {1279--1281}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289148}, doi = {10.1109/ICTC49870.2020.9289148}, timestamp = {Tue, 02 Feb 2021 11:06:03 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoiKSPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangPKL20, author = {Min Kang and Jinhyeok Park and Seokhwan Kang and Youngho Lee}, title = {Low channel electroencephalogram based deep learning method to pre-screening depression}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {449--451}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289308}, doi = {10.1109/ICTC49870.2020.9289308}, timestamp = {Tue, 02 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KangPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/GargVGJJHKPKKLM20, author = {Abhinav Garg and Gowtham P. Vadisetti and Dhananjaya Gowda and Sichen Jin and Aditya Jayasimha and Youngho Han and Jiyeon Kim and Junmo Park and Kwangyoun Kim and Sooyeon Kim and Young{-}Yoon Lee and Kyungbo Min and Chanwoo Kim}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Streaming On-Device End-to-End {ASR} System for Privacy-Sensitive Voice-Typing}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {3371--3375}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-3172}, doi = {10.21437/INTERSPEECH.2020-3172}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/GargVGJJHKPKKLM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiangSKSKPUJJKR20, author = {Hai Jiang and Hyun{-}Chul Sagong and Jinju Kim and Hyewon Shim and Yoohwan Kim and Junekyun Park and Taiki Uemura and Yongsung Ji and Taeyoung Jeong and Dongkyun Kwon and Hwasung Rhee and Sangwoo Pae and Brandon Lee}, title = {Advanced Self-heating Model and Methodology for Layout Proximity Effect in FinFET Technology}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9128322}, doi = {10.1109/IRPS45951.2020.9128322}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/JiangSKSKPUJJKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonLLHKSLCKLKP20, author = {Minho Kwon and Seunghyun Lim and Hyeokjong Lee and Il{-}Seon Ha and Moo{-}Young Kim and Il{-}Jin Seo and Suho Lee and Yongsuk Choi and Kyunghoon Kim and Hansoo Lee and Won{-}Woong Kim and Seonghye Park and Kyongmin Koh and Jesuk Lee and Yongin Park}, title = {A Low-Power 65/14nm Stacked {CMOS} Image Sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180435}, doi = {10.1109/ISCAS45731.2020.9180435}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KwonLLHKSLCKLKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkKJJPL20, author = {JoonHong Park and David Kim and Ree Jin Joe and JongWan Jo and YoungGun Pu and Kang{-}Yoon Lee}, title = {Multi-band {PLL} for {RF} wireless charger at 2.4 GHz and 5.8 GHz}, booktitle = {International SoC Design Conference, {ISOCC} 2020, Yeosu, South Korea, October 21-24, 2020}, pages = {39--40}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISOCC50952.2020.9333120}, doi = {10.1109/ISOCC50952.2020.9333120}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ParkKJJPL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChiLPHJLKPKKPCL20, author = {Hyung{-}Joon Chi and Chang{-}Kyo Lee and Junghwan Park and Jin{-}Seok Heo and Jaehoon Jung and Dongkeon Lee and Dae{-}Hyun Kim and Dukha Park and Kihan Kim and Sang{-}Yun Kim and Jinsol Park and Hyunyoon Cho and Sukhyun Lim and YeonKyu Choi and Youngil Lim and Daesik Moon and Geuntae Park and Jin{-}Hun Jang and Kyungho Lee and Isak Hwang and Cheol Kim and Younghoon Son and Gil{-}Young Kang and Kiwon Park and Seungjun Lee and Su{-}Yeon Doo and Chang{-}Ho Shin and Byongwook Na and Ji{-}Suk Kwon and Kyung Ryun Kim and Hye{-}In Choi and Seouk{-}Kyu Choi and Soobong Chang and Wonil Bae and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.2 An 8.5Gb/s/pin 12Gb-LPDDR5 {SDRAM} with a Hybrid-Bank Architecture using Skew-Tolerant, Low-Power and Speed-Boosting Techniques in a 2nd generation 10nm {DRAM} Process}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062914}, doi = {10.1109/ISSCC19947.2020.9062914}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChiLPHJLKPKKPCL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJKKCLLPHCKL20, author = {Hyunchul Kim and Jongeun Park and Insung Joe and Doowon Kwon and Joo Hyoung Kim and Dongsuk Cho and Taehun Lee and Changkyu Lee and Haeyong Park and Soojin Hong and Chongkwang Chang and Jingyun Kim and Hanjin Lim and Youngsun Oh and Yitae Kim and Seungjoo Nah and Sangil Jung and Jaekyu Lee and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.6 {A} 1/2.65in 44Mpixel {CMOS} Image Sensor with 0.7{\(\mathrm{\mu}\)}m Pixels Fabricated in Advanced Full-Depth Deep-Trench Isolation Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {104--106}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062924}, doi = {10.1109/ISSCC19947.2020.9062924}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimPJKKCLLPHCKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKPKC20, author = {Sangwoo Lee and Jinwoong Jeong and Taewoong Kim and Chanmin Park and Taewoo Kim and Youngcheol Chae}, title = {28.3 {A} 5.2Mpixel 88.4dB-DR 12in {CMOS} X-Ray Detector with 16b Column-Parallel Continuous-Time {\(\Delta\)}{\(\Sigma\)} ADCs}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {434--436}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062919}, doi = {10.1109/ISSCC19947.2020.9062919}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKPKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCBKKRPKCSLSHC20, author = {Chi{-}Sung Oh and Ki Chul Chun and Young{-}Yong Byun and Yong{-}Ki Kim and So{-}Young Kim and Yesin Ryu and Jaewon Park and Sinho Kim and Sang{-}uhn Cha and Dong{-}Hak Shin and Jungyu Lee and Jong{-}Pil Son and Byung{-}Kyu Ho and Seong{-}Jin Cho and Beomyong Kil and Sungoh Ahn and Baekmin Lim and Yong{-}Sik Park and Kijun Lee and Myung{-}Kyu Lee and Seungduk Baek and Junyong Noh and Jae{-}Wook Lee and Seungseob Lee and Sooyoung Kim and Bo{-}Tak Lim and Seouk{-}Kyu Choi and Jin{-}Guk Kim and Hye{-}In Choi and Hyuk{-}Jun Kwon and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {22.1 {A} 1.1V 16GB 640GB/s {HBM2E} {DRAM} with a Data-Bus Window-Extension Technique and a Synergetic On-Die {ECC} Scheme}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {330--332}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063110}, doi = {10.1109/ISSCC19947.2020.9063110}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCBKKRPKCSLSHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimHPYHS20, author = {Jaehyung Kim and Youngbum Hur and Sejun Park and Eunho Yang and Sung Ju Hwang and Jinwoo Shin}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {Distribution Aligning Refinery of Pseudo-label for Imbalanced Semi-supervised Learning}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/a7968b4339a1b85b7dbdb362dc44f9c4-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimHPYHS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nordichi/ParkH20, author = {Jeong Jin Park and Young{-}Ae Hahn}, editor = {David Lamas and Hegle Sarapuu and Marta L{\'{a}}rusd{\'{o}}ttir and Jan Stage and Carmelo Ardito}, title = {rTag: a participatory news platform for critical reading of unverified news}, booktitle = {NordiCHI '20: Shaping Experiences, Shaping Society, Proceedings of the 11th Nordic Conference on Human-Computer Interaction, Tallinn, Estonia, 25-29 October, 2020}, pages = {111:1--111:3}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3419249.3421249}, doi = {10.1145/3419249.3421249}, timestamp = {Thu, 29 Oct 2020 10:13:18 +0100}, biburl = {https://dblp.org/rec/conf/nordichi/ParkH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/NohKLHJJHOCPKC20, author = {Youngbin Noh and Kuntae Kim and Minho Lee and Cheolhun Heo and Yongbin Jeong and Yoosung Jeong and Younggyun Hahm and Taehwan Oh and Hyonsu Choe and Seokwon Park and Jin{-}Dong Kim and Key{-}Sun Choi}, editor = {Minh Le Nguyen and Mai Chi Luong and Sanghoun Song}, title = {Enhancing Quality of Corpus Annotation: Construction of the Multi-Layer Corpus Annotation and Simplified Validation of the Corpus Annotation}, booktitle = {Proceedings of the 34th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2020, Hanoi, Vietnam, October 24-26, 2020}, pages = {216--224}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://aclanthology.org/2020.paclic-1.25/}, timestamp = {Tue, 18 Oct 2022 10:42:25 +0200}, biburl = {https://dblp.org/rec/conf/paclic/NohKLHJJHOCPKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/JeongYKPPC20, author = {Jisu Jeong and Jeong{-}Min Yun and Hongi Keam and Young{-}Jin Park and Zimin Park and Junki Cho}, editor = {Toine Bogers and Marijn Koolen and Casper Petersen and Bamshad Mobasher and Alexander Tuzhilin and Oren Sar Shalom and Dietmar Jannach and Joseph A. Konstan}, title = {div2vec: Diversity-Emphasized Node Embedding}, booktitle = {Proceedings of the Workshops on Recommendation in Complex Scenarios and the Impact of Recommender Systems co-located with 14th {ACM} Conference on Recommender Systems (RecSys 2020), Online, September 25, 2020}, series = {{CEUR} Workshop Proceedings}, volume = {2697}, publisher = {CEUR-WS.org}, year = {2020}, url = {https://ceur-ws.org/Vol-2697/paper2\_impactrs.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:14 +0100}, biburl = {https://dblp.org/rec/conf/recsys/JeongYKPPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2001-00577, author = {Kwangyoun Kim and Kyungmin Lee and Dhananjaya Gowda and Junmo Park and Sungsoo Kim and Sichen Jin and Young{-}Yoon Lee and Jinsu Yeo and Daehyun Kim and Seokyeong Jung and Jungin Lee and Myoungji Han and Chanwoo Kim}, title = {Attention based on-device streaming speech recognition with large speech corpus}, journal = {CoRR}, volume = {abs/2001.00577}, year = {2020}, url = {http://arxiv.org/abs/2001.00577}, eprinttype = {arXiv}, eprint = {2001.00577}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2001-00577.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-11624, author = {Youngnam Lee and Dongmin Shin and Hyunbin Loh and Jaemin Lee and Piljae Chae and Junghyun Cho and Seoyon Park and Jinhwan Lee and Jineon Baek and Byungsoo Kim and Youngduck Choi}, title = {Deep Attentive Study Session Dropout Prediction in Mobile Learning Environment}, journal = {CoRR}, volume = {abs/2002.11624}, year = {2020}, url = {https://arxiv.org/abs/2002.11624}, eprinttype = {arXiv}, eprint = {2002.11624}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-11624.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01056, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2005.01056}, year = {2020}, url = {https://arxiv.org/abs/2005.01056}, eprinttype = {arXiv}, eprint = {2005.01056}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04117, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yun Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2005.04117}, year = {2020}, url = {https://arxiv.org/abs/2005.04117}, eprinttype = {arXiv}, eprint = {2005.04117}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-12739, author = {Yang{-}Ho Ji and HeeJae Jun and Insik Kim and Jongtack Kim and Youngjoon Kim and ByungSoo Ko and Hyong{-}Keun Kook and Jingeun Lee and Sangwon Lee and Sanghyuk Park}, title = {An Effective Pipeline for a Real-world Clothes Retrieval System}, journal = {CoRR}, volume = {abs/2005.12739}, year = {2020}, url = {https://arxiv.org/abs/2005.12739}, eprinttype = {arXiv}, eprint = {2005.12739}, timestamp = {Thu, 28 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-12739.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-04298, author = {Jin{-}Hwa Kim and Junyoung Park and Yongseok Choi}, title = {Multi-step Estimation for Gradient-based Meta-learning}, journal = {CoRR}, volume = {abs/2006.04298}, year = {2020}, url = {https://arxiv.org/abs/2006.04298}, eprinttype = {arXiv}, eprint = {2006.04298}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-04298.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-14897, author = {Young{-}Jin Park and Kyuyong Shin and Kyung{-}Min Kim}, title = {Hop Sampling: {A} Simple Regularized Graph Learning for Non-Stationary Environments}, journal = {CoRR}, volume = {abs/2006.14897}, year = {2020}, url = {https://arxiv.org/abs/2006.14897}, eprinttype = {arXiv}, eprint = {2006.14897}, timestamp = {Thu, 02 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-14897.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-02334, author = {Kyuyong Shin and Young{-}Jin Park and Kyung{-}Min Kim and Sunyoung Kwon}, title = {Multi-Manifold Learning for Large-scale Targeted Advertising System}, journal = {CoRR}, volume = {abs/2007.02334}, year = {2020}, url = {https://arxiv.org/abs/2007.02334}, eprinttype = {arXiv}, eprint = {2007.02334}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-02334.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-08844, author = {Jaehyung Kim and Youngbum Hur and Sejun Park and Eunho Yang and Sung Ju Hwang and Jinwoo Shin}, title = {Distribution Aligning Refinery of Pseudo-label for Imbalanced Semi-supervised Learning}, journal = {CoRR}, volume = {abs/2007.08844}, year = {2020}, url = {https://arxiv.org/abs/2007.08844}, eprinttype = {arXiv}, eprint = {2007.08844}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-08844.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-09588, author = {Jisu Jeong and Jeong{-}Min Yun and Hongi Keam and Young{-}Jin Park and Zimin Park and Junki Cho}, title = {div2vec: Diversity-Emphasized Node Embedding}, journal = {CoRR}, volume = {abs/2009.09588}, year = {2020}, url = {https://arxiv.org/abs/2009.09588}, eprinttype = {arXiv}, eprint = {2009.09588}, timestamp = {Wed, 23 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-09588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-12610, author = {Young{-}Gon Kim and Kyung Sang Kim and Dufan Wu and Hui Ren and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep Learning-based Four-region Lung Segmentation in Chest Radiography for {COVID-19} Diagnosis}, journal = {CoRR}, volume = {abs/2009.12610}, year = {2020}, url = {https://arxiv.org/abs/2009.12610}, eprinttype = {arXiv}, eprint = {2009.12610}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-12610.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-10047, author = {Byungjoo Kim and Bryce Chudomelka and Jinyoung Park and Jaewoo Kang and Youngjoon Hong and Hyunwoo J. Kim}, title = {Robust Neural Networks inspired by Strong Stability Preserving Runge-Kutta methods}, journal = {CoRR}, volume = {abs/2010.10047}, year = {2020}, url = {https://arxiv.org/abs/2010.10047}, eprinttype = {arXiv}, eprint = {2010.10047}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-10047.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-12975, author = {Bryce Chudomelka and Youngjoon Hong and Hyunwoo J. Kim and Jinyoung Park}, title = {Deep neural network for solving differential equations motivated by Legendre-Galerkin approximation}, journal = {CoRR}, volume = {abs/2010.12975}, year = {2020}, url = {https://arxiv.org/abs/2010.12975}, eprinttype = {arXiv}, eprint = {2010.12975}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-12975.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-08345, author = {Jung{-}Su Ha and Young{-}Jin Park and Hyeok{-}Joo Chae and Soon{-}Seo Park and Han{-}Lim Choi}, title = {Distilling a Hierarchical Policy for Planning and Control via Representation and Reinforcement Learning}, journal = {CoRR}, volume = {abs/2011.08345}, year = {2020}, url = {https://arxiv.org/abs/2011.08345}, eprinttype = {arXiv}, eprint = {2011.08345}, timestamp = {Wed, 18 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-08345.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-10715, author = {Seungjae Jung and Kyung{-}Min Kim and Hanock Kwak and Young{-}Jin Park}, title = {A Worrying Analysis of Probabilistic Time-series Models for Sales Forecasting}, journal = {CoRR}, volume = {abs/2011.10715}, year = {2020}, url = {https://arxiv.org/abs/2011.10715}, eprinttype = {arXiv}, eprint = {2011.10715}, timestamp = {Wed, 25 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-10715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-03663, author = {Aoxiao Zhong and Xiang Li and Dufan Wu and Hui Ren and Kyung Sang Kim and Young{-}Gon Kim and Varun Buch and Nir Neumark and Bernardo Bizzo and Won Young Tak and Soo Young Park and Yu Rim Lee and Min Kyu Kang and Jung Gil Park and Byung Seok Kim and Woo Jin Chung and Ning Guo and Ittai Dayan and Mannudeep K. Kalra and Quanzheng Li}, title = {Deep Metric Learning-based Image Retrieval System for Chest Radiograph and its Clinical Applications in {COVID-19}}, journal = {CoRR}, volume = {abs/2012.03663}, year = {2020}, url = {https://arxiv.org/abs/2012.03663}, eprinttype = {arXiv}, eprint = {2012.03663}, timestamp = {Wed, 06 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-03663.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-07268, author = {Jae{-}Young Park and Young{-}Jin Kim}, title = {Developing an Analytical Model of Frequency and Voltage Variations for Dynamic Reconfiguration}, journal = {CoRR}, volume = {abs/2012.07268}, year = {2020}, url = {https://arxiv.org/abs/2012.07268}, eprinttype = {arXiv}, eprint = {2012.07268}, timestamp = {Tue, 26 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-07268.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiPLCSHNSDHK19, author = {Ki Hong Choi and Jinhyoung Park and Joo Myung Lee and Taewon Choi and Young Bin Song and Joo{-}Yong Hahn and Chang{-}Wook Nam and Eun{-}Seok Shin and Joon{-}Hyung Doh and Seung{-}Ho Hur and Bon{-}Kwon Koo}, title = {Comparison of Current and Novel ECG-Independent Algorithms for Resting Pressure Derived Physiologic Indices}, journal = {{IEEE} Access}, volume = {7}, pages = {144313--144323}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2940085}, doi = {10.1109/ACCESS.2019.2940085}, timestamp = {Thu, 07 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoiPLCSHNSDHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeYLHHKKP19, author = {Jaeshin Lee and Juwon Yun and Jinyoung Lee and Imjae Hwang and Dukki Hong and Youngsik Kim and Cheong Ghil Kim and Woo{-}Chan Park}, title = {An Effective Algorithm and Architecture for the High-Throughput Lossless Compression of High-Resolution Images}, journal = {{IEEE} Access}, volume = {7}, pages = {138803--138815}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2943194}, doi = {10.1109/ACCESS.2019.2943194}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeYLHHKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YoonHCJOPLH19, author = {Young Yoon and Heesu Hwang and YongJun Choi and Minbeom Joo and Hyeyoon Oh and Insun Park and Keon{-}Hee Lee and Jin{-}Ha Hwang}, title = {Analyzing Basketball Movements and Pass Relationships Using Realtime Object Tracking Techniques Based on Deep Learning}, journal = {{IEEE} Access}, volume = {7}, pages = {56564--56576}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2913953}, doi = {10.1109/ACCESS.2019.2913953}, timestamp = {Fri, 31 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YoonHCJOPLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YunLKLNKP19, author = {Juwon Yun and Jinyoung Lee and Cheong Ghil Kim and Yeong{-}Kyu Lim and Jae{-}Ho Nah and Youngsik Kim and Woo{-}Chan Park}, title = {A Practically Applicable Performance Prediction Model Based on Capabilities of Texture Mapping Units for Mobile GPUs}, journal = {{IEEE} Access}, volume = {7}, pages = {102975--102984}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2931290}, doi = {10.1109/ACCESS.2019.2931290}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YunLKLNKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkKJK19, author = {Jaihyun Park and Bonhwa Ku and Youngsaeng Jin and Hanseok Ko}, title = {Side Scan Sonar Image Super Resolution via Region-Selective Sparse Coding}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {102-D}, number = {1}, pages = {210--213}, year = {2019}, url = {https://doi.org/10.1587/transinf.2018EDL8170}, doi = {10.1587/TRANSINF.2018EDL8170}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkKJK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/LeeHPKJ19, author = {Gihyoun Lee and Jun{-}Yong Hong and Ji{-}Su Park and Myoung Nam Kim and Young{-}Jin Jung}, title = {Dynamic Positron Emission Tomography Brain Image Analysis for Anatomical Segmentation Based on Non-Negative Matrix Factorization}, journal = {J. Medical Imaging Health Informatics}, volume = {9}, number = {9}, pages = {1933--1937}, year = {2019}, url = {https://doi.org/10.1166/jmihi.2019.2817}, doi = {10.1166/JMIHI.2019.2817}, timestamp = {Tue, 30 Mar 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmihi/LeeHPKJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/HanPPK19, author = {Joong{-}hee Han and Chi{-}Ho Park and Young{-}Jin Park and Jay Hyoun Kwon}, title = {Preliminary Results of the Development of a Single-Frequency {GNSS} RTK-Based Autonomous Driving System for a Speed Sprayer}, journal = {J. Sensors}, volume = {2019}, pages = {4687819:1--4687819:9}, year = {2019}, url = {https://doi.org/10.1155/2019/4687819}, doi = {10.1155/2019/4687819}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/HanPPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ParkLYL19, author = {So{-}Young Park and Dae Geon Lee and Eun Jin Yoo and Dong{-}Cheon Lee}, title = {Segmentation of LiDAR Data Using Multilevel Cube Code}, journal = {J. Sensors}, volume = {2019}, pages = {4098413:1--4098413:18}, year = {2019}, url = {https://doi.org/10.1155/2019/4098413}, doi = {10.1155/2019/4098413}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ParkLYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/HaKHCKKPCLKY19, author = {Hojin Ha and Heejun Kang and Hyungkyu Huh and Woorak Choi and Hyun Jung Koo and Jaeyoung Kwon and Kyoung Jin Park and Young Chul Cho and Sang Joon Lee and Namkug Kim and Dong Hyun Yang}, title = {Accuracy evaluation of blood flow distribution in the Fontan circulation: effects of resolution and velocity noise}, journal = {J. Vis.}, volume = {22}, number = {2}, pages = {245--257}, year = {2019}, url = {https://doi.org/10.1007/s12650-018-0536-9}, doi = {10.1007/S12650-018-0536-9}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/HaKHCKKPCLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/SharmaPJP19, author = {Pradip Kumar Sharma and Jin Ho Park and Young{-}Sik Jeong and Jong Hyuk Park}, title = {SHSec: {SDN} based Secure Smart Home Network Architecture for Internet of Things}, journal = {Mob. Networks Appl.}, volume = {24}, number = {3}, pages = {913--924}, year = {2019}, url = {https://doi.org/10.1007/s11036-018-1147-3}, doi = {10.1007/S11036-018-1147-3}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/SharmaPJP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mva/ParkKCKKK19, author = {Jinhee Park and Dokyeong Kwon and Bo Won Choi and Ga Young Kim and Kwang Yong Kim and Junseok Kwon}, title = {Small object segmentation with fully convolutional network based on overlapping domain decomposition}, journal = {Mach. Vis. Appl.}, volume = {30}, number = {4}, pages = {707--716}, year = {2019}, url = {https://doi.org/10.1007/s00138-019-01023-x}, doi = {10.1007/S00138-019-01023-X}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mva/ParkKCKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/HeoKLKPC19, author = {Young Jin Heo and Dayeon Kim and Woongyong Lee and Hyoungkyun Kim and Jonghoon Park and Wan Kyun Chung}, title = {Collision Detection for Industrial Collaborative Robots: {A} Deep Learning Approach}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {4}, number = {2}, pages = {740--746}, year = {2019}, url = {https://doi.org/10.1109/LRA.2019.2893400}, doi = {10.1109/LRA.2019.2893400}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/HeoKLKPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JeongHPJJ19, author = {Yubeen Jeong and Jihyun Hwang and Jinku Park and Chan Joo Jang and Young{-}Heon Jo}, title = {Reconstructed 3-D Ocean Temperature Derived from Remotely Sensed Sea Surface Measurements for Mixed Layer Depth Analysis}, journal = {Remote. Sens.}, volume = {11}, number = {24}, pages = {3018}, year = {2019}, url = {https://doi.org/10.3390/rs11243018}, doi = {10.3390/RS11243018}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/JeongHPJJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkKKKBJJL19, author = {Jinku Park and Jeong{-}Hoon Kim and Hyun{-}Cheol Kim and Bong{-}Kuk Kim and Dukwon Bae and Young{-}Heon Jo and Naeun Jo and Sang Heon Lee}, title = {Reconstruction of Ocean Color Data Using Machine Learning Techniques in Polar Regions: Focusing on Off Cape Hallett, Ross Sea}, journal = {Remote. Sens.}, volume = {11}, number = {11}, pages = {1366}, year = {2019}, url = {https://doi.org/10.3390/rs11111366}, doi = {10.3390/RS11111366}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkKKKBJJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkPKCLKAKKP19, author = {Hyeon{-}Ju Park and Jin{-}Soo Park and Sang{-}Woo Kim and Heesung Chong and Hana Lee and Hyunjae Kim and Joon{-}Young Ahn and Dai{-}Gon Kim and Jhoon Kim and Sang Seo Park}, title = {Retrieval of {NO2} Column Amounts from Ground-Based Hyperspectral Imaging Sensor Measurements}, journal = {Remote. Sens.}, volume = {11}, number = {24}, pages = {3005}, year = {2019}, url = {https://doi.org/10.3390/rs11243005}, doi = {10.3390/RS11243005}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkPKCLKAKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/JeonKHLKKPJKMNK19, author = {Sungwoong Jeon and Sangwon Kim and Shinwon Ha and Seungmin Lee and Eunhee Kim and So Yeun Kim and Sun Hwa Park and Jung Ho Jeon and Sung Won Kim and Cheil Moon and Bradley J. Nelson and Jin{-}young Kim and Seong{-}Woon Yu and Hongsoo Choi}, title = {Magnetically actuated microrobots as a platform for stem cell transplantation}, journal = {Sci. Robotics}, volume = {4}, number = {30}, year = {2019}, url = {https://doi.org/10.1126/scirobotics.aav4317}, doi = {10.1126/SCIROBOTICS.AAV4317}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/JeonKHLKKPJKMNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnJKJP19, author = {Jin{-}Hee Ahn and Young{-}Soo Jeong and In{-}Tae Kim and Seok{-}Hyeon Jeon and Chan{-}Hee Park}, title = {A Method for Estimating Time-Dependent Corrosion Depth of Carbon and Weathering Steel Using an Atmospheric Corrosion Monitor Sensor}, journal = {Sensors}, volume = {19}, number = {6}, pages = {1416}, year = {2019}, url = {https://doi.org/10.3390/s19061416}, doi = {10.3390/S19061416}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnJKJP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChinnadayyalaPK19, author = {Somasekhar R. Chinnadayyala and Jinsoo Park and Young Hyo Kim and Seong Hye Choi and Sang{-}Myung Lee and Won Woo Cho and Ga{-}Yeon Lee and Jae{-}Chul Pyun and Sungbo Cho}, title = {Electrochemical Detection of C-Reactive Protein in Human Serum Based on Self-Assembled Monolayer-Modified Interdigitated Wave-Shaped Electrode}, journal = {Sensors}, volume = {19}, number = {24}, pages = {5560}, year = {2019}, url = {https://doi.org/10.3390/s19245560}, doi = {10.3390/S19245560}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChinnadayyalaPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinKLJJPAHLC19, author = {Cheng{-}Bin Jin and Hakil Kim and Mingjie Liu and Wonmo Jung and Seongsu Joo and Eunsik Park and Young Saem Ahn and In Ho Han and Jae Il Lee and Xuenan Cui}, title = {Deep {CT} to {MR} Synthesis Using Paired and Unpaired Data}, journal = {Sensors}, volume = {19}, number = {10}, pages = {2361}, year = {2019}, url = {https://doi.org/10.3390/s19102361}, doi = {10.3390/S19102361}, timestamp = {Mon, 29 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JinKLJJPAHLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKPJ19, author = {Sehoon Kim and Namgyu Kim and Young{-}Soo Park and Seung{-}Seop Jin}, title = {A Sequential Framework for Improving Identifiability of {FE} Model Updating using Static and Dynamic Data}, journal = {Sensors}, volume = {19}, number = {23}, pages = {5099}, year = {2019}, url = {https://doi.org/10.3390/s19235099}, doi = {10.3390/S19235099}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimKPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YeomPCKL19, author = {Jong{-}Min Yeom and Seonyoung Park and Taebyeong Chae and Jin{-}Young Kim and Chang{-}Suk Lee}, title = {Spatial Assessment of Solar Radiation by Machine Learning and Deep Neural Network Models Using Data Provided by the {COMS} {MI} Geostationary Satellite: {A} Case Study in South Korea}, journal = {Sensors}, volume = {19}, number = {9}, pages = {2082}, year = {2019}, url = {https://doi.org/10.3390/s19092082}, doi = {10.3390/S19092082}, timestamp = {Sun, 14 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/YeomPCKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KhanKLHCYLKPK19, author = {Muhammad Umar Karim Khan and Asim Khan and Jinyeon Lim and Said Hamidov and Won{-}Seok Choi and Woojin Yun and Yeongmin Lee and Young{-}Gyu Kim and Hyun Sang Park and Chong{-}Min Kyung}, title = {Offset Aperture: {A} Passive Single-Lens Camera for Depth Sensing}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {29}, number = {5}, pages = {1380--1393}, year = {2019}, url = {https://doi.org/10.1109/TCSVT.2018.2840053}, doi = {10.1109/TCSVT.2018.2840053}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/KhanKLHCYLKPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/ParkSK19, author = {Jin Woo Park and Moonsoo Shin and Duck Young Kim}, title = {An Extended Agent Communication Framework for Rapid Reconfiguration of Distributed Manufacturing Systems}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {15}, number = {7}, pages = {3845--3855}, year = {2019}, url = {https://doi.org/10.1109/TII.2018.2883409}, doi = {10.1109/TII.2018.2883409}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/ParkSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HongKLPL19, author = {Youngsun Hong and Minsu Kim and Hyunho Lee and Jong Jin Park and Dongyeon Lee}, title = {Early Fault Diagnosis and Classification of Ball Bearing Using Enhanced Kurtogram and Gaussian Mixture Model}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {68}, number = {12}, pages = {4746--4755}, year = {2019}, url = {https://doi.org/10.1109/TIM.2019.2898050}, doi = {10.1109/TIM.2019.2898050}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/HongKLPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ParkNKJRH19, author = {Jin{-}Woo Park and Francis Sahngun Nahm and Jin{-}Hee Kim and Young{-}Tae Jeon and Jung{-}Hee Ryu and Sung{-}Hee Han}, title = {The Effect of Mirroring Display of Virtual Reality Tour of the Operating Theatre on Preoperative Anxiety: {A} Randomized Controlled Trial}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {23}, number = {6}, pages = {2655--2660}, year = {2019}, url = {https://doi.org/10.1109/JBHI.2019.2892485}, doi = {10.1109/JBHI.2019.2892485}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ParkNKJRH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/LimLJKPSS19, author = {Bokman Lim and Jusuk Lee and Junwon Jang and Kyungrock Kim and Young Jin Park and Keehong Seo and Youngbo Shim}, title = {Delayed Output Feedback Control for Gait Assistance With a Robotic Hip Exoskeleton}, journal = {{IEEE} Trans. Robotics}, volume = {35}, number = {4}, pages = {1055--1062}, year = {2019}, url = {https://doi.org/10.1109/TRO.2019.2913318}, doi = {10.1109/TRO.2019.2913318}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/trob/LimLJKPSS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dim/YoonPHY19, author = {Ju Hong Yoon and Min{-}Gyu Park and Youngbae Hwang and Kuk{-}Jin Yoon}, title = {Learning Depth from Endoscopic Images}, booktitle = {2019 International Conference on 3D Vision, 3DV 2019, Qu{\'{e}}bec City, QC, Canada, September 16-19, 2019}, pages = {126--134}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/3DV.2019.00023}, doi = {10.1109/3DV.2019.00023}, timestamp = {Tue, 05 Nov 2019 17:39:17 +0100}, biburl = {https://dblp.org/rec/conf/3dim/YoonPHY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimJKKP19, author = {Kyung Jin Kim and Sangsu Jang and Bomin Kim and Hyosun Kwon and Young Woo Park}, editor = {Steve Harrison and Shaowen Bardzell and Carman Neustaedter and Deborah G. Tatar}, title = {muRedder: Shredding Speaker for Ephemeral Musical Experience}, booktitle = {Proceedings of the 2019 on Designing Interactive Systems Conference, {DIS} 2019, San Diego, CA, USA, June 23-28, 2019}, pages = {127--134}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3322276.3322362}, doi = {10.1145/3322276.3322362}, timestamp = {Fri, 17 Nov 2023 08:06:23 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/KimJKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/KimJKKP19a, author = {Kyung Jin Kim and Sangsu Jang and Bomin Kim and Hyosun Kwon and Young Woo Park}, editor = {Steve Harrison and Shaowen Bardzell and Carman Neustaedter and Deborah G. Tatar}, title = {muRedder: Shredding Speaker for Ephemeral Musical Experience}, booktitle = {Companion Publication of the 2019 on Designing Interactive Systems Conference, {DIS} 2019, San Diego, CA, USA, June 23-28, 2019}, pages = {37--40}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3301019.3325161}, doi = {10.1145/3301019.3325161}, timestamp = {Fri, 17 Nov 2023 08:06:23 +0100}, biburl = {https://dblp.org/rec/conf/ACMdis/KimJKKP19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/ParkHHKSP19, author = {Hongkyu Park and Seunghee Hong and Iqram Hussain and Damee Kim and Young Seo and Se Jin Park}, editor = {Tareq Z. Ahram}, title = {Gait Monitoring System for Stroke Prediction of Aging Adults}, booktitle = {Advances in Human Factors in Wearable Technologies and Game Design - Proceedings of the {AHFE} 2019 International Conference on Human Factors and Wearable Technologies, and the {AHFE} International Conference on Game Design and Virtual Environments, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {973}, pages = {93--97}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20476-1\_11}, doi = {10.1007/978-3-030-20476-1\_11}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ahfe/ParkHHKSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ahfe/ParkHKHSK19, author = {Se Jin Park and Seunghee Hong and Damee Kim and Iqram Hussain and Young Seo and Min Kyu Kim}, editor = {Tareq Z. Ahram}, title = {Physiological Evaluation of a Non-invasive Wearable Vagus Nerve Stimulation {(VNS)} Device}, booktitle = {Advances in Human Factors in Wearable Technologies and Game Design - Proceedings of the {AHFE} 2019 International Conference on Human Factors and Wearable Technologies, and the {AHFE} International Conference on Game Design and Virtual Environments, Washington, DC, USA, July 24-28, 2019}, series = {Advances in Intelligent Systems and Computing}, volume = {973}, pages = {57--62}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-20476-1\_7}, doi = {10.1007/978-3-030-20476-1\_7}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ahfe/ParkHKHSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/KwonLPSB19, author = {Hyunjin Kwon and Youngho Lee and Jinhyeok Park and Sunjin Sym and Jeong{-}Heum Baek}, title = {Improving Clinical Decision Support System by Analyzing Prognostic Factors of Colorectal Cancer Stage {IV} Patients}, booktitle = {{AMIA} 2019, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2019}, publisher = {{AMIA}}, year = {2019}, url = {https://knowledge.amia.org/69862-amia-1.4570936/t007-1.4573510/t007-1.4573511/3202142-1.4574079/3196096-1.4574076}, timestamp = {Wed, 17 Apr 2024 11:47:08 +0200}, biburl = {https://dblp.org/rec/conf/amia/KwonLPSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/KimJPK19, author = {Chansung Kim and Young{-}Goun Jin and Jiyoung Park and Dongwoon Kang}, editor = {Elhadi M. Shakshuki and Ansar{-}Ul{-}Haque Yasar}, title = {The influence of an autonomous driving car operation on commuters' departure times}, booktitle = {The 10th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2019) / The 2nd International Conference on Emerging Data and Industry 4.0 {(EDI40} 2019) / Affiliated Workshops, April 29 - May 2, 2019, Leuven, Belgium}, series = {Procedia Computer Science}, volume = {151}, pages = {85--91}, publisher = {Elsevier}, year = {2019}, url = {https://doi.org/10.1016/j.procs.2019.04.015}, doi = {10.1016/J.PROCS.2019.04.015}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/KimJPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ant/KimJPK19a, author = {Chansung Kim and Young{-}Goun Jin and Jiyoung Park and Dongwoon Kang}, editor = {Elhadi M. Shakshuki and Ansar{-}Ul{-}Haque Yasar}, title = {A case study of a last-mile solution in a high-density residential neighborhood}, booktitle = {The 10th International Conference on Ambient Systems, Networks and Technologies {(ANT} 2019) / The 2nd International Conference on Emerging Data and Industry 4.0 {(EDI40} 2019) / Affiliated Workshops, April 29 - May 2, 2019, Leuven, Belgium}, series = {Procedia Computer Science}, volume = {151}, pages = {132--138}, publisher = {Elsevier}, year = {2019}, url = {https://doi.org/10.1016/j.procs.2019.04.021}, doi = {10.1016/J.PROCS.2019.04.021}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ant/KimJPK19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asiasim/JungKCSJKP19, author = {Gisun Jung and Young Kim and Jongyou Choi and Younghan Song and Sunwoo Jang and Yun Bae Kim and Jinsoo Park}, editor = {Gary Tan and Axel Lehmann and Yong Meng Teo and Wentong Cai}, title = {Interval Estimation of Range of Motion after Total Hip Arthroplasty Applying Monte-Carlo Simulation}, booktitle = {Methods and Applications for Modeling and Simulation of Complex Systems - 19th Asia Simulation Conference, AsiaSim 2019, Singapore, October 30 - November 1, 2019, Proceedings}, series = {Communications in Computer and Information Science}, volume = {1094}, pages = {103--111}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-981-15-1078-6\_9}, doi = {10.1007/978-981-15-1078-6\_9}, timestamp = {Tue, 23 Nov 2021 10:45:59 +0100}, biburl = {https://dblp.org/rec/conf/asiasim/JungKCSJKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/KimJLHKLGPKJLYK19, author = {Kwangyoun Kim and Seokyeong Jung and Jungin Lee and Myoungji Han and Chanwoo Kim and Kyungmin Lee and Dhananjaya Gowda and Junmo Park and Sungsoo Kim and Sichen Jin and Young{-}Yoon Lee and Jinsu Yeo and Daehyun Kim}, title = {Attention Based On-Device Streaming Speech Recognition with Large Speech Corpus}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2019, Singapore, December 14-18, 2019}, pages = {956--963}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ASRU46091.2019.9004027}, doi = {10.1109/ASRU46091.2019.9004027}, timestamp = {Mon, 24 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asru/KimJLHKLGPKJLYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/ChangSKLOPKPCKK19, author = {Jooyoung Chang and Joo Young Shin and Taehoon Ko and Jinho Lee and Baek{-}Lok Oh and Ki Ho Park and Ahryoung Ko and Sang Min Park and Seulggie Choi and Kyuwoong Kim and Sung Min Kim and Jae Moon Yun and Uk Kang and Il Hyung Shin}, editor = {Illhoi Yoo and Jinbo Bi and Xiaohua Hu}, title = {Association of DeepLearning-Based Fundus Age Difference with Carotid Atherosclerosis and Mortality}, booktitle = {2019 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2019, San Diego, CA, USA, November 18-21, 2019}, pages = {1179--1181}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIBM47256.2019.8983160}, doi = {10.1109/BIBM47256.2019.8983160}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/ChangSKLOPKPCKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeePC19, author = {Young{-}Jun Lee and Chan Yong Park and Ho{-}Jin Choi}, title = {Word-Level Emotion Embedding Based on Semi-Supervised Learning for Emotional Classification in Dialogue}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2019, Kyoto, Japan, February 27 - March 2, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIGCOMP.2019.8679196}, doi = {10.1109/BIGCOMP.2019.8679196}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeePC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/0001PCSNLKLAL19, author = {Sungho Jeon and Sung{-}Ik Park and Younseok Choi and Yoo{-}Sang Shin and Jin Sol Nam and Jaekwon Lee and Jahoon Ku and Bo{-}Mi Lim and Sungjun Ahn and Jae{-}Young Lee}, editor = {Huabo Sun}, title = {Methodology for Analyzing {ATSC} 3.0 Single Frequency Network Overlapped Area Based on Spatial Interpolation}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971902}, doi = {10.1109/BMSB47279.2019.8971902}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/0001PCSNLKLAL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/BaekBKLPLLHCH19, author = {Jaeuk Baek and Jimin Bae and Yongjae Kim and Jinteak Lim and Eunhye Park and Jaehyeok Lee and Gyujae Lee and Sang Ik Han and Chol Chu and Youngnam Han}, title = {5G K-Simulator of Flexible, Open, Modular {(FOM)} Structure and Web-based 5G K-SimPlatform}, booktitle = {16th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2019, Las Vegas, NV, USA, January 11-14, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/CCNC.2019.8651775}, doi = {10.1109/CCNC.2019.8651775}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/BaekBKLPLLHCH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/conll/NaMPSK19, author = {Seung{-}Hoon Na and Jinwoon Min and Kwanghyeon Park and Jong{-}Hun Shin and Young{-}Kil Kim}, editor = {Stephan Oepen and Omri Abend and Jan Hajic and Daniel Hershcovich and Marco Kuhlmann and Tim O'Gorman and Nianwen Xue}, title = {{JBNU} at {MRP} 2019: Multi-level Biaffine Attention for Semantic Dependency Parsing}, booktitle = {Proceedings of the Shared Task on Cross-Framework Meaning Representation Parsing at the 2019 Conference on Natural Language Learning, CoNLL 2019, Hong Kong, Novemer 3, 2019}, pages = {95--103}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/K19-2009}, doi = {10.18653/V1/K19-2009}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/conll/NaMPSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiATGZ0a19, author = {Codruta O. Ancuti and Cosmin Ancuti and Radu Timofte and Luc Van Gool and Lei Zhang and Ming{-}Hsuan Yang and Tiantong Guo and Xuelu Li and Venkateswararao Cherukuri and Vishal Monga and Hao Jiang and Siyuan Yang and Yan Liu and Xiaochao Qu and Pengfei Wan and Dongwon Park and Se Young Chun and Ming Hong and Jinying Huang and Yizi Chen and Shuxin Chen and Bomin Wang and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Jing Liu and Sanchayan Santra and Ranjan Mondal and Bhabatosh Chanda and Peter Morales and Tzofi Klinghoffer and Le Manh Quan and Yong{-}Guk Kim and Xiao Liang and Runde Li and Jinshan Pan and Jinhui Tang and Kuldeep Purohit and Maitreya Suin and A. N. Rajagopalan and Raimondo Schettini and Simone Bianco and Flavio Piccoli and Claudio Cusano and Luigi Celona and Sunhee Hwang and Yu Seung Ma and Hyeran Byun and Subrahmanyam Murala and Akshay Dudhane and Harshjeet Singh Aulakh and Tianxiang Zheng and Tao Zhang and Weining Qin and Runnan Zhou and Shanhu Wang and Jean{-}Philippe Tarel and Chuansheng Wang and Jiawei Wu}, title = {{NTIRE} 2019 Image Dehazing Challenge Report}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2241--2253}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Ancuti\_NTIRE\_2019\_Image\_Dehazing\_Challenge\_Report\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00277}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiATGZ0a19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/CaiGTZa19, author = {Jianrui Cai and Shuhang Gu and Radu Timofte and Lei Zhang and Xiao Liu and Yukang Ding and Dongliang He and Chao Li and Yi Fu and Shilei Wen and Ruicheng Feng and Jinjin Gu and Yu Qiao and Chao Dong and Dongwon Park and Se Young Chun and Sanghoon Yoon and Junhyung Kwak and Donghee Son and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Zhengping Wei and Lei Liu and Hong Cai and Darui Li and Fujie Gao and Zheng Hui and Xiumei Wang and Xinbo Gao and Guoan Cheng and Ai Matsune and Qiuyu Li and Leilei Zhu and Huaijuan Zang and Shu Zhan and Yajun Qiu and Ruxin Wang and Jiawei Li and Yongcheng Jing and Mingli Song and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Wenyi Tang and Jing Liu and Youngjung Kim and Changyeop Shin and Minbeom Kim and Sungho Kim and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Xuan Xu and Xin Li and Furui Bai and Xiaopeng Sun and Lin Zha and Yuanfei Huang and Wen Lu and Yanpeng Cao and Du Chen and Zewei He and Anshun Sun and Siliang Tang and Hongfei Fan and Xiang Li and Guo Li and Wenjie Zhang and Yumei Zhang and Qingwen He and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Jun Yu and Guochen Xie and Mengyan Li and Rong Chen and Xiaotong Luo and Chen Hong and Yanyun Qu and Cuihua Li and Zhi{-}Song Liu and Li{-}Wen Wang and Chu{-}Tak Li and Can Zhao and Bowen Li and Chung{-}Chi Tsai and Shang{-}Chih Chuang and Joonhee Choi and Joonsoo Kim and Xiaoyun Jiang and Ze Pan and Qunbo Lv and Zheng Tan and Peidong He}, title = {{NTIRE} 2019 Challenge on Real Image Super-Resolution: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2211--2223}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Cai\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00274}, timestamp = {Wed, 24 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/CaiGTZa19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/IgnatovT19, author = {Andrey Ignatov and Radu Timofte and Xiaochao Qu and Xingguang Zhou and Ting Liu and Pengfei Wan and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Dongwon Park and Se Young Chun and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Zhiwei Zhong and Xianming Liu and Junjun Jiang and Debin Zhao and Muhammad Haris and Kazutoshi Akita and Tomoki Yoshida and Greg Shakhnarovich and Norimichi Ukita and Jie Liu and Cheolkon Jung and Raimondo Schettini and Simone Bianco and Claudio Cusano and Flavio Piccoli and Pengju Liu and Kai Zhang and Jingdong Liu and Jiye Liu and Hongzhi Zhang and Wangmeng Zuo and Nelson Chong Ngee Bow and Lai{-}Kuan Wong and John See and Jinghui Qin and Lishan Huang and Yukai Shi and Pengxu Wei and Wushao Wen and Liang Lin and Zheng Hui and Xiumei Wang and Xinbo Gao and Kanti Kumari and Vikas Kumar Anand and Mahendra Khened and Ganapathy Krishnamurthi}, title = {{NTIRE} 2019 Challenge on Image Enhancement: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2224--2232}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Ignatov\_NTIRE\_2019\_Challenge\_on\_Image\_Enhancement\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00275}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/IgnatovT19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eeet/LeeJPH19, author = {Gihyoun Lee and Young{-}Jin Jung and Ji{-}Su Park and Jun{-}Yong Hong}, title = {ActiView: {A} MATLAB-based Toolbox for Realtime Cortical Activation Analysis Using Functional Near-infrared Spectroscopy}, booktitle = {Proceedings of the 2nd International Conference on Electronics and Electrical Engineering Technology, {EEET} 2019, Penang, Malaysia, September 25-27, 2019}, pages = {113--117}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3362752.3365195}, doi = {10.1145/3362752.3365195}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eeet/LeeJPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccc2/KwonPKL19, author = {Hyunjin Kwon and Jinhyeok Park and Seokhwan Kang and Youngho Lee}, editor = {Ruifeng Xu and Jianzong Wang and Liang{-}Jie Zhang}, title = {Imagery Signal-Based Deep Learning Method for Prescreening Major Depressive Disorder}, booktitle = {Cognitive Computing - {ICCC} 2019 - Third International Conference, Held as Part of the Services Conference Federation, {SCF} 2019, San Diego, CA, USA, June 25-30, 2019, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11518}, pages = {180--185}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-23407-2\_15}, doi = {10.1007/978-3-030-23407-2\_15}, timestamp = {Fri, 05 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccc2/KwonPKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HeoKYPK019, author = {Byeongho Heo and Jeesoo Kim and Sangdoo Yun and Hyojin Park and Nojun Kwak and Jin Young Choi}, title = {A Comprehensive Overhaul of Feature Distillation}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {1921--1930}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00201}, doi = {10.1109/ICCV.2019.00201}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HeoKYPK019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ParkLCLC19, author = {Jiwoong Park and Minsik Lee and Hyung Jin Chang and Kyuewang Lee and Jin Young Choi}, title = {Symmetric Graph Convolutional Autoencoder for Unsupervised Graph Representation Learning}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {6518--6527}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00662}, doi = {10.1109/ICCV.2019.00662}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/ParkLCLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icetc/ChoiHHLHPS19, author = {Jinhan Choi and Jeongyun Han and Woochang Hyun and Hyunchul Lim and Sun Young Huh and SoHyun Park and Bongwon Suh}, editor = {Piet Kommers and Fang Lou}, title = {Leveraging Smartwatches to Estimate Students' Perceived Difficulty and Interest in Online Video Lectures}, booktitle = {{ICETC} 2019, 11th International Conference on Education Technology and Computers, Amsterdam, The Netherlands, October 28-31, 2019}, pages = {171--175}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3369255.3369291}, doi = {10.1145/3369255.3369291}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icetc/ChoiHHLHPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/NamKMPSF19, author = {Jinseok Nam and Young{-}Bum Kim and Eneldo Loza Menc{\'{\i}}a and Sunghyun Park and Ruhi Sarikaya and Johannes F{\"{u}}rnkranz}, editor = {Kamalika Chaudhuri and Ruslan Salakhutdinov}, title = {Learning Context-dependent Label Permutations for Multi-label Classification}, booktitle = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {97}, pages = {4733--4742}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v97/nam19a.html}, timestamp = {Tue, 11 Jun 2019 15:37:38 +0200}, biburl = {https://dblp.org/rec/conf/icml/NamKMPSF19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ParkYYS19, author = {Sejun Park and Eunho Yang and Se{-}Young Yun and Jinwoo Shin}, editor = {Kamalika Chaudhuri and Ruslan Salakhutdinov}, title = {Spectral Approximate Inference}, booktitle = {Proceedings of the 36th International Conference on Machine Learning, {ICML} 2019, 9-15 June 2019, Long Beach, California, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {97}, pages = {5052--5061}, publisher = {{PMLR}}, year = {2019}, url = {http://proceedings.mlr.press/v97/park19c.html}, timestamp = {Tue, 11 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/ParkYYS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/SeoPLHLKCS19, author = {Keehong Seo and Young Jin Park and Jusuk Lee and Seungyong Hyung and Minhyung Lee and Jeonghun Kim and Hyundo Choi and Youngbo Shim}, title = {RNN-Based On-Line Continuous Gait Phase Estimation from Shank-Mounted IMUs to Control Ankle Exoskeletons}, booktitle = {16th {IEEE} International Conference on Rehabilitation Robotics, {ICORR} 2019, Toronto, ON, Canada, June 24-28, 2019}, pages = {809--815}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICORR.2019.8779554}, doi = {10.1109/ICORR.2019.8779554}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/SeoPLHLKCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeCNPK19, author = {Jinhwi Lee and Younggil Cho and Changjoo Nam and Jonghyeon Park and ChangHwan Kim}, title = {Efficient Obstacle Rearrangement for Object Manipulation Tasks in Cluttered Environments}, booktitle = {International Conference on Robotics and Automation, {ICRA} 2019, Montreal, QC, Canada, May 20-24, 2019}, pages = {183--189}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICRA.2019.8793616}, doi = {10.1109/ICRA.2019.8793616}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/LeeCNPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KwonKPPL19, author = {Hyunjin Kwon and Seokhwan Kang and Wonjun Park and Jinhyeok Park and Youngho Lee}, title = {Deep Learning based Pre-screening method for Depression with Imagery Frontal {EEG} Channels}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {378--380}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939919}, doi = {10.1109/ICTC46691.2019.8939919}, timestamp = {Fri, 13 Mar 2020 12:33:49 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KwonKPPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkC19, author = {Young{-}Jin Park and Hui{-}Sup Cho}, title = {An Experiment of Human Presence and Movement using Impulse Radar and Machine Learning}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {12--17}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939858}, doi = {10.1109/ICTC46691.2019.8939858}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YooCLPJLK19, author = {Seung{-}mok Yoo and Chang{-}Sik Cho and KyungHee Lee and Jaebok Park and Seok Jin and Young{-}Woon Lee and Byung{-}Gyu Kim}, title = {Structure of Deep Learning Inference Engines for Embedded Systems}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {920--922}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939843}, doi = {10.1109/ICTC46691.2019.8939843}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/YooCLPJLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecc/ParkSSN19, author = {JinHyuck Park and Younghoon Seo and Dong Ryeol Shin and ChoonSung Nam}, title = {A 3D-Touch Interface by Using {EMG}}, booktitle = {Proceedings of the 2019 International Electronics Communication Conference, {IECC} 2019, Okinawa, Japan, July 7 - 9, 2019}, pages = {1--5}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3343147.3343155}, doi = {10.1145/3343147.3343155}, timestamp = {Fri, 27 Oct 2023 12:59:19 +0200}, biburl = {https://dblp.org/rec/conf/iecc/ParkSSN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiLLLLPKKS19, author = {Byungjune Choi and Younbaek Lee and Jongwon Lee and Minhyung Lee and Bokman Lim and Young Jin Park and Kyungrock Kim and Yong{-}Jae Kim and Youngbo Shim}, title = {Development of Adjustable Knee Assist Device for Wearable Robot based on Linkage and Rolling Joint}, booktitle = {2019 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2019, Macau, SAR, China, November 3-8, 2019}, pages = {4043--4050}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IROS40897.2019.8967826}, doi = {10.1109/IROS40897.2019.8967826}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ChoiLLLLPKKS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HaLLMJHCPSPKLPC19, author = {Kyung{-}Soo Ha and Chang{-}Kyo Lee and Dongkeon Lee and Daesik Moon and Jin{-}Hun Jang and Hyong{-}Ryol Hwang and Hyung{-}Joon Chi and Junghwan Park and Seungjun Shin and Dukha Park and Sang{-}Yun Kim and Sukhyun Lim and Kiwon Park and YeonKyu Choi and Young{-}Hwa Kim and Younghoon Son and Hyunyoon Cho and Byongwook Na and Hyo{-}Joo Ahn and Seungseob Lee and Seouk{-}Kyu Choi and Youn{-}Sik Park and Seok{-}Hun Hyun and Soobong Chang and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Tae{-}Young Oh and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 7.5Gb/s/pin {LPDDR5} {SDRAM} With {WCK} Clocking and Non-Target {ODT} for High Speed and With DVFS, Internal Data Copy, and Deep-Sleep Mode for Low Power}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {378--380}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662509}, doi = {10.1109/ISSCC.2019.8662509}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/HaLLMJHCPSPKLPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPJSCCCKKKKSK19, author = {Dongkyun Kim and Minsu Park and Sungchun Jang and Jun{-}Yong Song and Hankyu Chi and Geunho Choi and Sunmyung Choi and Jaeil Kim and Changhyun Kim and Kyung Whan Kim and Kibong Koo and Seonghwi Song and Yongmi Kim and Dong{-}Uk Lee and Jaejin Lee and Dae Suk Kim and Ki Hun Kwon and Minsik Han and Byeongchan Choi and Hongjung Kim and Sanghyun Ku and Yeonuk Kim and Jong{-}Sam Kim and Sanghui Kim and Youngsuk Seo and Seungwook Oh and Dain Im and Haksong Kim and Jonghyuck Choi and Jinil Chung and Changhyun Lee and Yongsung Lee and Joo{-}Hwan Cho and Junhyun Chun and Jonghoon Oh}, title = {A 1.1V 1ynm 6.4Gb/s/pin 16Gb {DDR5} {SDRAM} with a Phase-Rotator-Based DLL, High-Speed SerDes and {RX/TX} Equalization Scheme}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {380--382}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662320}, doi = {10.1109/ISSCC.2019.8662320}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPJSCCCKKKKSK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/issi/ParkYL19, author = {Jinseo Park and Jinhyuk Yun and June Young Lee}, editor = {Giuseppe Catalano and Cinzia Daraio and Martina Gregori and Henk F. Moed and Giancarlo Ruocco}, title = {A Longitudinal Study of Questionable Journals in Scopus}, booktitle = {Proceedings of the 17th International Conference on Scientometrics and Informetrics, {ISSI} 2019, Rome, Italy, September 2-5, 2019}, pages = {2714--2715}, publisher = {{ISSI} Society}, year = {2019}, timestamp = {Tue, 14 Apr 2020 11:09:56 +0200}, biburl = {https://dblp.org/rec/conf/issi/ParkYL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/YookPCKC19, author = {In Hye Yook and So Jin Park and Mun Joo Choi and Dai{-}Jin Kim and In Young Choi}, editor = {Lucila Ohno{-}Machado and Brigitte S{\'{e}}roussi}, title = {Factors Affecting Smartphone Usage Self-Report Levels}, booktitle = {{MEDINFO} 2019: Health and Wellbeing e-Networks for All - Proceedings of the 17th World Congress on Medical and Health Informatics, Lyon, France, 25-30 August 2019}, series = {Studies in Health Technology and Informatics}, volume = {264}, pages = {1937--1938}, publisher = {{IOS} Press}, year = {2019}, url = {https://doi.org/10.3233/SHTI190722}, doi = {10.3233/SHTI190722}, timestamp = {Wed, 03 Feb 2021 08:34:29 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/YookPCKC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimSKJSP19, author = {Hyun Jin Kim and Dong Hoon Son and Sung Chang Kim and Youngbeom Jung and In{-}Jin Seo and Hyoung{-}Jun Park}, title = {High Frequency Current Sensor System using a 4ch {CWDM} {MUX} Module and DeMUX {ROSA}}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696367}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimSKJSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/KimPJYEJ19, author = {Seoyeon Kim and Jisu Park and Jaehyeok Jeong and Young{-}Sun Yun and Seongbae Eun and Jinman Jung}, editor = {Chih{-}Cheng Hung and Qianbin Chen and Xianzhong Xie and Christian Esposito and Jun Huang and Juw Won Park and Qinghua Zhang}, title = {Survey of IoT platforms supporting artificial intelligence}, booktitle = {Proceedings of the Conference on Research in Adaptive and Convergent Systems, {RACS} 2019, Chongqing, China, September 24-27, 2019}, pages = {65--66}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338840.3355694}, doi = {10.1145/3338840.3355694}, timestamp = {Wed, 17 Aug 2022 11:16:50 +0200}, biburl = {https://dblp.org/rec/conf/racs/KimPJYEJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/KimKKPSCKKSH19, author = {Kyung{-}Min Kim and Dong{-}Hyun Kwak and Hanock Kwak and Young{-}Jin Park and Sangkwon Sim and Jae{-}Han Cho and Minkyu Kim and Jihun Kwon and Nako Sung and Jung{-}Woo Ha}, editor = {Marko Tkalcic and Sole Pera}, title = {Tripartite Heterogeneous Graph Propagation for Large-scale Social Recommendation}, booktitle = {Proceedings of {ACM} RecSys 2019 Late-Breaking Results co-located with the 13th {ACM} Conference on Recommender Systems, RecSys 2019 Late-Breaking Results, Copenhagen, Denmark, September 16-20, 2019}, series = {{CEUR} Workshop Proceedings}, volume = {2431}, pages = {56--60}, publisher = {CEUR-WS.org}, year = {2019}, url = {https://ceur-ws.org/Vol-2431/paper12.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:14 +0100}, biburl = {https://dblp.org/rec/conf/recsys/KimKKPSCKKSH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/HanKPLJPMP19, author = {Heejae Han and Jeonghwan Kim and Junyoung Park and Yujin Lee and Hyunwoo Jo and Yonghyeon Park and Eric T. Matson and Seongha Park}, title = {Object classification on raw radar data using convolutional neural networks}, booktitle = {{IEEE} Sensors Applications Symposium, {SAS} 2019, Sophia Antipolis, France, March 11-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SAS.2019.8706004}, doi = {10.1109/SAS.2019.8706004}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/sas2/HanKPLJPMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ParkCJN19, author = {June Young Park and Jianli Chen and Xin Jin and Zolt{\'{a}}n Nagy}, title = {Investigating occupancy profiles using convolutional neural networks}, booktitle = {Proceedings of the 6th {ACM} International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation, BuildSys 2019, New York, NY, USA, November 13-14, 2019}, pages = {338--339}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3360322.3360989}, doi = {10.1145/3360322.3360989}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/ParkCJN19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeePCSSJ19, author = {Junyoung Lee and Sang Hyun Park and Pyung Hun Chang and Jin{-}Ho Suh and Kap{-}Ho Seo and Maolin Jin}, title = {Improved Adaptive {PID} Control Using Time-Delay Estimation for Robot Manipulators}, booktitle = {16th International Conference on Ubiquitous Robots, {UR} 2019, Jeju, South Korea, June 24-27, 2019}, pages = {87--91}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/URAI.2019.8768546}, doi = {10.1109/URAI.2019.8768546}, timestamp = {Wed, 18 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/LeePCSSJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/HeoKLLMKBYYKKPP19, author = {Jin{-}Seok Heo and Kihan Kim and Dong{-}Hoon Lee and Chang{-}Kyo Lee and Daesik Moon and Kiho Kim and Jin{-}Hyeok Baek and Sung{-}Woo Yoon and Hui{-}Kap Yang and Kyungryun Kim and Youngjae Kim and Bokgue Park and Su{-}Jin Park and Joung{-}Wook Moon and Jae{-}Hyung Lee and Yun{-}Sik Park and Soobong Jang and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Seung{-}Jun Bae and Kwang{-}Il Park and Jung{-}Bae Lee}, title = {A 5Gb/s/pin 16Gb {LPDDR4/4X} Reconfigurable {SDRAM} with Voltage-High Keeper and a Prediction-based Fast-tracking {ZQ} Calibration}, booktitle = {2019 Symposium on {VLSI} Circuits, Kyoto, Japan, June 9-14, 2019}, pages = {114}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/VLSIC.2019.8778102}, doi = {10.23919/VLSIC.2019.8778102}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/HeoKLLMKBYYKKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/KimYP019, author = {Sunoh Kim and Kimin Yun and Jongyoul Park and Jin Young Choi}, title = {Skeleton-Based Action Recognition of People Handling Objects}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2019, Waikoloa Village, HI, USA, January 7-11, 2019}, pages = {61--70}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/WACV.2019.00014}, doi = {10.1109/WACV.2019.00014}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wacv/KimYP019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-04985, author = {MyungJoo Ham and Ji Joong Moon and Geunsik Lim and Wook Song and Jaeyun Jung and Hyoungjoo Ahn and Sangjung Woo and Youngchul Cho and JinHyuck Park and Sewon Oh and Hong{-}Seok Kim}, title = {NNStreamer: Stream Processing Paradigm for Neural Networks, Toward Efficient Development and Execution of On-Device {AI} Applications}, journal = {CoRR}, volume = {abs/1901.04985}, year = {2019}, url = {http://arxiv.org/abs/1901.04985}, eprinttype = {arXiv}, eprint = {1901.04985}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-04985.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1901-06882, author = {Sunoh Kim and Kimin Yun and Jongyoul Park and Jin Young Choi}, title = {Skeleton-based Action Recognition of People Handling Objects}, journal = {CoRR}, volume = {abs/1901.06882}, year = {2019}, url = {http://arxiv.org/abs/1901.06882}, eprinttype = {arXiv}, eprint = {1901.06882}, timestamp = {Fri, 01 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1901-06882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1902-06907, author = {Jinhwi Lee and Younggil Cho and Changjoo Nam and Jonghyeon Park and ChangHwan Kim}, title = {Efficient Obstacle Rearrangement for Object Manipulation Tasks in Cluttered Environments}, journal = {CoRR}, volume = {abs/1902.06907}, year = {2019}, url = {http://arxiv.org/abs/1902.06907}, eprinttype = {arXiv}, eprint = {1902.06907}, timestamp = {Tue, 21 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1902-06907.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-08643, author = {Soon{-}Seo Park and Young{-}Jin Park and Han{-}Lim Choi}, title = {Online Gaussian Process State-Space Models: Learning and Planning for Partially Observable Dynamical Systems}, journal = {CoRR}, volume = {abs/1903.08643}, year = {2019}, url = {http://arxiv.org/abs/1903.08643}, eprinttype = {arXiv}, eprint = {1903.08643}, timestamp = {Mon, 01 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-08643.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-01866, author = {Byeongho Heo and Jeesoo Kim and Sangdoo Yun and Hyojin Park and Nojun Kwak and Jin Young Choi}, title = {A Comprehensive Overhaul of Feature Distillation}, journal = {CoRR}, volume = {abs/1904.01866}, year = {2019}, url = {http://arxiv.org/abs/1904.01866}, eprinttype = {arXiv}, eprint = {1904.01866}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-01866.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-05012, author = {Jinwoo Ahn and DongGyu Park and Chang{-}Gyu Lee and Donghyun Min and Junghee Lee and Sungyong Park and Qian Chen and Youngjae Kim}, title = {{KEY-SSD:} Access-Control Drive to Protect Files from Ransomware Attacks}, journal = {CoRR}, volume = {abs/1904.05012}, year = {2019}, url = {http://arxiv.org/abs/1904.05012}, eprinttype = {arXiv}, eprint = {1904.05012}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-05012.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1905-05348, author = {Sejun Park and Eunho Yang and Se{-}Young Yun and Jinwoo Shin}, title = {Spectral Approximate Inference}, journal = {CoRR}, volume = {abs/1905.05348}, year = {2019}, url = {http://arxiv.org/abs/1905.05348}, eprinttype = {arXiv}, eprint = {1905.05348}, timestamp = {Tue, 28 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1905-05348.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-02441, author = {Jiwoong Park and Minsik Lee and Hyung Jin Chang and Kyuewang Lee and Jin Young Choi}, title = {Symmetric Graph Convolutional Autoencoder for Unsupervised Graph Representation Learning}, journal = {CoRR}, volume = {abs/1908.02441}, year = {2019}, url = {http://arxiv.org/abs/1908.02441}, eprinttype = {arXiv}, eprint = {1908.02441}, timestamp = {Fri, 07 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-02441.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1908-02569, author = {Kyung{-}Min Kim and Dong{-}Hyun Kwak and Hanock Kwak and Young{-}Jin Park and Sangkwon Sim and Jae{-}Han Cho and Minkyu Kim and Jihun Kwon and Nako Sung and Jung{-}Woo Ha}, title = {Tripartite Heterogeneous Graph Propagation for Large-scale Social Recommendation}, journal = {CoRR}, volume = {abs/1908.02569}, year = {2019}, url = {http://arxiv.org/abs/1908.02569}, eprinttype = {arXiv}, eprint = {1908.02569}, timestamp = {Wed, 09 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1908-02569.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1911-07532, author = {Michael Poli and Stefano Massaroli and Junyoung Park and Atsushi Yamashita and Hajime Asama and Jinkyoo Park}, title = {Graph Neural Ordinary Differential Equations}, journal = {CoRR}, volume = {abs/1911.07532}, year = {2019}, url = {http://arxiv.org/abs/1911.07532}, eprinttype = {arXiv}, eprint = {1911.07532}, timestamp = {Mon, 02 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1911-07532.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1912-03072, author = {Youngduck Choi and Youngnam Lee and Dongmin Shin and Junghyun Cho and Seoyon Park and Seewoo Lee and Jineon Baek and Byungsoo Kim and Youngjun Jang}, title = {EdNet: {A} Large-Scale Hierarchical Dataset in Education}, journal = {CoRR}, volume = {abs/1912.03072}, year = {2019}, url = {http://arxiv.org/abs/1912.03072}, eprinttype = {arXiv}, eprint = {1912.03072}, timestamp = {Tue, 24 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1912-03072.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPJ18, author = {Ho{-}Jun Kim and Jin Bae Park and Young Hoon Joo}, title = {Sampled-Data H\({}_{\mbox{{\(\infty\)}}}\) Fuzzy Observer for Uncertain Oscillating Systems With Immeasurable Premise Variables}, journal = {{IEEE} Access}, volume = {6}, pages = {58075--58085}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2872728}, doi = {10.1109/ACCESS.2018.2872728}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKPKK18, author = {Woonghee Lee and Keonwoo Kim and Junsep Park and Jinhee Kim and Younghoon Kim}, title = {Forecasting Solar Power Using Long-Short Term Memory and Convolutional Neural Networks}, journal = {{IEEE} Access}, volume = {6}, pages = {73068--73080}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2883330}, doi = {10.1109/ACCESS.2018.2883330}, timestamp = {Mon, 30 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKPKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkTC18, author = {Young{-}Jin Park and Piyush Tagade and Han{-}Lim Choi}, title = {Deep Gaussian Process-Based Bayesian Inference for Contaminant Source Localization}, journal = {{IEEE} Access}, volume = {6}, pages = {49432--49449}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2867687}, doi = {10.1109/ACCESS.2018.2867687}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkTC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YunLKLNKP18, author = {Juwon Yun and Jinyoung Lee and Cheong Ghil Kim and Yeong{-}Kyu Lim and Jae{-}Ho Nah and Youngsik Kim and Woo{-}Chan Park}, title = {A Novel Performance Prediction Model for Mobile GPUs}, journal = {{IEEE} Access}, volume = {6}, pages = {16235--16245}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2816040}, doi = {10.1109/ACCESS.2018.2816040}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YunLKLNKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/KimLCJP18, author = {Yongkang Kim and Sungyoung Lee and Sungkyoung Choi and Jin{-}Young Jang and Taesung Park}, title = {Hierarchical structural component modeling of microRNA-mRNA integration analysis}, journal = {{BMC} Bioinform.}, volume = {19-S}, number = {4}, pages = {25--34}, year = {2018}, url = {https://doi.org/10.1186/s12859-018-2070-0}, doi = {10.1186/S12859-018-2070-0}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/KimLCJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/ParkKHHBLCLKJAA18, author = {Ha Kyoung Park and Dong Wook Kim and Tae Kwun Ha and Young Jin Heo and Jin Wook Baek and Yoo Jin Lee and Young Jun Cho and Dong Kun Lee and Do Hun Kim and Soo Jin Jung and Ki Jung Ahn and Hye Shin Ahn and Hye Jin Baek}, title = {Utility of routine ultrasonography follow-up after total thyroidectomy in patients with papillary thyroid carcinoma: a single-center study}, journal = {{BMC} Medical Imaging}, volume = {18}, number = {1}, pages = {12:1--12:6}, year = {2018}, url = {https://doi.org/10.1186/s12880-018-0253-9}, doi = {10.1186/S12880-018-0253-9}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcmi/ParkKHHBLCLKJAA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cal/MinPAWLPK18, author = {Donghyun Min and DongGyu Park and Jinwoo Ahn and Ryan Walker and Junghee Lee and Sungyong Park and Youngjae Kim}, title = {Amoeba: An Autonomous Backup and Recovery {SSD} for Ransomware Attack Defense}, journal = {{IEEE} Comput. Archit. Lett.}, volume = {17}, number = {2}, pages = {245--248}, year = {2018}, url = {https://doi.org/10.1109/LCA.2018.2883431}, doi = {10.1109/LCA.2018.2883431}, timestamp = {Sun, 15 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cal/MinPAWLPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LeeHJPKN18, author = {Deokjong Lee and Sung Jun Hong and Young{-}Chul Jung and Jinsick Park and In Young Kim and Kee Namkoong}, title = {Altered Heart Rate Variability During Gaming in Internet Gaming Disorder}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {21}, number = {4}, pages = {259--267}, year = {2018}, url = {https://doi.org/10.1089/cyber.2017.0486}, doi = {10.1089/CYBER.2017.0486}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LeeHJPKN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/HyunPKMLKH18, author = {Gi Jung Hyun and Jin Wan Park and Jin Hee Kim and Kyoung Joon Min and Young{-}Sik Lee and Sun Mi Kim and Doug Hyun Han}, title = {Visuospatial working memory assessment using a digital tablet in adolescents with attention deficit hyperactivity disorder}, journal = {Comput. Methods Programs Biomed.}, volume = {157}, year = {2018}, url = {https://doi.org/10.1016/j.cmpb.2018.01.022}, doi = {10.1016/J.CMPB.2018.01.022}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/HyunPKMLKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/ShinLKP18, author = {Dong{-}Kyun Shin and Jin{-}Young Lee and Gi{-}Eun Kim and Jongwoon Park}, title = {Simulation and analysis on visibility enhancement for laser beam projected on display panels using black matrix with scattering particles}, journal = {Displays}, volume = {54}, pages = {28--33}, year = {2018}, url = {https://doi.org/10.1016/j.displa.2018.09.003}, doi = {10.1016/J.DISPLA.2018.09.003}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/ShinLKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/KimPJ18, author = {Ho{-}Jun Kim and Jin Bae Park and Young Hoon Joo}, title = {Decentralized \emph{H}\({}_{\mbox{{\(\infty\)}}}\) fuzzy filter for nonlinear large-scale sampled-data systems with uncertain interconnections}, journal = {Fuzzy Sets Syst.}, volume = {344}, pages = {145--162}, year = {2018}, url = {https://doi.org/10.1016/j.fss.2017.10.010}, doi = {10.1016/J.FSS.2017.10.010}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fss/KimPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/HongKCP18, author = {Kiwon Hong and Youngjun Kim and Hyungoo Choi and Jinwoo Park}, title = {SDN-Assisted Slow {HTTP} DDoS Attack Defense Method}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {4}, pages = {688--691}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2017.2766636}, doi = {10.1109/LCOMM.2017.2766636}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/HongKCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/ChunLPC18, author = {Tae Yoon Chun and Jae Young Lee and Jin Bae Park and Yoon Ho Choi}, title = {Adaptive dynamic programming for discrete-time linear quadratic regulation based on multirate generalised policy iteration}, journal = {Int. J. Control}, volume = {91}, number = {6}, pages = {1223--1240}, year = {2018}, url = {https://doi.org/10.1080/00207179.2017.1312669}, doi = {10.1080/00207179.2017.1312669}, timestamp = {Wed, 18 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/ChunLPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/HwangboJOIOP18, author = {Suhyun Hwangbo and Jin{-}Young Jang and Bermseok Oh and Atsuko Imai{-}Okazaki and Jurg Ott and Taesung Park}, title = {Association test for rare variants using the hamming distance}, journal = {Int. J. Data Min. Bioinform.}, volume = {21}, number = {4}, pages = {301--314}, year = {2018}, url = {https://doi.org/10.1504/IJDMB.2018.098938}, doi = {10.1504/IJDMB.2018.098938}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/HwangboJOIOP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/LeePC18, author = {Su{-}Jin Lee and Young{-}Jin Park and Han{-}Lim Choi}, title = {Efficient sensor network planning based on approximate potential games}, journal = {Int. J. Distributed Sens. Networks}, volume = {14}, number = {6}, year = {2018}, url = {https://doi.org/10.1177/1550147718781454}, doi = {10.1177/1550147718781454}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/LeePC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/KwakPKHK18, author = {Myeongcheol Kwak and Youngmong Park and Junyoung Kim and Jinyoung Han and Taekyoung Kwon}, title = {An Energy-efficient and Lightweight Indoor Localization System for Internet-of-Things (IoT) Environments}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {2}, number = {1}, pages = {17:1--17:28}, year = {2018}, url = {https://doi.org/10.1145/3191749}, doi = {10.1145/3191749}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/KwakPKHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KimLKPK18, author = {Chunggyeom Kim and Jinhyuk Lee and Raehyun Kim and Youngbin Park and Jaewoo Kang}, title = {DeepNAP: Deep neural anomaly pre-detection in a semiconductor fab}, journal = {Inf. Sci.}, volume = {457-458}, pages = {1--11}, year = {2018}, url = {https://doi.org/10.1016/j.ins.2018.05.020}, doi = {10.1016/J.INS.2018.05.020}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/KimLKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isf/LeePKC18, author = {Kyuhan Lee and Jinsoo Park and Iljoo Kim and Youngseok Choi}, title = {Predicting movie success with machine learning techniques: ways to improve accuracy}, journal = {Inf. Syst. Frontiers}, volume = {20}, number = {3}, pages = {577--588}, year = {2018}, url = {https://doi.org/10.1007/s10796-016-9689-z}, doi = {10.1007/S10796-016-9689-Z}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isf/LeePKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/SeoPKK18, author = {Young{-}Kwang Seo and Geun{-}Ho Park and Wan{-}Jin Kim and Hyoung{-}Nam Kim}, title = {Distance estimation for hopping-frequency-coding-based continuous wave}, journal = {J. Intell. Fuzzy Syst.}, volume = {35}, number = {6}, pages = {6181--6188}, year = {2018}, url = {https://doi.org/10.3233/JIFS-169858}, doi = {10.3233/JIFS-169858}, timestamp = {Sat, 25 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/SeoPKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeLKHBCMLPLCCH18, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Jin{-}Hyeok Baek and Gil{-}Hoon Cha and Daesik Moon and Dong{-}Hun Lee and Jong{-}Wook Park and Seunseob Lee and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Kyung{-}Soo Ha and Eunsung Seo and Youn{-}Sik Park and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-Loop Two-Step {ZQ} Calibration for Dynamic Voltage-Frequency Scaling in {LPDDR4} {SDRAM}}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {10}, pages = {2906--2916}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2850937}, doi = {10.1109/JSSC.2018.2850937}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeLKHBCMLPLCCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLLMSKKLLSSPL18, author = {Kyoohyun Lim and Sanghoon Lee and Yongha Lee and Byeongmoo Moon and Hwahyeong Shin and Kisub Kang and Seungbeom Kim and Jinhyeok Lee and Hyungsuk Lee and Hyunchul Shim and Chulhoon Sung and Kumyoung Park and Garam Lee and Minjung Kim and Seokyeong Park and Hyosun Jung and Younghyun Lim and Changhun Song and Jaehyeon Seong and Heechang Cho and Jaehyouk Choi and Jong{-}Ryul Lee and Sangwoo Han}, title = {A 65-nm {CMOS} 2{\texttimes}2 {MIMO} Multi-Band {LTE} {RF} Transceiver for Small Cell Base Stations}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {7}, pages = {1960--1976}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2824300}, doi = {10.1109/JSSC.2018.2824300}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLLMSKKLLSSPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/LeePCKLK18, author = {Younglo Lee and Sangwook Park and Chul Jin Cho and Bonhwa Ku and Sangho Lee and Hanseok Ko}, title = {Man-Made Radio Frequency Interference Suppression for Compact {HF} Surface Wave Radar}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {15}, number = {9}, pages = {1317--1321}, year = {2018}, url = {https://doi.org/10.1109/LGRS.2018.2845885}, doi = {10.1109/LGRS.2018.2845885}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/lgrs/LeePCKLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/LeeLLPKPCJH18, author = {Jongkeun Lee and Andy Jinseok Lee and June{-}Koo Lee and Jong{-}Keun Park and Youngoh Kwon and Seongyeol Park and Hyonho Chun and Young Seok Ju and Dongwan Hong}, title = {Mutalisk: a web-based somatic MUTation AnaLyIS toolKit for genomic, transcriptional and epigenomic signatures}, journal = {Nucleic Acids Res.}, volume = {46}, number = {Webserver-Issue}, pages = {W102--W108}, year = {2018}, url = {https://doi.org/10.1093/nar/gky406}, doi = {10.1093/NAR/GKY406}, timestamp = {Sun, 17 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nar/LeeLLPKPCJH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pami/ParkKK18, author = {Cesc Chunseong Park and Youngjin Kim and Gunhee Kim}, title = {Retrieval of Sentence Sequences for an Image Stream via Coherence Recurrent Convolutional Networks}, journal = {{IEEE} Trans. Pattern Anal. Mach. Intell.}, volume = {40}, number = {4}, pages = {945--957}, year = {2018}, url = {https://doi.org/10.1109/TPAMI.2017.2700381}, doi = {10.1109/TPAMI.2017.2700381}, timestamp = {Fri, 28 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pami/ParkKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ChoiPSLLS18, author = {Hyundo Choi and Young Jin Park and Keehong Seo and Jusuk Lee and Sang{-}eui Lee and Youngbo Shim}, title = {A Multifunctional Ankle Exoskeleton for Mobility Enhancement of Gait-Impaired Individuals and Seniors}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {3}, number = {1}, pages = {411--418}, year = {2018}, url = {https://doi.org/10.1109/LRA.2017.2734239}, doi = {10.1109/LRA.2017.2734239}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/ChoiPSLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/WooPLL18, author = {Hye{-}Jin Woo and Kyung{-}Ae Park and Xiaofeng Li and Eun{-}Young Lee}, title = {Sea Surface Temperature Retrieval from the First Korean Geostationary Satellite {COMS} Data: Validation and Error Assessment}, journal = {Remote. Sens.}, volume = {10}, number = {12}, pages = {1916}, year = {2018}, url = {https://doi.org/10.3390/rs10121916}, doi = {10.3390/RS10121916}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/WooPLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/HaLPPCCK18, author = {Jonghyun Ha and Minhee Lee and Keunhwan Park and Gee Ho Park and Tae Hyun Choi and Kyu{-}Jin Cho and Ho{-}Young Kim}, title = {Hygrobot: {A} self-locomotive ratcheted actuator powered by environmental humidity}, journal = {Sci. Robotics}, volume = {3}, number = {14}, year = {2018}, url = {https://doi.org/10.1126/scirobotics.aar2629}, doi = {10.1126/SCIROBOTICS.AAR2629}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/HaLPPCCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/ChoiLLKPKL18, author = {Wonsuk Choi and Youngkyung Lee and Duhyeong Lee and Hyoseung Kim and Jin Hyung Park and In Seok Kim and Dong Hoon Lee}, title = {Less Communication: Energy-Efficient Key Exchange for Securing Implantable Medical Devices}, journal = {Secur. Commun. Networks}, volume = {2018}, pages = {1809302:1--1809302:16}, year = {2018}, url = {https://doi.org/10.1155/2018/1809302}, doi = {10.1155/2018/1809302}, timestamp = {Fri, 23 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/ChoiLLKPKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AbbasLBIHPLKKCK18, author = {Naseem Abbas and Xun Lu and Mohsin Ali Badshah and Jung Bin In and Won Il Heo and Kui Young Park and Mi{-}Kyung Lee and Cho Hee Kim and Pilwon Kang and Woo{-}Jin Chang and Seok{-}Min Kim and Seong Jun Seo}, title = {Development of a Protein Microarray Chip with Enhanced Fluorescence for Identification of Semen and Vaginal Fluid}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3874}, year = {2018}, url = {https://doi.org/10.3390/s18113874}, doi = {10.3390/S18113874}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AbbasLBIHPLKKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/EppSC18, author = {Tyler Epp and Dagmar Svecova and Young{-}Jin Cha}, title = {Semi-Automated Air-Coupled Impact-Echo Method for Large-Scale Parkade Structure}, journal = {Sensors}, volume = {18}, number = {4}, pages = {1018}, year = {2018}, url = {https://doi.org/10.3390/s18041018}, doi = {10.3390/S18041018}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/EppSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinJKCPK18, author = {XueJun Jin and Jinwoo Jung and Seong Young Ko and Eunpyo Choi and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Geometric Parameter Calibration for a Cable-Driven Parallel Robot Based on a Single One-Dimensional Laser Distance Sensor Measurement and Experimental Modeling}, journal = {Sensors}, volume = {18}, number = {7}, pages = {2392}, year = {2018}, url = {https://doi.org/10.3390/s18072392}, doi = {10.3390/S18072392}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JinJKCPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungHP18, author = {Byung C. Jung and Young Cheol Huh and Jin{-}Woo Park}, title = {A Self-Powered, Threshold-Based Wireless Sensor for the Detection of Floor Vibrations}, journal = {Sensors}, volume = {18}, number = {12}, pages = {4276}, year = {2018}, url = {https://doi.org/10.3390/s18124276}, doi = {10.3390/S18124276}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JungHP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePSLSY18, author = {Junyoung Lee and Gwang{-}il Park and Jong{-}ha Shin and Jin{-}hae Lee and Cormac J. Sreenan and Seongeun Yoo}, title = {\emph{SoEasy}: {A} Software Framework for Easy Hardware Control Programming for Diverse IoT Platforms}, journal = {Sensors}, volume = {18}, number = {7}, pages = {2162}, year = {2018}, url = {https://doi.org/10.3390/s18072162}, doi = {10.3390/S18072162}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePSLSY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/KimPKPPS18, author = {Dong{-}Gyu Kim and Geun{-}Ho Park and Hyoung{-}Nam Kim and Jin{-}Oh Park and Young{-}Mi Park and Wook{-}Hyeon Shin}, title = {Computationally Efficient {TDOA/FDOA} Estimation for Unknown Communication Signals in Electronic Warfare Systems}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {54}, number = {1}, pages = {77--89}, year = {2018}, url = {https://doi.org/10.1109/TAES.2017.2735118}, doi = {10.1109/TAES.2017.2735118}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/KimPKPPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ShinPCKCC18, author = {Ho Hyun Shin and Young Min Park and Duheon Choi and Byoung Jin Kim and Dae{-}Hyung Cho and Eui{-}Young Chung}, title = {{EXTREME:} Exploiting Page Table for Reducing Refresh Power of 3D-Stacked {DRAM} Memory}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {1}, pages = {32--44}, year = {2018}, url = {https://doi.org/10.1109/TC.2017.2723392}, doi = {10.1109/TC.2017.2723392}, timestamp = {Thu, 25 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ShinPCKCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tele/LeePCC18, author = {Younghoon Lee and Inbeom Park and Sungzoon Cho and Jinhae Choi}, title = {Smartphone user segmentation based on app usage sequence with neural networks}, journal = {Telematics Informatics}, volume = {35}, number = {2}, pages = {329--339}, year = {2018}, url = {https://doi.org/10.1016/j.tele.2017.12.007}, doi = {10.1016/J.TELE.2017.12.007}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tele/LeePCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KimPJ18, author = {Han Sol Kim and Jin Bae Park and Young Hoon Joo}, title = {A Fuzzy Lyapunov-Krasovskii Functional Approach to Sampled-Data Output-Feedback Stabilization of Polynomial Fuzzy Systems}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {26}, number = {1}, pages = {366--373}, year = {2018}, url = {https://doi.org/10.1109/TFUZZ.2016.2637368}, doi = {10.1109/TFUZZ.2016.2637368}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/KimPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/thms/ParkK18, author = {Jin Woo Park and Duck Young Kim}, title = {Standard Time Estimation of Manual Tasks via Similarity Measure of Unequal Scale Time Series}, journal = {{IEEE} Trans. Hum. Mach. Syst.}, volume = {48}, number = {3}, pages = {241--251}, year = {2018}, url = {https://doi.org/10.1109/THMS.2017.2759809}, doi = {10.1109/THMS.2017.2759809}, timestamp = {Mon, 18 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/thms/ParkK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/JangPKLKS18, author = {Jaeseong Jang and Yejin Park and Bukweon Kim and Sung Min Lee and Ja{-}Young Kwon and Jin Keun Seo}, title = {Automatic Estimation of Fetal Abdominal Circumference From Ultrasound Images}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {22}, number = {5}, pages = {1512--1520}, year = {2018}, url = {https://doi.org/10.1109/JBHI.2017.2776116}, doi = {10.1109/JBHI.2017.2776116}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/titb/JangPKLKS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ChoiJWCPL18, author = {Wonsuk Choi and Hyo Jin Jo and Samuel Woo and Ji Young Chun and Jooyoung Park and Dong Hoon Lee}, title = {Identifying ECUs Using Inimitable Characteristics of Signals in Controller Area Networks}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {67}, number = {6}, pages = {4757--4770}, year = {2018}, url = {https://doi.org/10.1109/TVT.2018.2810232}, doi = {10.1109/TVT.2018.2810232}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ChoiJWCPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/KwonPOMH18, author = {Younggap Kwon and Hyunsung Park and Jintaek Oh and Guowang Miao and Taewon Hwang}, title = {Energy-Efficient Routing and Link Adaptation for 2D Wireless Relay Networks in the Wideband Regime}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {17}, number = {11}, pages = {7325--7339}, year = {2018}, url = {https://doi.org/10.1109/TWC.2018.2866422}, doi = {10.1109/TWC.2018.2866422}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/KwonPOMH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KwonKLMCBYLKKPK18, author = {Soonho Kwon and Daeoh Kim and Jihye Lee and Sangmi Moon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Cheolsung Kim and Intae Hwang}, title = {3D Localization for Launch Vehicle Using Virtual {TOA} and {AOA} of Ground Stations}, journal = {Wirel. Pers. Commun.}, volume = {102}, number = {1}, pages = {507--526}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5855-6}, doi = {10.1007/S11277-018-5855-6}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KwonKLMCBYLKKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KwonKLMCBYLKKPK18a, author = {Soonho Kwon and Daeoh Kim and Jihye Lee and Sangmi Moon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Cheolsung Kim and Intae Hwang}, title = {Performance Analysis of 3D Localization for a Launch Vehicle Using TOA, AOA, and {TDOA}}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {2}, pages = {1443--1464}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5862-7}, doi = {10.1007/S11277-018-5862-7}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KwonKLMCBYLKKPK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeMKCBYLKKPKH18, author = {Jihye Lee and Sangmi Moon and Soonho Kwon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Intae Hwang}, title = {Adaptive Channel Estimation Scheme Based on {LTE} Uplink in {V2V} Environments}, journal = {Wirel. Pers. Commun.}, volume = {102}, number = {1}, pages = {383--398}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5847-6}, doi = {10.1007/S11277-018-5847-6}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/LeeMKCBYLKKPKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeMKCBYLKKPKH18a, author = {Jihye Lee and Sangmi Moon and Soonho Kwon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Intae Hwang}, title = {Novel Interference Cancellation of Channel Estimation Scheme Based on {LTE} in {V2V} Communications}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {3}, pages = {2109--2124}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5899-7}, doi = {10.1007/S11277-018-5899-7}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/LeeMKCBYLKKPKH18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/HwangPJ18, author = {Sounghwan Hwang and Jin Bae Park and Young Hoon Joo}, title = {Disturbance Observer-Based H{\(\propto\)} Control of the {T-S} Fuzzy Model Under Imperfect Premise Matching}, booktitle = {2018 {IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2018, Auckland, New Zealand, July 9-12, 2018}, pages = {622--627}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AIM.2018.8452222}, doi = {10.1109/AIM.2018.8452222}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/HwangPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/GeHPLKT18, author = {Wendong Ge and Jin{-}Won Huh and Yu Rang Park and Jae Ho Lee and Young{-}Hak Kim and Alexander Turchin}, title = {An Interpretable {ICU} Mortality Prediction Model Based on Logistic Regression and Recurrent Neural Networks with {LSTM} Units}, booktitle = {{AMIA} 2018, American Medical Informatics Association Annual Symposium, San Francisco, CA, November 3-7, 2018}, publisher = {{AMIA}}, year = {2018}, url = {https://knowledge.amia.org/67852-amia-1.4259402/t004-1.4263758/t004-1.4263759/2976591-1.4263988/2974987-1.4263985}, timestamp = {Wed, 17 Apr 2024 11:47:15 +0200}, biburl = {https://dblp.org/rec/conf/amia/GeHPLKT18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/KimLLYKCKKHPK18, author = {Hyeji Kim and Jinyeon Lim and Yeongmin Lee and Woojin Yun and Young{-}Gyu Kim and Wonseok Choi and Asim Khan and Muhammad Umar Karim Khan and Said Homidov and Hyun Sang Park and Chong{-}Min Kyung}, editor = {Youngsoo Shin}, title = {Real-time depth map processor for offset aperture based single camera system}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {293--294}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297326}, doi = {10.1109/ASPDAC.2018.8297326}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/KimLLYKCKKHPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/MoonYCPKKCKLSHS18, author = {Joung{-}Wook Moon and Hye{-}Sung Yoo and Hundai Choi and Il{-}Won Park and Seok{-}Yong Kang and Jun{-}Bae Kim and Haeyoung Chung and Kiho Kim and Dong{-}Hun Lee and Ki{-}Jae Song and Seok{-}Hun Hyun and Indal Song and Young{-}Soo Sohn and Yong{-}Ho Cho and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {An Enhanced Built-off-Test Transceiver with Wide-range, Self-calibration Engine for 3.2 Gb/s/pin {DDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {139--142}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579329}, doi = {10.1109/ASSCC.2018.8579329}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/MoonYCPKKCKLSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/JungPKH18, author = {Hyeok{-}june Jung and Kyeong{-}sik Park and Cheol{-}Jin Kim and Young{-}Guk Ha}, title = {Formal Modeling and Verification of Serial Communication for Autonomous Vehicles}, booktitle = {2018 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2018, Shanghai, China, January 15-17, 2018}, pages = {657--661}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/BigComp.2018.00119}, doi = {10.1109/BIGCOMP.2018.00119}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/JungPKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimPH18, author = {Cheol{-}Jin Kim and Sung{-}Hoon Park and Young{-}Guk Ha}, title = {Correlation Analysis Between Vehicular Traffic and {PM} Using Sensor Big Data}, booktitle = {2018 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2018, Shanghai, China, January 15-17, 2018}, pages = {644--648}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/BigComp.2018.00116}, doi = {10.1109/BIGCOMP.2018.00116}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/KimPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/OhLPJHKC18, author = {Kyo{-}Joong Oh and Dongkun Lee and Chan Yong Park and Young{-}Seob Jeong and Sawook Hong and Sungtae Kwon and Ho{-}Jin Choi}, title = {Out-of-Domain Detection Method Based on Sentence Distance for Dialogue Systems}, booktitle = {2018 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2018, Shanghai, China, January 15-17, 2018}, pages = {673--676}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/BigComp.2018.00123}, doi = {10.1109/BIGCOMP.2018.00123}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/OhLPJHKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/JinKJJPAHLC18, author = {Cheng{-}Bin Jin and Hakil Kim and Wonmo Jung and Seongsu Joo and Eunsik Park and Young Saem Ahn and In Ho Han and Jae Il Lee and Xuenan Cui}, editor = {Wei Li and Qingli Li and Lipo Wang}, title = {CT-Based {MR} Synthesis Using Adversarial Cycle-Consistent Networks with Paired Data Learning}, booktitle = {11th International Congress on Image and Signal Processing, BioMedical Engineering and Informatics, {CISP-BMEI} 2018, Beijing, China, October 13-15, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CISP-BMEI.2018.8633074}, doi = {10.1109/CISP-BMEI.2018.8633074}, timestamp = {Thu, 02 Feb 2023 10:46:28 +0100}, biburl = {https://dblp.org/rec/conf/bmei/JinKJJPAHLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/JosBNTPHK18, author = {Sujit Jos and Kiran Bynam and Jinesh P. Nair and P. S. Chandrashekhar Thejaswi and Changsoon Park and Young{-}Jun Hong and Youngsoo Kim}, title = {A carrier frequency offset compensation algorithm with synchronization for digital communication receivers}, booktitle = {15th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2018, Las Vegas, NV, USA, January 12-15, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CCNC.2018.8319211}, doi = {10.1109/CCNC.2018.8319211}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/JosBNTPHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dyspan/KimBLPBHCH18, author = {Yongjae Kim and Jimin Bae and Jinteak Lim and Eunhye Park and Jaeuk Baek and Sang Ik Han and Chol Chu and Youngnam Han}, title = {5G K-Simulator: 5G System Simulator for Performance Evaluation}, booktitle = {2018 {IEEE} International Symposium on Dynamic Spectrum Access Networks, DySPAN 2018, Seoul, Korea (South), October 22-25, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DySPAN.2018.8610404}, doi = {10.1109/DYSPAN.2018.8610404}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/dyspan/KimBLPBHCH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ChoiJKSBKPKJSSS18, author = {Gwang Jin Choi and Jungwoo Jang and Seonmi Kang and Shinyong Shim and Changhoon Baek and Boyoon Kim and Yoonji Park and Sunhyo Kim and Younginha Jung and Kangmoon Seo and Jong{-}Mo Seo and Yoon{-}Kyu Song}, title = {Locomotion Control of Pigeons using Polymer-based Deep Brain Electrodes}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {1871--1874}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8512684}, doi = {10.1109/EMBC.2018.8512684}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ChoiJKSBKPKJSSS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/YeLBRSCJP18, author = {Eunbi Ye and Seung{-}Hyun Lee and Jinhwan Baik and Seon Young Ryu and Won Hoon Song and Eue{-}Keun Choi and Chang Wook Jeong and Sung{-}Min Park}, title = {Developing a Computational Model of Renal Nerves and Surgical System for Laparoscopic Renal Denervation}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {4524--4527}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8513120}, doi = {10.1109/EMBC.2018.8513120}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/YeLBRSCJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ParkHKSH18, author = {Se Jin Park and Seunghee Hong and Damee Kim and Young Seo and Iqram Hussain}, editor = {Constantine Stephanidis}, title = {Knowledge Based Health Monitoring During Driving}, booktitle = {{HCI} International 2018 - Posters' Extended Abstracts - 20th International Conference, {HCI} International 2018, Las Vegas, NV, USA, July 15-20, 2018, Proceedings, Part {III}}, series = {Communications in Computer and Information Science}, volume = {852}, pages = {387--392}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-92285-0\_52}, doi = {10.1007/978-3-319-92285-0\_52}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ParkHKSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ChoiPJJLWJKK18, author = {Min{-}Kook Choi and Jaehyeong Park and Jihun Jung and Heechul Jung and Jin{-}Hee Lee and Woong{-}Jae Won and Woo Young Jung and Jincheol Kim and Soon Kwon}, title = {Co-Occurrence Matrix Analysis-Based Semi-Supervised Training for Object Detection}, booktitle = {2018 {IEEE} International Conference on Image Processing, {ICIP} 2018, Athens, Greece, October 7-10, 2018}, pages = {1333--1337}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICIP.2018.8451360}, doi = {10.1109/ICIP.2018.8451360}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ChoiPJJLWJKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/HaPCPC18, author = {Jung{-}Su Ha and Young{-}Jin Park and Hyeok{-}Joo Chae and Soon{-}Seo Park and Han{-}Lim Choi}, title = {Adaptive Path-Integral Approach for Representation Learning and Planning}, booktitle = {6th International Conference on Learning Representations, {ICLR} 2018, Vancouver, BC, Canada, April 30 - May 3, 2018, Workshop Track Proceedings}, publisher = {OpenReview.net}, year = {2018}, url = {https://openreview.net/forum?id=HyoOUrkwz}, timestamp = {Thu, 04 Apr 2019 13:20:09 +0200}, biburl = {https://dblp.org/rec/conf/iclr/HaPCPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/KimYLYKCYRP18, author = {Hyunwook Kim and JinWook Yang and JunSuk Lee and SangPil Yoon and Youngwha Kim and Minsu Choi and Jaeyoung Yang and Eun{-}Seok Ryu and WooChool Park}, title = {Eye Tracking-Based 360 Vr Foveated/Tiled Video Rendering}, booktitle = {2018 {IEEE} International Conference on Multimedia {\&} Expo Workshops, {ICME} Workshops 2018, San Diego, CA, USA, July 23-27, 2018}, pages = {1}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/ICMEW.2018.8551511}, doi = {10.1109/ICMEW.2018.8551511}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/KimYLYKCYRP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/SeoKPCLCLLS18, author = {Keehong Seo and Kyungrock Kim and Young Jin Park and Joon{-}Kee Cho and Jongwon Lee and Byungjune Choi and Bokman Lim and Younbaek Lee and Youngbo Shim}, title = {Adaptive Oscillator-Based Control for Active Lower-Limb Exoskeleton and its Metabolic Impact}, booktitle = {2018 {IEEE} International Conference on Robotics and Automation, {ICRA} 2018, Brisbane, Australia, May 21-25, 2018}, pages = {6752--6758}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICRA.2018.8460841}, doi = {10.1109/ICRA.2018.8460841}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icra/SeoKPCLCLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsca/ParkKKC18, author = {Young Min Park and Kwangsu Kim and Byoung Jin Kim and Eui{-}Young Chung}, editor = {Kamal Zuhairi Zamli and Vitaliy Mezhuyev and Luigi Benedicenti}, title = {Asymmetric Slew Logic Threshold Method on Near Threshold Region}, booktitle = {Proceedings of the 7th International Conference on Software and Computer Applications, {ICSCA} 2018, Kuantan, Malaysia, February 08-10, 2018}, pages = {317--321}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3185089.3185135}, doi = {10.1145/3185089.3185135}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icsca/ParkKKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/EssaidKPLPJ18, author = {Meryam Essaid and Hyeon Woo Kim and Woo Guil Park and Ki Young Lee and Se Jin Park and Hongtaek Ju}, title = {Network Usage of Bitcoin Full Node}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1286--1291}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539723}, doi = {10.1109/ICTC.2018.8539723}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/EssaidKPLPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimYYJP18, author = {Hyunwook Kim and Jin Wook Yang and Jae Young Yang and Jun Hwan Jang and WooChool Park}, title = {{MPEG-DASH} {SRD} based 360 {VR} Tiled Streaming System for Foveated Rendering}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {587--591}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539484}, doi = {10.1109/ICTC.2018.8539484}, timestamp = {Thu, 03 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimYYJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/LeePJKY18, author = {Min{-}Seok Lee and Jinhyeok Park and Young Jae Jang and Woojin Kim and Daesub Yoon}, title = {Individual Stable Driving Pattern Analysis for Evaluating Driver Readiness at Autonomous Driving Levels 2 and 3}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {315--319}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539722}, doi = {10.1109/ICTC.2018.8539722}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/LeePJKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkKKL18, author = {Jinhyeok Park and Hyunjin Kwon and Seokhwan Kang and Youngho Lee}, title = {The effect of binaural beat-based audiovisual stimulation on brain waves and concentration}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {420--423}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539512}, doi = {10.1109/ICTC.2018.8539512}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkKKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irc/ChoKLKPLLS18, author = {Hyeon Cho and Tae Jin Kim and Jae Hong Lee and Hang{-}Keun Kim and Jong{-}Oh Park and Jong Hee Lee and Cheong Lee and Young{-}Don Son}, title = {Simulation Study of Autonomous Drive for Active Capsule Endoscopy}, booktitle = {Second {IEEE} International Conference on Robotic Computing, {IRC} 2018, Laguna Hills, CA, USA, January 31 - February 2, 2018}, pages = {403--406}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/IRC.2018.00083}, doi = {10.1109/IRC.2018.00083}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irc/ChoKLKPLLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KimLPPB18, author = {Young{-}Jin Kim and Dong{-}Hyuk Lee and Hyeonjun Park and Jae{-}Han Park and Ji{-}Hun Bae}, title = {A Novel Input Device for Robotic Prosthetic Hand: Design and Preliminary Results}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8593809}, doi = {10.1109/IROS.2018.8593809}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/KimLPPB18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkLJKKLCLMCS18, author = {Daegil Park and Yeongjun Lee and Kwangyik Jung and Hyeong{-}Joo Kang and Hyeonseung Ki and Jeong{-}Woo Lee and Young{-}Ho Choi and Ji{-}Hong Li and Hyun Myung and Hyun{-}Taek Choi and Jin{-}Ho Suh}, title = {Autonomous Underwater Vehicle Navigation in Structured Environment}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {5039}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8594429}, doi = {10.1109/IROS.2018.8594429}, timestamp = {Thu, 10 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ParkLJKKLCLMCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SagongKCYSHJCPS18, author = {Hyun{-}Chul Sagong and Hyunjin Kim and Seungjin Choo and Sungyoung Yoon and Hyewon Shim and Sangsu Ha and Tae{-}Young Jeong and Minhyeok Choe and Junekyun Park and Sangchul Shin and Sangwoo Pae}, title = {Effects of Far-BEOL anneal on the {WLR} and product reliability characterization of FinFET process technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353649}, doi = {10.1109/IRPS.2018.8353649}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/SagongKCYSHJCPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKLLKPJPBCYCL18, author = {Jin{-}Hee Cho and Jihwan Kim and Wooyoung Lee and Dong{-}Uk Lee and Tae{-}Kyun Kim and Heat Bit Park and Chunseok Jeong and Myeong{-}Jae Park and Seung Geun Baek and Seokwoo Choi and Byung Kuk Yoon and Young Jae Choi and Kyo Yun Lee and Daeyong Shim and Jonghoon Oh and Jinkook Kim and Seok{-}Hee Lee}, title = {A 1.2V 64Gb 341GB/S {HBM2} stacked {DRAM} with spiral point-to-point {TSV} structure and improved bank group data control}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {208--210}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310257}, doi = {10.1109/ISSCC.2018.8310257}, timestamp = {Thu, 24 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKLLKPJPBCYCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KoKWSHHKCLPLC18, author = {Min{-}Woo Ko and Kiduk Kim and Young{-}Jin Woo and Se{-}Un Shin and Hyun{-}Ki Han and Yeunhee Huh and Gyeong{-}Gu Kang and Jeong{-}Hyun Cho and Sang{-}Jin Lim and Se{-}Hong Park and Hyung{-}Min Lee and Gyu{-}Hyeong Cho}, title = {A 97{\%} high-efficiency 6{\(\mu\)}s fast-recovery-time buck-based step-up/down converter with embedded 1/2 and 3/2 charge-pumps for li-lon battery management}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {428--430}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310367}, doi = {10.1109/ISSCC.2018.8310367}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KoKWSHHKCLPLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinCKYJSPJJHCK18, author = {Se{-}un Shin and Minseong Choi and Seok{-}Tae Koh and Yu{-}Jin Yang and Seungchul Jung and Young{-}Hoon Sohn and Se{-}Hong Park and Yong{-}Min Ju and Youngsin Jo and Yeunhee Huh and Sung{-}Won Choi and Sang Joon Kim and Gyu{-}Hyeong Cho}, title = {A 13.56MHz time-interleaved resonant-voltage-mode wireless-power receiver with isolated resonator and quasi-resonant boost converter for implantable systems}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {154--156}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310230}, doi = {10.1109/ISSCC.2018.8310230}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ShinCKYJSPJJHCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ShinHJCSWCPSKJH18, author = {Se{-}Un Shin and Yeunhee Huh and Yong{-}Min Ju and Sung{-}Won Choi and Changsik Shin and Young{-}Jin Woo and Minseong Choi and Se{-}Hong Park and Young{-}Hoon Sohn and Min{-}Woo Ko and Youngsin Jo and Hyun{-}Ki Han and Hyung{-}Min Lee and Sung{-}Wan Hong and Wanyuan Qu and Gyu{-}Hyeong Cho}, title = {A 95.2{\%} efficiency dual-path {DC-DC} step-up converter with continuous output current delivery and low voltage ripple}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {430--432}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310368}, doi = {10.1109/ISSCC.2018.8310368}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ShinHJCSWCPSKJH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KimLKNKLAPLKLPP18, author = {Geena Kim and June{-}Goo Lee and Soo{-}Jin Kang and Paul Ngyuen and Do{-}Yoon Kang and Pil Hyung Lee and Jung{-}Min Ahn and Duk{-}Woo Park and Seung{-}Whan Lee and Young{-}Hak Kim and Cheol Whan Lee and Seong{-}Wook Park and Seung{-}Jung Park}, editor = {Danail Stoyanov and Zeike Taylor and Simone Balocco and Raphael Sznitman and Anne L. Martel and Lena Maier{-}Hein and Luc Duong and Guillaume Zahnd and Stefanie Demirci and Shadi Albarqouni and Su{-}Lin Lee and Stefano Moriconi and Veronika Cheplygina and Diana Mateus and Emanuele Trucco and Eric Granger and Pierre Jannin}, title = {Prediction of {FFR} from {IVUS} Images Using Machine Learning}, booktitle = {Intravascular Imaging and Computer Assisted Stenting - and - Large-Scale Annotation of Biomedical Data and Expert Label Synthesis - 7th Joint International Workshop, {CVII-STENT} 2018 and Third International Workshop, {LABELS} 2018, Held in Conjunction with {MICCAI} 2018, Granada, Spain, September 16, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11043}, pages = {73--81}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01364-6\_9}, doi = {10.1007/978-3-030-01364-6\_9}, timestamp = {Sun, 25 Jul 2021 11:52:41 +0200}, biburl = {https://dblp.org/rec/conf/miccai/KimLKNKLAPLKLPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsys/KimYCLYKP18, author = {Hyunwook Kim and JinWook Yang and Minsu Choi and JunSuk Lee and SangPil Yoon and YoungHwa Kim and WooChool Park}, editor = {Pablo C{\'{e}}sar and Michael Zink and Niall Murray}, title = {Eye tracking based foveated rendering for 360 {VR} tiled video}, booktitle = {Proceedings of the 9th {ACM} Multimedia Systems Conference, MMSys 2018, Amsterdam, The Netherlands, June 12-15, 2018}, pages = {484--486}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3204949.3208111}, doi = {10.1145/3204949.3208111}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsys/KimYCLYKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/HaPCPC18, author = {Jung{-}Su Ha and Young{-}Jin Park and Hyeok{-}Joo Chae and Soon{-}Seo Park and Han{-}Lim Choi}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {Adaptive Path-Integral Autoencoders: Representation Learning and Planning for Dynamical Systems}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {8941--8952}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/aa0d2a804a3510442f2fd40f2100b054-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/HaPCPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ParkYECSJ18, author = {Jisu Park and Young{-}Sun Yun and Seongbae Eun and Sin Cha and Sun Sup So and Jinman Jung}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {Deep neural networks based user interface detection for mobile applications using symbol marker}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {66--67}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264808}, doi = {10.1145/3264746.3264808}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/ParkYECSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/HeoPKKC18, author = {Young Jin Heo and Ikjong Park and Ki Hean Kim and Myoung{-}Joon Kim and Wan Kyun Chung}, title = {Optical Coherence Tomography Image Segmentation for Cornea Surgery using Deep Neural Networks}, booktitle = {15th International Conference on Ubiquitous Robots, {UR} 2018, Honolulu, HI, USA, June 26-30, 2018}, pages = {14--18}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/URAI.2018.8441889}, doi = {10.1109/URAI.2018.8441889}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/HeoPKKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1802-06964, author = {Min{-}Kook Choi and Jaehyeong Park and Jihun Jung and Heechul Jung and Jin{-}Hee Lee and Woong{-}Jae Won and Woo Young Jung and Jincheol Kim and Soon Kwon}, title = {Co-occurrence matrix analysis-based semi-supervised training for object detection}, journal = {CoRR}, volume = {abs/1802.06964}, year = {2018}, url = {http://arxiv.org/abs/1802.06964}, eprinttype = {arXiv}, eprint = {1802.06964}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1802-06964.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-10790, author = {Cheng{-}Bin Jin and Wonmo Jung and Seongsu Joo and Eunsik Park and Young Saem Ahn and In Ho Han and Jae{-}Il Lee and Xuenan Cui}, title = {Deep {CT} to {MR} Synthesis using Paired and Unpaired Data}, journal = {CoRR}, volume = {abs/1805.10790}, year = {2018}, url = {http://arxiv.org/abs/1805.10790}, eprinttype = {arXiv}, eprint = {1805.10790}, timestamp = {Wed, 06 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-10790.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1807-02128, author = {Jung{-}Su Ha and Young{-}Jin Park and Hyeok{-}Joo Chae and Soon{-}Seo Park and Han{-}Lim Choi}, title = {Adaptive Path-Integral Approach to Representation Learning and Planning for Dynamical Systems}, journal = {CoRR}, volume = {abs/1807.02128}, year = {2018}, url = {http://arxiv.org/abs/1807.02128}, eprinttype = {arXiv}, eprint = {1807.02128}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1807-02128.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-07109, author = {Young{-}Jin Park and Han{-}Lim Choi}, title = {InfoSSM: Interpretable Unsupervised Learning of Nonparametric State-Space Model for Multi-modal Dynamics}, journal = {CoRR}, volume = {abs/1809.07109}, year = {2018}, url = {http://arxiv.org/abs/1809.07109}, eprinttype = {arXiv}, eprint = {1809.07109}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-07109.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-09957, author = {Hanjoo Kim and Minkyu Kim and Dongjoo Seo and Jinwoong Kim and Heungseok Park and Soeun Park and Hyunwoo Jo and KyungHyun Kim and Youngil Yang and Youngkwan Kim and Nako Sung and Jung{-}Woo Ha}, title = {{NSML:} Meet the MLaaS platform with a real-world case study}, journal = {CoRR}, volume = {abs/1810.09957}, year = {2018}, url = {http://arxiv.org/abs/1810.09957}, eprinttype = {arXiv}, eprint = {1810.09957}, timestamp = {Mon, 15 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-09957.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimPJ17, author = {Han Sol Kim and Jin Bae Park and Young Hoon Joo}, title = {Decentralized Sampled-Data Tracking Control of Large-Scale Fuzzy Systems: An Exact Discretization Approach}, journal = {{IEEE} Access}, volume = {5}, pages = {12668--12681}, year = {2017}, url = {https://doi.org/10.1109/ACCESS.2017.2723982}, doi = {10.1109/ACCESS.2017.2723982}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/ParkYRLLYP17, author = {Chihyun Park and So Jeong Yun and Sung Jin Ryu and Soyoung Lee and Young Sam Lee and Youngmi Yoon and Sang{-}Chul Park}, title = {Systematic identification of an integrative network module during senescence from time-series gene expression}, journal = {{BMC} Syst. Biol.}, volume = {11}, number = {1}, pages = {36:1--36:13}, year = {2017}, url = {https://doi.org/10.1186/s12918-017-0417-1}, doi = {10.1186/S12918-017-0417-1}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/ParkYRLLYP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/candie/KimKCP17, author = {Hwang Ho Kim and Do Gyun Kim and Jin Young Choi and Sang Chul Park}, title = {Tire mixing process scheduling using particle swarm optimization}, journal = {Comput. Ind. Eng.}, volume = {110}, pages = {333--343}, year = {2017}, url = {https://doi.org/10.1016/j.cie.2017.06.012}, doi = {10.1016/J.CIE.2017.06.012}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/candie/KimKCP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csl/KimPSKK17, author = {Kangil Kim and Eun{-}Jin Park and Jong{-}Hun Shin and Oh{-}Woog Kwon and Young Kil Kim}, title = {Divergence-based fine pruning of phrase-based statistical translation model}, journal = {Comput. Speech Lang.}, volume = {41}, pages = {146--160}, year = {2017}, url = {https://doi.org/10.1016/j.csl.2016.06.006}, doi = {10.1016/J.CSL.2016.06.006}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/csl/KimPSKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/KooPJ17, author = {Geun Bum Koo and Jin Bae Park and Young Hoon Joo}, title = {Sampled-data H\({}_{\mbox{{\(\infty\)}}}\) fuzzy filtering for nonlinear systems with missing measurements}, journal = {Fuzzy Sets Syst.}, volume = {316}, pages = {82--98}, year = {2017}, url = {https://doi.org/10.1016/j.fss.2016.04.016}, doi = {10.1016/J.FSS.2016.04.016}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fss/KooPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimLHSBPSJ17, author = {Ho{-}Seong Kim and Pil{-}Ho Lee and Jin{-}Wook Han and Seung{-}Hun Shin and Seung{-}Wuk Baek and Doo{-}Ill Park and Yongkyu Seo and Young{-}Chan Jang}, title = {A 10 Gbps {D-PHY} Transmitter Bridge Chip for FPGA-Based Frame Generator Supporting {MIPI} {DSI} of Mobile Display}, journal = {{IEICE} Trans. Electron.}, volume = {100-C}, number = {11}, pages = {1035--1038}, year = {2017}, url = {https://doi.org/10.1587/transele.E100.C.1035}, doi = {10.1587/TRANSELE.E100.C.1035}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimLHSBPSJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijfs/KimPJ17, author = {Han Sol Kim and Jin Bae Park and Young Hoon Joo}, title = {A Systematic Approach to Fuzzy-model-based Robust {\textbackslash}(H{\_}{\textbackslash}infty{\textbackslash}) Control Design for a Quadrotor {UAV} Under Imperfect Premise Matching}, journal = {Int. J. Fuzzy Syst.}, volume = {19}, number = {4}, pages = {1227--1237}, year = {2017}, url = {https://doi.org/10.1007/s40815-016-0233-6}, doi = {10.1007/S40815-016-0233-6}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijfs/KimPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/ParkKK17, author = {Jin Han Park and Hee Eun Kwark and Young Chel Kwun}, title = {Entropy and Cross-entropy for Generalized Hesitant Fuzzy Information and Their Use in Multiple Attribute Decision Making}, journal = {Int. J. Intell. Syst.}, volume = {32}, number = {3}, pages = {266--290}, year = {2017}, url = {https://doi.org/10.1002/int.21841}, doi = {10.1002/INT.21841}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/ParkKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijstm/ParkJK17, author = {Jin Hyun Park and Im Young Jung and Soonja Kim}, title = {A privacy-preserving URL-hiding scheme for the web of things}, journal = {Int. J. Serv. Technol. Manag.}, volume = {23}, number = {1/2}, pages = {15--20}, year = {2017}, url = {https://doi.org/10.1504/IJSTM.2017.10002692}, doi = {10.1504/IJSTM.2017.10002692}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijstm/ParkJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ipl/HanPP17, author = {Yong{-}Jin Han and Seong{-}Bae Park and Se{-}Young Park}, title = {Personalized app recommendation using spatio-temporal app usage log}, journal = {Inf. Process. Lett.}, volume = {124}, pages = {15--20}, year = {2017}, url = {https://doi.org/10.1016/j.ipl.2017.04.004}, doi = {10.1016/J.IPL.2017.04.004}, timestamp = {Wed, 14 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ipl/HanPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/KooPJ17, author = {Geun Bum Koo and Jin Bae Park and Young Hoon Joo}, title = {An improved digital redesign for sampled-data fuzzy control systems: Fuzzy Lyapunov function approach}, journal = {Inf. Sci.}, volume = {406}, pages = {71--86}, year = {2017}, url = {https://doi.org/10.1016/j.ins.2017.04.023}, doi = {10.1016/J.INS.2017.04.023}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/KooPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ChaKPPK17, author = {Yesool Cha and Jinman Kim and Byoung{-}Ha Park and Young{-}Choong Park and Seong{-}Dong Kim}, title = {Development of an {ICT} Car Service Applying a Human-Centered Design}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {11}, number = {6}, pages = {3071--3085}, year = {2017}, url = {https://doi.org/10.3837/tiis.2017.06.015}, doi = {10.3837/TIIS.2017.06.015}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/ChaKPPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jicce/HwangKKMSHP17, author = {Youngmee Hwang and Kwangsun Kim and Ohyoung Kwon and Ilyoung Moon and Gangho Shin and Jongho Ham and Jintae Park}, title = {Analyzing Box-Office Hit Factors Using Big Data: Focusing on Korean Films for the Last 5 Years}, journal = {J. Inform. and Commun. Convergence Engineering}, volume = {15}, number = {4}, year = {2017}, url = {https://doi.org/10.6109/jicce.2017.15.4.217}, doi = {10.6109/JICCE.2017.15.4.217}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jicce/HwangKKMSHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jlp/ParkLSHACK17, author = {Junkil Park and Insup Lee and Oleg Sokolsky and Dae{-}Yon Hwang and So Jin Ahn and Jin{-}Young Choi and Inhye Kang}, title = {A process algebraic approach to the schedulability analysis and workload abstraction of hierarchical real-time systems}, journal = {J. Log. Algebraic Methods Program.}, volume = {92}, pages = {1--18}, year = {2017}, url = {https://doi.org/10.1016/j.jlamp.2017.07.001}, doi = {10.1016/J.JLAMP.2017.07.001}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jlp/ParkLSHACK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangJKKCKRKLKLY17, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Cheon An Lee and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked {WL} Layers}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {210--217}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2604297}, doi = {10.1109/JSSC.2016.2604297}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangJKKCKRKLKLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnYOOSPSJSRYJ17, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Hyunui Lee and Seok{-}Yong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Yong{-}Cheol Bae and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 1.2 {V} 20 nm 307 GB/s {HBM} {DRAM} With At-Speed Wafer-Level {IO} Test Scheme and Adaptive Refresh Considering Temperature Distribution}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {250--260}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2602221}, doi = {10.1109/JSSC.2016.2602221}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnYOOSPSJSRYJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HanLKPLYHLJJ17, author = {Seung Uk Han and Youngyoun Lee and Yongdoo Kim and Jemin Park and Junhee Lim and Satoru Yamada and Hyeongsun Hong and Kyupil Lee and Gyoyoung Jin and Eunseung Jung}, title = {The improvement of {HEIP} immunity using {STI} engineering at {DRAM}}, journal = {Microelectron. Reliab.}, volume = {76-77}, pages = {164--167}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.07.039}, doi = {10.1016/J.MICROREL.2017.07.039}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/HanLKPLYHLJJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeePKJ17, author = {Rae{-}Chun Lee and Koo{-}Rock Park and Jin{-}Mook Kim and Hwa{-}Young Jeong}, title = {A study on the impact of the software developer's social exclusion on the quality information system}, journal = {Multim. Tools Appl.}, volume = {76}, number = {4}, pages = {6003--6014}, year = {2017}, url = {https://doi.org/10.1007/s11042-015-2842-8}, doi = {10.1007/S11042-015-2842-8}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeePKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkKLPS17, author = {Man{-}Woo Park and Jung In Kim and Young{-}Joo Lee and Jinwoo Park and Wonho Suh}, title = {Vision-based surveillance system for monitoring traffic conditions}, journal = {Multim. Tools Appl.}, volume = {76}, number = {23}, pages = {25343--25367}, year = {2017}, url = {https://doi.org/10.1007/s11042-017-4521-4}, doi = {10.1007/S11042-017-4521-4}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ParkKLPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkPKJ17, author = {Young{-}Soo Park and Koo{-}Rock Park and Jin{-}Mook Kim and Hwa{-}Young Jeong}, title = {Fast Fourier transform benchmark on {X86} Xeon system for multimedia data processing}, journal = {Multim. Tools Appl.}, volume = {76}, number = {4}, pages = {6015--6030}, year = {2017}, url = {https://doi.org/10.1007/s11042-015-2843-7}, doi = {10.1007/S11042-015-2843-7}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ParkPKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/NamLCJHP17, author = {Dongkyung Nam and Jin{-}Ho Lee and Yang{-}Ho Cho and Young{-}Ju Jeong and Hyoseok Hwang and Du{-}Sik Park}, title = {Flat Panel Light-Field 3-D Display: Concept, Design, Rendering, and Calibration}, journal = {Proc. {IEEE}}, volume = {105}, number = {5}, pages = {876--891}, year = {2017}, url = {https://doi.org/10.1109/JPROC.2017.2686445}, doi = {10.1109/JPROC.2017.2686445}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/NamLCJHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/LeeYSPP17, author = {Mi Kyung Lee and Ho Young Yoon and Marc Smith and Hye Jin Park and Han Woo Park}, title = {Mapping a Twitter scholarly communication network: a case of the association of internet researchers' conference}, journal = {Scientometrics}, volume = {112}, number = {2}, pages = {767--797}, year = {2017}, url = {https://doi.org/10.1007/s11192-017-2413-z}, doi = {10.1007/S11192-017-2413-Z}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/LeeYSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangKP17, author = {Jin{-}Hyeok Kang and Young{-}Min Kwon and Kyung{-}Joon Park}, title = {Cooperative Spatial Retreat for Resilient Drone Networks}, journal = {Sensors}, volume = {17}, number = {5}, pages = {1018}, year = {2017}, url = {https://doi.org/10.3390/s17051018}, doi = {10.3390/S17051018}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KangKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLNPSKLYCLYL17, author = {Min Hyung Kim and Jeongjick Lee and Kihwan Nam and In Soo Park and Myeonggu Son and Hyunchul Ko and Sangyoup Lee and Dae Sung Yoon and Woo{-}Jin Chang and Sei Young Lee and Young{-}Ro Yoon and Sang Woo Lee}, title = {Automated Dielectrophoretic Tweezers-Based Force Spectroscopy System in a Microfluidic Device}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2272}, year = {2017}, url = {https://doi.org/10.3390/s17102272}, doi = {10.3390/S17102272}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLNPSKLYCLYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkLBKPKPW17, author = {Byung Jun Park and Seung Rag Lee and Hyun Jin Bang and Byung Yeon Kim and Jeonghun Park and Dong Guk Kim and Sungsoo Park and Young Jae Won}, title = {Image-Guided Laparoscopic Surgical Tool (IGLaST) Based on the Optical Frequency Domain Imaging {(OFDI)} to Prevent Bleeding}, journal = {Sensors}, volume = {17}, number = {4}, pages = {919}, year = {2017}, url = {https://doi.org/10.3390/s17040919}, doi = {10.3390/S17040919}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkLBKPKPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/HanPP17, author = {Yong{-}Jin Han and Se{-}Young Park and Seong{-}Bae Park}, title = {A single-directional influence topic model using call and proximity logs simultaneously}, journal = {Soft Comput.}, volume = {21}, number = {15}, pages = {4179--4195}, year = {2017}, url = {https://doi.org/10.1007/s00500-015-1898-8}, doi = {10.1007/S00500-015-1898-8}, timestamp = {Tue, 18 Jul 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/HanPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tase/ParkLKKK17, author = {Jaemann Park and Bong{-}ju Lee and Seonhyeok Kang and Pan Young Kim and H. Jin Kim}, title = {Online Learning Control of Hydraulic Excavators Based on Echo-State Networks}, journal = {{IEEE} Trans Autom. Sci. Eng.}, volume = {14}, number = {1}, pages = {249--259}, year = {2017}, url = {https://doi.org/10.1109/TASE.2016.2582213}, doi = {10.1109/TASE.2016.2582213}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tase/ParkLKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/LeeKCJPKS17, author = {Chun{-}Kwon Lee and Gu{-}Young Kwon and Seung{-}Jin Chang and Moon Kang Jung and Jin Bae Park and Han Sol Kim and Yong{-}June Shin}, title = {Real-Time Condition Monitoring of {LOCA} via Time-Frequency Domain Reflectometry}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {66}, number = {7}, pages = {1864--1873}, year = {2017}, url = {https://doi.org/10.1109/TIM.2017.2664578}, doi = {10.1109/TIM.2017.2664578}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/LeeKCJPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/ChoPLC17, author = {Hui Sup Cho and Young{-}Jin Park and Hong{-}Kun Lyu and Jin{-}Ho Cho}, title = {Novel Heart Rate Detection Method Using {UWB} Impulse Radar}, journal = {J. Signal Process. Syst.}, volume = {87}, number = {2}, pages = {229--239}, year = {2017}, url = {https://doi.org/10.1007/s11265-016-1177-7}, doi = {10.1007/S11265-016-1177-7}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/vlsisp/ChoPLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeLKHCBMEKCSKP17, author = {Chang{-}Kyo Lee and Junha Lee and Kiho Kim and Jin{-}Seok Heo and Gil{-}Hoon Cha and Jin{-}Hyeok Baek and Daesik Moon and Yoon{-}Joo Eom and Tae{-}Sung Kim and Hyunyoon Cho and Young Hoon Son and Seonghwan Kim and Jong{-}Wook Park and Sewon Eom and Si{-}Hyeong Cho and Young{-}Ryeol Choi and Seungseob Lee and Kyoung{-}Soo Ha and Youngseok Kim and Bo{-}Tak Lim and Dae{-}Hee Jung and Eungsung Seo and Kyoung{-}Ho Kim and Yoon{-}Gyu Song and Youn{-}Sik Park and Tae{-}Young Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Joon{-}Young Park and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {Dual-loop 2-step {ZQ} calibration for dedicated power supply voltage in {LPDDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {153--156}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240239}, doi = {10.1109/ASSCC.2017.8240239}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/LeeLKHCBMEKCSKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/OhKP17, author = {Youngju Oh and Seokyeol Kim and Jinah Park}, title = {Principal elements for visualizing event sequence data applied to 3D cascading diagrams}, booktitle = {2017 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2017, Jeju Island, South Korea, February 13-16, 2017}, pages = {59--63}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIGCOMP.2017.7881716}, doi = {10.1109/BIGCOMP.2017.7881716}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/OhKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccta/HongKP17, author = {Youngsuk Hong and Jinkyu Kim and Frank C. Park}, title = {Comparative analysis of energy-based criteria for dynamics-based robot motion optimization}, booktitle = {{IEEE} Conference on Control Technology and Applications, {CCTA} 2017, Mauna Lani Resort, HI, USA, August 27-30, 2017}, pages = {175--180}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CCTA.2017.8062459}, doi = {10.1109/CCTA.2017.8062459}, timestamp = {Sat, 17 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccta/HongKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/OhCKHPNSKPL17, author = {Jooyoung Oh and Dongrae Cho and Jongin Kim and Jaeseok Heo and Jaesub Park and Se Hee Na and Cheung Soo Shin and Jae{-}Jin Kim and Jin Young Park and Boreom Lee}, title = {Changes in heart rate variability of patients with delirium in intensive care unit}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {3118--3121}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037517}, doi = {10.1109/EMBC.2017.8037517}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/OhCKHPNSKPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/JangKJP17, author = {Yong Hoon Jang and Han Sol Kim and Young Hoon Joo and Jin Bae Park}, title = {Output regulation of large-scale {T-S} fuzzy-model-based decentralized control systems with unknown interconnection terms}, booktitle = {2017 {IEEE} International Conference on Fuzzy Systems, {FUZZ-IEEE} 2017, Naples, Italy, July 9-12, 2017}, pages = {1--6}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/FUZZ-IEEE.2017.8015712}, doi = {10.1109/FUZZ-IEEE.2017.8015712}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/JangKJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ChoiCSPYJKKJ17, author = {Jeongbong Choi and Kyeongwon Cho and Minki Song and Jinoh Park and Sunhyun Yook and Mok Kun Jeong and Sun I. Kim and In{-}Young Kim and Dong Pyo Jang}, title = {Developing ultrasound tactile sensitivity testing device with optical detector for measuring surface strain}, booktitle = {2017 {IEEE} World Haptics Conference, {WHC} 2017, Munich, Germany, June 6-9, 2017}, pages = {552--556}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WHC.2017.7989961}, doi = {10.1109/WHC.2017.7989961}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/haptics/ChoiCSPYJKKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ChaOSHPJCJSCAK17, author = {Sang{-}uhn Cha and Seongil O and Hyunsung Shin and Sangjoon Hwang and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi and Gyo{-}Young Jin and Young Hoon Son and Hyunyoon Cho and Jung Ho Ahn and Nam Sung Kim}, title = {Defect Analysis and Cost-Effective Resilience Architecture for Future {DRAM} Devices}, booktitle = {2017 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2017, Austin, TX, USA, February 4-8, 2017}, pages = {61--72}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/HPCA.2017.30}, doi = {10.1109/HPCA.2017.30}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/ChaOSHPJCJSCAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/YangLJKJLSLKP17, author = {Chanseung Yang and Sang Bin Lee and Geunik Jang and Seongnam Kim and Gyukyung Jung and Junghoon Lee and Sangwook Shim and Young Kab Lim and Jinpyo Kim and Sungbong Park}, title = {Starting current analysis for condition monitoring of medium voltage induction motors in the steel industry}, booktitle = {2017 {IEEE} Industry Applications Society Annual Meeting, Cincinnati, OH, USA, October 1-5, 2017}, pages = {1--9}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IAS.2017.8101827}, doi = {10.1109/IAS.2017.8101827}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iasam/YangLJKJLSLKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/YunKLLCKKHKPK17, author = {Woojin Yun and Young{-}Gyu Kim and Yeongmin Lee and Jinyeon Lim and Wonseok Choi and Muhammad Umar Karim Khan and Asim Khan and Said Homidov and Pervaiz Kareem and Hyun Sang Park and Chong{-}Min Kyung}, title = {Offset aperture based hardware architecture for real-time depth extraction}, booktitle = {2017 {IEEE} International Conference on Image Processing, {ICIP} 2017, Beijing, China, September 17-20, 2017}, pages = {4392--4396}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICIP.2017.8297112}, doi = {10.1109/ICIP.2017.8297112}, timestamp = {Mon, 15 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/YunKLLCKKHKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/ParkLSA17, author = {Han{-}Young Park and Byung{-}Hun Lee and Jin{-}Hee Son and Hyo{-}Sung Ahn}, title = {A comparison of neural network-based methods for load forecasting with selected input candidates}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2017, Toronto, ON, Canada, March 22-25, 2017}, pages = {1100--1105}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICIT.2017.7915516}, doi = {10.1109/ICIT.2017.7915516}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icit2/ParkLSA17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/PhanBKLP17, author = {Trung V. Phan and Nguyen Khac Bao and Youngpin Kim and Hyun{-}Jin Lee and Minho Park}, title = {Optimizing resource allocation for elastic security VNFs in the SDNFV-enabled cloud computing}, booktitle = {2017 International Conference on Information Networking, {ICOIN} 2017, Da Nang, Vietnam, January 11-13, 2017}, pages = {163--166}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICOIN.2017.7899497}, doi = {10.1109/ICOIN.2017.7899497}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icoin/PhanBKLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ParkLKK17, author = {Jin Han Park and Seung Bin Lee and Ja Hong Koo and Young Chel Kwun}, editor = {Derong Liu and Shengli Xie and Yuanqing Li and Dongbin Zhao and El{-}Sayed M. El{-}Alfy}, title = {2-Tuple Prioritized Weighted Harmonic Operator and Its Use in Group Decision Making}, booktitle = {Neural Information Processing - 24th International Conference, {ICONIP} 2017, Guangzhou, China, November 14-18, 2017, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {10636}, pages = {913--923}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-70090-8\_93}, doi = {10.1007/978-3-319-70090-8\_93}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/ParkLKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/SeoLP17, author = {Keehong Seo and Jusuk Lee and Young Jin Park}, title = {Autonomous hip exoskeleton saves metabolic cost of walking uphill}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2017, London, United Kingdom, July 17-20, 2017}, pages = {246--251}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICORR.2017.8009254}, doi = {10.1109/ICORR.2017.8009254}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icorr/SeoLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimCHJP17, author = {Youngjun Kim and Hyungoo Choi and Kiwon Hong and Minkyu Joo and Jinwoo Park}, title = {Fast handoff by multi-beacon listening in {IEEE} 802.11 {WLAN} networks}, booktitle = {Ninth International Conference on Ubiquitous and Future Networks, {ICUFN} 2017, Milan, Italy, July 4-7, 2017}, pages = {806--808}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICUFN.2017.7993909}, doi = {10.1109/ICUFN.2017.7993909}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimCHJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimLYKP17, author = {Yongmin Kim and Soo Bong Lee and Hyewon Yun and Jinyoung Kim and Youngjin Park}, title = {A drought analysis method based on modis satellite imagery and {AWS} data}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {4862--4865}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8128092}, doi = {10.1109/IGARSS.2017.8128092}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/igarss/KimLYKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimYLKP17, author = {Jongpil Kim and Hyewon Yun and Dalgeun Lee and Jin Young Kim and Youngjin Park}, title = {Rainfall-Runoff simulation using geostationary satellite rain rate in a small mountainous watershed}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {5725--5728}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8128308}, doi = {10.1109/IGARSS.2017.8128308}, timestamp = {Fri, 22 Dec 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimYLKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/LeeLKDKP17, author = {Soo Bong Lee and Hien Phu La and Yongmin Kim and Lee Dalgeun and Jinyoung Kim and Youngjin Park}, title = {Improvement on image simulation from multitemporal Landsat images}, booktitle = {2017 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2017, Fort Worth, TX, USA, July 23-28, 2017}, pages = {4874--4877}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IGARSS.2017.8128095}, doi = {10.1109/IGARSS.2017.8128095}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/igarss/LeeLKDKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ChoiLKLLRPKS17, author = {Byungjune Choi and Younbaek Lee and Yong{-}Jae Kim and Jongwon Lee and Minhyung Lee and Se{-}gon Roh and Young Jin Park and Kyungrock Kim and Youngbo Shim}, title = {Development of adjustable knee joint for walking assistance devices}, booktitle = {2017 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2017, Vancouver, BC, Canada, September 24-28, 2017}, pages = {1790--1797}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/IROS.2017.8205993}, doi = {10.1109/IROS.2017.8205993}, timestamp = {Tue, 17 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/ChoiLKLLRPKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/ShinLPHJ17, author = {Seung{-}Hun Shin and Pil{-}Ho Lee and Jin{-}Woo Park and Yu{-}Jeong Hwang and Young{-}Chan Jang}, title = {0.5 kHz-32 MHz digital fractional-N frequency synthesizer with burst-frequency switch}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2017, Baltimore, MD, USA, May 28-31, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISCAS.2017.8050286}, doi = {10.1109/ISCAS.2017.8050286}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/ShinLPHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/HanLKKPJ17, author = {Jin{-}Wook Han and Pil{-}Ho Lee and Yeong{-}Woong Kim and Sang{-}Dong Kim and Jin{-}Woo Park and Young{-}Chan Jang}, title = {A clock recovery for 2.56 GSymbol/s {MIPI} {C-PHY} receiver}, booktitle = {International SoC Design Conference, {ISOCC} 2017, Seoul, South Korea, November 5-8, 2017}, pages = {246--247}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISOCC.2017.8368876}, doi = {10.1109/ISOCC.2017.8368876}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/HanLKKPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KimLKJPKPY17, author = {Doyeop Kim and Sukhoon Lee and Tae Young Kim and Sanghyung Jin and Jaeyeon Park and JeongGil Ko and Rae Woong Park and Dukyong Yoon}, editor = {Adi V. Gundlapalli and Marie{-}Christine Jaulent and Dongsheng Zhao}, title = {Constructing an Open-Access Bio-Signal Repository from Intensive Care Units}, booktitle = {{MEDINFO} 2017: Precision Healthcare through Informatics - Proceedings of the 16th World Congress on Medical and Health Informatics, Hangzhou, China, 21-25 August 2017}, series = {Studies in Health Technology and Informatics}, volume = {245}, pages = {1271}, publisher = {{IOS} Press}, year = {2017}, url = {https://doi.org/10.3233/978-1-61499-830-3-1271}, doi = {10.3233/978-1-61499-830-3-1271}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KimLKJPKPY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mfi/ParkCLCLK17, author = {Sangwook Park and Chul Jin Cho and Younglo Lee and Andrew Da Costa and Sangho Lee and Hanseok Ko}, title = {Coastal ship monitoring based on multiple compact high frequency surface wave radars}, booktitle = {2017 {IEEE} International Conference on Multisensor Fusion and Integration for Intelligent Systems, {MFI} 2017, Daegu, Korea (South), November 16-18, 2017}, pages = {565--569}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MFI.2017.8170381}, doi = {10.1109/MFI.2017.8170381}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mfi/ParkCLCLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiDPKKS17, author = {Tae{-}Yong Choi and Hyunmin Do and Dongil Park and Jin Ho Kyung and Doo{-}Hyung Kim and Youngsoo Son}, title = {Development of a kinematics library creation software for the module based manipulator}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {190--191}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992708}, doi = {10.1109/URAI.2017.7992708}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiDPKKS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/YoonSKPL17, author = {Siyeop Yoon and Jinwon Son and Youngjun Kim and Sehyung Park and Deukhee Lee}, title = {A study for non-rigid 2x2D-3D registration of coronary artery images using bifurcation points matching with bi-plane X-ray fluoroscopy}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {583--584}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992675}, doi = {10.1109/URAI.2017.7992675}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/YoonSKPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wsc/JungJKKKKP17, author = {Hyun{-}Jin Jung and Gisun Jung and Young Kim and Nokhaiz Tariq Khan and Yo{-}Han Kim and Yun Bae Kim and Jinsoo Park}, title = {Development and appplication of agent-bsed disease spread simulation model : The case of Suwon, Korea}, booktitle = {2017 Winter Simulation Conference, {WSC} 2017, Las Vegas, NV, USA, December 3-6, 2017}, pages = {2810--2820}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WSC.2017.8248005}, doi = {10.1109/WSC.2017.8248005}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wsc/JungJKKKKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JangKKLPS17, author = {Jaeseong Jang and Ja{-}Young Kwon and Bukweon Kim and Sung Min Lee and Yejin Park and Jin Keun Seo}, title = {CNN-based Estimation of Abdominal Circumference from Ultrasound images}, journal = {CoRR}, volume = {abs/1702.02741}, year = {2017}, url = {http://arxiv.org/abs/1702.02741}, eprinttype = {arXiv}, eprint = {1702.02741}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JangKKLPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/LeePC17, author = {Su{-}Jin Lee and Young{-}Jin Park and Han{-}Lim Choi}, title = {Efficient sensor network planning method using approximate potential game}, journal = {CoRR}, volume = {abs/1707.00796}, year = {2017}, url = {http://arxiv.org/abs/1707.00796}, eprinttype = {arXiv}, eprint = {1707.00796}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/LeePC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LeeCKKCHP16, author = {Sungyoung Lee and Sungkyoung Choi and Young Jin Kim and Bong{-}Jo Kim and Heungsun Hwang and Taesung Park}, title = {Pathway-based approach using hierarchical components of collapsed rare variants}, journal = {Bioinform.}, volume = {32}, number = {17}, pages = {586--594}, year = {2016}, url = {https://doi.org/10.1093/bioinformatics/btw425}, doi = {10.1093/BIOINFORMATICS/BTW425}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/LeeCKKCHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/LeeKLCKLP16, author = {Jaehoon Lee and Young Jin Kim and Juyoung Lee and Bong{-}Jo Kim and Seungyeoun Lee and Taesung Park}, title = {Gene-set association tests for next-generation sequencing data}, journal = {Bioinform.}, volume = {32}, number = {17}, pages = {611--619}, year = {2016}, url = {https://doi.org/10.1093/bioinformatics/btw429}, doi = {10.1093/BIOINFORMATICS/BTW429}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/LeeKLCKLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cin/HanPP16, author = {Yong{-}Jin Han and Seong{-}Bae Park and Se{-}Young Park}, title = {A Natural Language Interface Concordant with a Knowledge Base}, journal = {Comput. Intell. Neurosci.}, volume = {2016}, pages = {9174683:1--9174683:15}, year = {2016}, url = {https://doi.org/10.1155/2016/9174683}, doi = {10.1155/2016/9174683}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cin/HanPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimYAP16, author = {Hyunjin Kim and Youngmi Yoon and Jaegyoon Ahn and Sanghyun Park}, title = {Corrigendum to "A literature-driven method to calculate similarities among diseases" [Comput. Methods Programs Biomed. {(2015)} 108-122]}, journal = {Comput. Methods Programs Biomed.}, volume = {129}, pages = {218}, year = {2016}, url = {https://doi.org/10.1016/j.cmpb.2016.02.018}, doi = {10.1016/J.CMPB.2016.02.018}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimYAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkKRSLKLH16, author = {Sung Yong Park and Sun{-}Mi Kim and Sungwon Roh and Min{-}Ah Soh and Sang Hoon Lee and Hyungjin Kim and Young{-}Sik Lee and Doug Hyun Han}, title = {The effects of a virtual reality treatment program for online gaming addiction}, journal = {Comput. Methods Programs Biomed.}, volume = {129}, pages = {99--108}, year = {2016}, url = {https://doi.org/10.1016/j.cmpb.2016.01.015}, doi = {10.1016/J.CMPB.2016.01.015}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/ParkKRSLKLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/LeeKLBKCSJPC16, author = {Jun{-}Young Lee and Brian Byunghyun Kang and Dae{-}Young Lee and Sang{-}Min Baek and Woong{-}Bae Kim and Woo{-}Young Choi and Jeong{-}Ryul Song and Hyeong{-}Joon Joo and Daegeun Park and Kyu{-}Jin Cho}, title = {Development of a Multi-functional Soft Robot {(SNUMAX)} and Performance in RoboSoft Grand Challenge}, journal = {Frontiers Robotics {AI}}, volume = {3}, pages = {63}, year = {2016}, url = {https://doi.org/10.3389/frobt.2016.00063}, doi = {10.3389/FROBT.2016.00063}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firai/LeeKLBKCSJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fuin/ParkKK16, author = {Jin{-}Han Park and Ji Yu Kim and Young Chel Kwun}, title = {Intuitionistic Fuzzy Optimized Weighted Geometric Bonferroni Means and Their Applications in Group Decision Making}, journal = {Fundam. Informaticae}, volume = {144}, number = {3-4}, pages = {363--381}, year = {2016}, url = {https://doi.org/10.3233/FI-2016-1341}, doi = {10.3233/FI-2016-1341}, timestamp = {Fri, 18 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fuin/ParkKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ParkCL16, author = {Young{-}Jin Park and Hui{-}Sup Cho and Hong{-}Kun Lyu}, title = {A method of detection of respiration rate on Android using {UWB} Impulse Radar}, journal = {{ICT} Express}, volume = {2}, number = {4}, pages = {145--149}, year = {2016}, url = {https://doi.org/10.1016/j.icte.2016.08.012}, doi = {10.1016/J.ICTE.2016.08.012}, timestamp = {Thu, 07 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/ParkCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/ChunLPC16, author = {Tae Yoon Chun and Jae Young Lee and Jin Bae Park and Yoon Ho Choi}, title = {Stability and monotone convergence of generalised policy iteration for discrete-time linear quadratic regulations}, journal = {Int. J. Control}, volume = {89}, number = {3}, pages = {437--450}, year = {2016}, url = {https://doi.org/10.1080/00207179.2015.1079737}, doi = {10.1080/00207179.2015.1079737}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/ChunLPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/KooPJ16, author = {Geun Bum Koo and Jin Bae Park and Young Hoon Joo}, title = {Decentralised sampled-data control for large-scale systems with nonlinear interconnections}, journal = {Int. J. Control}, volume = {89}, number = {10}, pages = {1951--1961}, year = {2016}, url = {https://doi.org/10.1080/00207179.2016.1145741}, doi = {10.1080/00207179.2016.1145741}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/KooPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MoonPJKKKY16, author = {Young{-}Jin Moon and Jeongpyo Park and Min{-}Gyu Jeong and Sang{-}Hyun Kim and Jin{-}Gyu Kang and Dong{-}Zo Kim and Changsik Yoo}, title = {Wireless power charger for wearable medical devices with in-band communication}, journal = {Int. J. Circuit Theory Appl.}, volume = {44}, number = {8}, pages = {1483--1493}, year = {2016}, url = {https://doi.org/10.1002/cta.2173}, doi = {10.1002/CTA.2173}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MoonPJKKKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/KimKCYNHKKJKKLP16, author = {Yongkang Kim and Min{-}Seok Kwon and Yonghwan Choi and Sung{-}Gon Yi and Junghyun Namkung and Sangjo Han and Wooil Kwon and Sun Whe Kim and Jin{-}Young Jang and Hyunsoo Kim and Youngsoo Kim and Seungyeoun Lee and Taesung Park}, title = {Comparative studies for developing protein based cancer prediction model to maximise the {ROC-AUC} with various variable selection methods}, journal = {Int. J. Data Min. Bioinform.}, volume = {16}, number = {1}, pages = {64--76}, year = {2016}, url = {https://doi.org/10.1504/IJDMB.2016.10000565}, doi = {10.1504/IJDMB.2016.10000565}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/KimKCYNHKKJKKLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/RaselHKPTL16, author = {Mostofa Kamal Rasel and Yongkoo Han and Jinseung Kim and Kisung Park and Nguyen Anh Tu and Young{-}Koo Lee}, title = {iTri: Index-based triangle listing in massive graphs}, journal = {Inf. Sci.}, volume = {336}, pages = {1--20}, year = {2016}, url = {https://doi.org/10.1016/j.ins.2015.12.006}, doi = {10.1016/J.INS.2015.12.006}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/RaselHKPTL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdm/ChoiOP16, author = {Youngseok Choi and Jungsuk Oh and Jinsoo Park}, title = {A Novel Approach to Managing the Dynamic Nature of Semantic Relatedness}, journal = {J. Database Manag.}, volume = {27}, number = {2}, pages = {1--26}, year = {2016}, url = {https://doi.org/10.4018/JDM.2016040101}, doi = {10.4018/JDM.2016040101}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdm/ChoiOP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/ParkYHJJ16, author = {Taejin Park and Bong{-}Jin Yum and Ying Hung and Young{-}Seon Jeong and Myong K. Jeong}, title = {Robust Kriging models in computer experiments}, journal = {J. Oper. Res. Soc.}, volume = {67}, number = {4}, pages = {644--653}, year = {2016}, url = {https://doi.org/10.1057/jors.2015.58}, doi = {10.1057/JORS.2015.58}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jors/ParkYHJJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/ParkSJK16, author = {Yu Jin Park and Ki Eun Seong and Seol{-}Young Jeong and Soon{-}Ju Kang}, title = {Self-Organizing Wearable Device Platform for Assisting and Reminding Humans in Real Time}, journal = {Mob. Inf. Syst.}, volume = {2016}, pages = {6048213:1--6048213:15}, year = {2016}, url = {https://doi.org/10.1155/2016/6048213}, doi = {10.1155/2016/6048213}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/ParkSJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimKJP16, author = {Dong{-}Hyun Kim and Jin{-}Mook Kim and Young{-}Sik Jeong and Koo{-}Rock Park}, title = {A risk probability-map generation model on multimedia services environment}, journal = {Multim. Tools Appl.}, volume = {75}, number = {23}, pages = {15709--15727}, year = {2016}, url = {https://doi.org/10.1007/s11042-014-2441-0}, doi = {10.1007/S11042-014-2441-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimKJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChaHLPK16, author = {Young{-}Su Cha and Jin Hong and Jaemin Lee and Jung{-}Min Park and Keehoon Kim}, title = {Flexible Piezoelectric Energy Harvesting from Mouse Click Motions}, journal = {Sensors}, volume = {16}, number = {7}, pages = {1045}, year = {2016}, url = {https://doi.org/10.3390/s16071045}, doi = {10.3390/S16071045}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ChaHLPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoCKPKP16, author = {Keunhee Cho and Jeong{-}Rae Cho and Sung Tae Kim and Sung Yong Park and Young{-}Jin Kim and Young{-}Hwan Park}, title = {Estimation of Prestress Force Distribution in Multi-Strand System of Prestressed Concrete Structures Using Field Data Measured by Electromagnetic Sensor}, journal = {Sensors}, volume = {16}, number = {8}, pages = {1317}, year = {2016}, url = {https://doi.org/10.3390/s16081317}, doi = {10.3390/S16081317}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoCKPKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJMK16, author = {Jinhee Park and Rios Jesus Javier and Taesup Moon and Youngwook Kim}, title = {Micro-Doppler Based Classification of Human Aquatic Activities via Transfer Learning of Convolutional Neural Networks}, journal = {Sensors}, volume = {16}, number = {12}, pages = {1990}, year = {2016}, url = {https://doi.org/10.3390/s16121990}, doi = {10.3390/S16121990}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/AgrawalKCKLKCP16, author = {Sunil K. Agrawal and Jiyeon Kang and Xi Chen and Mi Jung Kim and Youngmyung Lee and Sang Won Kong and Hyungpil Cho and Gyung{-}Jin Park}, title = {Robot-Enhanced Mobility Training of Children With Cerebral Palsy: Short-Term and Long-Term Pilot Studies}, journal = {{IEEE} Syst. J.}, volume = {10}, number = {3}, pages = {1098--1106}, year = {2016}, url = {https://doi.org/10.1109/JSYST.2014.2368455}, doi = {10.1109/JSYST.2014.2368455}, timestamp = {Fri, 11 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sj/AgrawalKCKLKCP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/KimKCPHLH16, author = {Dongjin Kim and Yesol Kim and Seong{-}je Cho and Minkyu Park and Sangchul Han and Guk{-}seon Lee and Young{-}Sup Hwang}, title = {An effective and intelligent Windows application filtering system using software similarity}, journal = {Soft Comput.}, volume = {20}, number = {5}, pages = {1821--1827}, year = {2016}, url = {https://doi.org/10.1007/s00500-015-1678-5}, doi = {10.1007/S00500-015-1678-5}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/KimKCPHLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimKPLL16, author = {Sang{-}Kyun Kim and Sang{-}Ick Kang and Young{-}Jin Park and Sanghyuk Lee and Sangmin Lee}, title = {Power Spectral Deviation-Based Voice Activity Detection Incorporating Teager Energy for Speech Enhancement}, journal = {Symmetry}, volume = {8}, number = {7}, pages = {58}, year = {2016}, url = {https://doi.org/10.3390/sym8070058}, doi = {10.3390/SYM8070058}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/KimKPLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/KimMPLKSSK16, author = {Kyung{-}Joong Kim and Seho Myung and Sung Ik Park and Jae{-}Young Lee and Makiko Kan and Yuji Shinohara and Jong{-}Woong Shin and Jinwoo Kim}, title = {Low-Density Parity-Check Codes for {ATSC} 3.0}, journal = {{IEEE} Trans. Broadcast.}, volume = {62}, number = {1}, pages = {189--196}, year = {2016}, url = {https://doi.org/10.1109/TBC.2016.2515538}, doi = {10.1109/TBC.2016.2515538}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/KimMPLKSSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/YiLBSCJKSP16, author = {Il{-}Min Yi and Soo{-}Min Lee and Seung{-}Jun Bae and Young{-}Soo Sohn and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A 40 mV-Differential-Channel-Swing Transceiver Using a {RX} Current-Integrating {TIA} and a {TX} Pre-Emphasis Equalizer With a {CML} Driver at 9 Gb/s}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {63-I}, number = {1}, pages = {122--133}, year = {2016}, url = {https://doi.org/10.1109/TCSI.2015.2500420}, doi = {10.1109/TCSI.2015.2500420}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/YiLBSCJKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LeeP16, author = {Jin Young Lee and Hyun Wook Park}, title = {Efficient Synthesis-Based Depth Map Coding in AVC-Compatible 3D Video Coding}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {26}, number = {6}, pages = {1107--1116}, year = {2016}, url = {https://doi.org/10.1109/TCSVT.2015.2441491}, doi = {10.1109/TCSVT.2015.2441491}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LeeP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KooPJ16, author = {Geun Bum Koo and Jin Bae Park and Young Hoon Joo}, title = {Decentralized Sampled-Data Fuzzy Observer Design for Nonlinear Interconnected Systems}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {24}, number = {3}, pages = {661--674}, year = {2016}, url = {https://doi.org/10.1109/TFUZZ.2015.2470564}, doi = {10.1109/TFUZZ.2015.2470564}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/KooPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ParkMJKKGY16, author = {Jeongpyo Park and Young{-}Jin Moon and Min{-}Gyu Jeong and Jin{-}Gyu Kang and Sang{-}Hyun Kim and Jung{-}Chul Gong and Changsik Yoo}, title = {Quasi-Resonant {(QR)} Controller With Adaptive Switching Frequency Reduction Scheme for Flyback Converter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {6}, pages = {3571--3581}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2523931}, doi = {10.1109/TIE.2016.2523931}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ParkMJKKGY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiJPJJ16, author = {Cheol{-}Rim Choi and Hwa{-}Young Jeong and Jong Hyuk Park and Haeng Jin Jang and Young{-}Sik Jeong}, title = {Relative weight comparison between virtual key factors of cloud computing with analytic network process}, journal = {J. Supercomput.}, volume = {72}, number = {5}, pages = {1694--1714}, year = {2016}, url = {https://doi.org/10.1007/s11227-014-1311-x}, doi = {10.1007/S11227-014-1311-X}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiJPJJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/toh/LeeKKCJPKOBYKC16, author = {Hojin Lee and Ji{-}Sun Kim and Jae{-}Young Kim and Seungmoon Choi and Jae{-}Hoon Jun and Jong{-}Rak Park and A.{-}Hee Kim and Han{-}Byeol Oh and Jun{-}Hyeok Baek and Seung{-}Jin Yang and Hyung{-}Sik Kim and Soon{-}Cheol Chung}, title = {Mid-Air Tactile Stimulation Using Indirect Laser Radiation}, journal = {{IEEE} Trans. Haptics}, volume = {9}, number = {4}, pages = {574--585}, year = {2016}, url = {https://doi.org/10.1109/TOH.2016.2569081}, doi = {10.1109/TOH.2016.2569081}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/toh/LeeKKCJPKOBYKC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimPSSYC16, author = {Jinyoung Kim and Sang{-}Hoon Park and Hyeokjun Seo and Ki{-}Whan Song and Sungroh Yoon and Eui{-}Young Chung}, title = {{NAND} Flash Memory With Multiple Page Sizes for High-Performance Storage Devices}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {2}, pages = {764--768}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2409055}, doi = {10.1109/TVLSI.2015.2409055}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimPSSYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ParkP16, author = {Jinseong Park and Youngjin Park}, title = {Optimal Input Design for Fault Identification of Overactuated Electric Ground Vehicles}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {65}, number = {4}, pages = {1912--1923}, year = {2016}, url = {https://doi.org/10.1109/TVT.2015.2425541}, doi = {10.1109/TVT.2015.2425541}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ParkP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ParkJYY16, author = {Hanjin Park and Youngmi Jin and Jooho Yoon and Yung Yi}, title = {On the Economic Effects of User-Oriented Delayed Wi-Fi Offloading}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {15}, number = {4}, pages = {2684--2697}, year = {2016}, url = {https://doi.org/10.1109/TWC.2015.2508446}, doi = {10.1109/TWC.2015.2508446}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ParkJYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMicec/LeePJS16, author = {Hyun Jung Lee and Se Young Park and Hae Ran Jin and Mye M. Sohn}, editor = {Toru Ishida and Norman M. Sadeh and Jae Kyu Lee and Federico Casalegno and Wooju Kim and Sohyeong Kim and Sung{-}Byung Yang}, title = {A smart orchestrator of ecosystem in medical tourism}, booktitle = {Proceedings of the 18th Annual International Conference on Electronic Commerce - e-Commerce in Smart connected World, {ICEC} '16, Suwon, Republic of Korea, August 17-19, 2016}, pages = {24:1--24:8}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2971603.2971627}, doi = {10.1145/2971603.2971627}, timestamp = {Tue, 06 Nov 2018 11:06:53 +0100}, biburl = {https://dblp.org/rec/conf/ACMicec/LeePJS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKYYJAKKSSPKN16, author = {Hyunui Lee and Sukyong Kang and Hye{-}Seung Yu and Won{-}Joo Yun and Jae{-}Hun Jung and Sungoh Ahn and Wang{-}Soo Kim and Beomyong Kil and Yoo{-}Chang Sung and Sang{-}Hoon Shin and Yong{-}Sik Park and Yong{-}Hwan Kim and Kyung{-}Woo Nam and Indal Song and Kyomin Sohn and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {Design of non-contact 2Gb/s {I/O} test methods for high bandwidth memory {(HBM)}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {169--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844162}, doi = {10.1109/ASSCC.2016.7844162}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeKYYJAKKSSPKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeePPPSH16, author = {Dae{-}Hee Lee and Jin{-}sung Park and Kyeong{-}sik Park and Seong{-}hun Park and Young{-}seok Son and Young{-}Guk Ha}, title = {Design and implementation of service-oriented meta-knowledge base for collaboration of distributes smart devices}, booktitle = {2016 International Conference on Big Data and Smart Computing, BigComp 2016, Hong Kong, China, January 18-20, 2016}, pages = {517--520}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/BIGCOMP.2016.7425983}, doi = {10.1109/BIGCOMP.2016.7425983}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/LeePPPSH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/LeRLJCKNKPP16, author = {Viet Ha Le and Hernando Leon Rodriguez and Cheong Lee and Zhen Jin and Hyunchul Choi and Gwangjun Ko and Van Du Nguyen and Seong{-}Young Ko and Jong{-}Oh Park and Sukho Park}, title = {Novel active locomotive capsule endoscope with micro-hydraulic pump for drug delivery function}, booktitle = {6th {IEEE} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2016, Singapore, June 26-29, 2016}, pages = {311--316}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BIOROB.2016.7523644}, doi = {10.1109/BIOROB.2016.7523644}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/biorob/LeRLJCKNKPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/GoreNBHP16, author = {Ashutosh Deepak Gore and Jinesh P. Nair and Kiran Bynam and Young{-}Jun Hong and Changsoon Park}, title = {{AGC} and {DCOC} algorithms for sliding {IF} non-coherent {ULP} wireless receiver}, booktitle = {13th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2016, Las Vegas, NV, USA, January 9-12, 2016}, pages = {780--784}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CCNC.2016.7444879}, doi = {10.1109/CCNC.2016.7444879}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/GoreNBHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/JosBSGHPNC16, author = {Sujit Jos and Kiran Bynam and Chandrashekhar Thejaswi P. S. and Ashutosh Deepak Gore and Young{-}Jun Hong and Changsoon Park and Jinesh P. Nair and Manoj Choudhary}, title = {A low complexity algorithm for sampling clock-offset compensation in low power {WPAN} receivers}, booktitle = {13th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2016, Las Vegas, NV, USA, January 9-12, 2016}, pages = {833--836}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CCNC.2016.7444895}, doi = {10.1109/CCNC.2016.7444895}, timestamp = {Tue, 20 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ccnc/JosBSGHPNC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ParkPL16, author = {Joon Ho Park and Jin Ho Park and Nam Young Lee}, editor = {James J. Park and Yi Pan and Gangman Yi and Vincenzo Loia}, title = {Study of Big Data Analysis Procedures}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2016, Bangkok, Thailand, 19-21 December}, series = {Lecture Notes in Electrical Engineering}, volume = {421}, pages = {1025--1030}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-3023-9\_159}, doi = {10.1007/978-981-10-3023-9\_159}, timestamp = {Tue, 08 Oct 2019 14:37:27 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ParkPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ShinCKLP16, author = {Seul{-}Ah Shin and Ji{-}Soo Choi and Young{-}Jong Kim and Nam{-}Yong Lee and Jin{-}Ho Park}, editor = {James J. Park and Yi Pan and Gangman Yi and Vincenzo Loia}, title = {Empirical Study on IoT-Learning for the Rehabilitation Treatment of Chronic Low Back Pain Patients}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2016, Bangkok, Thailand, 19-21 December}, series = {Lecture Notes in Electrical Engineering}, volume = {421}, pages = {517--524}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-3023-9\_80}, doi = {10.1007/978-981-10-3023-9\_80}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ShinCKLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LimLHP16, author = {Yang Kyu Lim and Eun Ju Lee and Joo Young Ha and Jin Wan Park}, editor = {Constantine Stephanidis}, title = {I am Ssam: Learning Benefits of the Korean Wrap Food}, booktitle = {{HCI} International 2016 - Posters' Extended Abstracts - 18th International Conference, {HCI} International 2016, Toronto, Canada, July 17-22, 2016, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {618}, pages = {513--518}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-40542-1\_83}, doi = {10.1007/978-3-319-40542-1\_83}, timestamp = {Wed, 24 May 2017 08:28:59 +0200}, biburl = {https://dblp.org/rec/conf/hci/LimLHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SeoCYBPNLLCKCCJ16, author = {Sungyong Seo and Youngjin Cho and Youngkwang Yoo and Otae Bae and Jaegeun Park and Heehyun Nam and Sunmi Lee and Yongmyung Lee and Seungdo Chae and Moonsang Kwon and Jin{-}Hyeok Choi and Sangyeun Cho and Jaeheon Jeong and Duckhyun Chang}, title = {Design and implementation of a mobile storage leveraging the {DRAM} interface}, booktitle = {2016 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2016, Barcelona, Spain, March 12-16, 2016}, pages = {531--542}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HPCA.2016.7446092}, doi = {10.1109/HPCA.2016.7446092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/SeoCYBPNLLCKCCJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icinco/LeeCSP16, author = {Young Sam Lee and Jinsuk Choi and Sugkil Seo and Yeong Sang Park}, editor = {Oleg Gusikhin and Dimitri Peaucelle and Kurosh Madani}, title = {Development of a Simulink Dynamic Matrix Control {(DMC)} Block for Use with an {RCP} System and Its Application to Motor Control}, booktitle = {Proceedings of the 13th International Conference on Informatics in Control, Automation and Robotics {(ICINCO} 2016) - Volume 1, Lisbon, Portugal, July 29-31, 2016}, pages = {413--420}, publisher = {SciTePress}, year = {2016}, url = {https://doi.org/10.5220/0005958004130420}, doi = {10.5220/0005958004130420}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icinco/LeeCSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ParkCPLKKLWRLSW16, author = {Paul K. J. Park and Baek Hwan Cho and Jin Man Park and Kyoobin Lee and Ha Young Kim and Hyo Ah Kang and Hyun Goo Lee and Jooyeon Woo and Yohan Roh and Won Jo Lee and Chang{-}Woo Shin and Qiang Wang and Hyunsurk Ryu}, title = {Performance improvement of deep learning based gesture recognition using spatiotemporal demosaicing technique}, booktitle = {2016 {IEEE} International Conference on Image Processing, {ICIP} 2016, Phoenix, AZ, USA, September 25-28, 2016}, pages = {1624--1628}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIP.2016.7532633}, doi = {10.1109/ICIP.2016.7532633}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ParkCPLKKLWRLSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/MoonKKPHL16, author = {Jinyoung Moon and Yongjin Kwon and Kyuchang Kang and Jongyoul Park and Yong{-}Jin Han and Young{-}Wha Lee}, title = {A Knowledge-Driven Approach to Interactive Event Recognition for Semantic Video Understanding}, booktitle = {6th International Conference on {IT} Convergence and Security, {ICITCS} 2016, Prague, Czech Republic, September 26, 2016}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICITCS.2016.7740305}, doi = {10.1109/ICITCS.2016.7740305}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/MoonKKPHL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoPL16, author = {Hui Sup Cho and Young{-}Jin Park and Hong{-}Kun Lyu}, title = {Robust heart rate detection method using {UWB} impulse radar}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {1138--1142}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763389}, doi = {10.1109/ICTC.2016.7763389}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ParkJW016, author = {Joon Young Park and Hyo Jin Jo and Samuel Woo and Dong Hoon Lee}, title = {BadVoice: Soundless voice-control replay attack on modern smartphones}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {882--887}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537163}, doi = {10.1109/ICUFN.2016.7537163}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ParkJW016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/JeonPJNBHK16, author = {Jinwoo Jeon and Jun{-}Cheol Park and Youngjoo Jo and Changmo Nam and Kyung{-}Hoon Bae and Youngkyoo Hwang and Dae{-}Shik Kim}, title = {A Real-time Facial Expression Recognizer using Deep Neural Network}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {94:1--94:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857642}, doi = {10.1145/2857546.2857642}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/JeonPJNBHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/JoPJNHPK16, author = {Youngjoo Jo and Jun{-}Cheol Park and Jinwoo Jeon and Changmo Nam and Junghee Han and Yongin Park and Dae{-}Shik Kim}, title = {A Real-time Object Tracker equipped with Deep Object Recognizer}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {99:1--99:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857647}, doi = {10.1145/2857546.2857647}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/JoPJNHPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/ParkLW16, author = {Kyung{-}Ae Park and Eun{-}Young Lee and Hye{-}Jin Woo}, title = {Comparison of hybrid sea surface temperature {(SST)} with empirical regression {SST} in the seas around Korea}, booktitle = {2016 {IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2016, Beijing, China, July 10-15, 2016}, pages = {4016--4018}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IGARSS.2016.7730044}, doi = {10.1109/IGARSS.2016.7730044}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/ParkLW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/NairGBHPYC16, author = {Jinesh P. Nair and Ashutosh Deepak Gore and Kiran Bynam and Young{-}Jun Hong and Changsoon Park and Seok{-}Ju Yun and Manoj Choudhary}, title = {{DC} offset estimation for on-off keying based ultra-low power non-coherent receivers}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2016, Montr{\'{e}}al, QC, Canada, May 22-25, 2016}, pages = {197--200}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISCAS.2016.7527204}, doi = {10.1109/ISCAS.2016.7527204}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/NairGBHPYC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/KyungKHCJP16, author = {Yeunwoong Kyung and Youngjun Kim and Kiwon Hong and Hyungoo Choi and Mingyu Joo and Jinwoo Park}, title = {Mobility-aware load distribution scheme for scalable SDN-based mobile networks}, booktitle = {{IEEE} Symposium on Computers and Communication, {ISCC} 2016, Messina, Italy, June 27-30, 2016}, pages = {119--124}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISCC.2016.7543725}, doi = {10.1109/ISCC.2016.7543725}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/KyungKHCJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JooBSKHA0KKKCSK16, author = {Hye{-}Yoon Joo and Seung{-}Jun Bae and Young{-}Soo Sohn and Young{-}Sik Kim and Kyung{-}Soo Ha and Min{-}Su Ahn and Young{-}Ju Kim and Yong{-}Jun Kim and Ju{-}Hwan Kim and Won{-}Jun Choi and Chang{-}Ho Shin and Soo Hwan Kim and Byeong{-}Cheol Kim and Seung{-}Bum Ko and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.1 {A} 20nm 9Gb/s/pin 8Gb {GDDR5} {DRAM} with an {NBTI} monitor, jitter reduction techniques and improved power distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {314--315}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418033}, doi = {10.1109/ISSCC.2016.7418033}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JooBSKHA0KKKCSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangJKKCKRKLKLY16, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Jeong{-}Don Ihm and Doo{-}Gon Kim and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.1 256Gb 3b/cell {V-NAND} flash memory with 48 stacked {WL} layers}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {130--131}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417941}, doi = {10.1109/ISSCC.2016.7417941}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangJKKCKRKLKLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnYOOSPSJSRYJ16, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Kyung{-}Woo Nam and Seouk{-}Kyu Choi and Jaewook Lee and Uksong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Chi{-}Wook Kim and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.2 {A} 1.2V 20nm 307GB/s {HBM} {DRAM} with at-speed wafer-level {I/O} test scheme and adaptive refresh considering temperature distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {316--317}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418034}, doi = {10.1109/ISSCC.2016.7418034}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnYOOSPSJSRYJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miipop/ParkBW16, author = {Sang Kyeong Park and Hyeon Jin Bang and Young Jae Won}, editor = {Craig K. Abbey and Matthew A. Kupinski}, title = {The study on the color reproduction by illumination source for disposable endoscope}, booktitle = {Medical Imaging 2016: Image Perception, Observer Performance, and Technology Assessment, San Diego, California, United States, 27 February - 3 March 2016}, series = {{SPIE} Proceedings}, volume = {9787}, pages = {97871F}, publisher = {{SPIE}}, year = {2016}, url = {https://doi.org/10.1117/12.2216683}, doi = {10.1117/12.2216683}, timestamp = {Wed, 09 May 2018 18:47:54 +0200}, biburl = {https://dblp.org/rec/conf/miipop/ParkBW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ngmast/JangKKPE16, author = {Kyoung Don Jang and Donghyun Kang and Do Hyoung Kim and Hyun Jin Park and Young Ik Eom}, editor = {Khalid Al{-}Begain}, title = {A Lightweight Pseudo {CPU} Hotplug Mechanism for Mobile Devices}, booktitle = {10th International Conference on Next Generation Mobile Applications, Security and Technologies, {NGMAST} 2016, Cardiff, United Kingdom, August 24-26, 2016}, pages = {53--58}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/NGMAST.2016.14}, doi = {10.1109/NGMAST.2016.14}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ngmast/JangKKPE16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/percom/JangKP16, author = {Jae Seong Jang and Young Lak Kim and Jin Hyo Park}, title = {A study on the optimization of the uplink period using machine learning in the future IoT network}, booktitle = {2016 {IEEE} International Conference on Pervasive Computing and Communication Workshops, PerCom Workshops 2016, Sydney, Australia, March 14-18, 2016}, pages = {1--3}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/PERCOMW.2016.7457131}, doi = {10.1109/PERCOMW.2016.7457131}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/percom/JangKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robio/PiaoJPKP16, author = {Jinlong Piao and Jinwoo Jung and Jong{-}Oh Park and Seong{-}Young Ko and Sukho Park}, title = {Analysis of configuration of planar cable-driven parallel robot on natural frequency}, booktitle = {2016 {IEEE} International Conference on Robotics and Biomimetics, {ROBIO} 2016, Qingdao, China, December 3-7, 2016}, pages = {1588--1593}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ROBIO.2016.7866554}, doi = {10.1109/ROBIO.2016.7866554}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/robio/PiaoJPKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JinCKPP16, author = {Zhen Jin and Sunghoon Cho and Seong{-}Young Ko and Jong{-}Oh Park and Sukho Park}, title = {Controlled drug releasing of doxorubicin loaded magnetic nanoliposome using {NIR} irradiation}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {471--475}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734085}, doi = {10.1109/URAI.2016.7734085}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/JinCKPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JinJPPK16, author = {XueJun Jin and Jinwoo Jung and Sukho Park and Jong{-}Oh Park and Seong{-}Young Ko}, title = {Geometric parameter calibration using a low cost laser distance sensor for a planar cable robot: {MATLAB} simulation}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {534--537}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7625772}, doi = {10.1109/URAI.2016.7625772}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/JinJPPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LiJCKPP16, author = {Hao Li and Zhen Jin and Sunghoon Cho and Seong{-}Young Ko and Jong{-}Oh Park and Sukho Park}, title = {Polyethylenimine-coated magnetic nanoparticles with improved biocompatibility for hyperthermia}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {466--470}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734084}, doi = {10.1109/URAI.2016.7734084}, timestamp = {Thu, 05 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/LiJCKPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vcip/ZhangCLP16, author = {Jun Zhang and Jie Chen and Jin Young Lee and Min Woo Park}, title = {Depth based two-step disparity vector derivation for {AVS2-3D}}, booktitle = {2016 Visual Communications and Image Processing, {VCIP} 2016, Chengdu, China, November 27-30, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VCIP.2016.7805582}, doi = {10.1109/VCIP.2016.7805582}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vcip/ZhangCLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/YiBCLJCSCJKSP16, author = {Il{-}Min Yi and Seung{-}Jun Bae and Min{-}Kyun Chae and Soo{-}Min Lee and Young Jae Jang and Young{-}Chul Cho and Young{-}Soo Sohn and Jung{-}Hwan Choi and Seong{-}Jin Jang and Byungsub Kim and Jae{-}Yoon Sim and Hong{-}June Park}, title = {A low-EMI four-bit four-wire single-ended {DRAM} interface by using a three-level balanced coding scheme}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573524}, doi = {10.1109/VLSIC.2016.7573524}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/YiBCLJCSCJKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/edb/2016, editor = {Carson K. Leung and Jinho Kim and Young{-}Kuk Kim and James Geller and Wonik Choi and Young{-}Ho Park}, title = {Proceedings of the Sixth International Conference on Emerging Databases: Technologies, Applications, and Theory, {EDB} 2016, Jeju Island, Republic of Korea, October 17-19, 2016}, publisher = {{ACM}}, year = {2016}, url = {http://dl.acm.org/citation.cfm?id=3007818}, isbn = {978-1-4503-4754-9}, timestamp = {Tue, 21 Mar 2017 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/edb/2016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ChoiJWCPL16, author = {Wonsuk Choi and Hyo Jin Jo and Samuel Woo and Ji Young Chun and Jooyoung Park and Dong Hoon Lee}, title = {Identifying ECUs Using Inimitable Characteristics of Signals in Controller Area Networks}, journal = {CoRR}, volume = {abs/1607.00497}, year = {2016}, url = {http://arxiv.org/abs/1607.00497}, eprinttype = {arXiv}, eprint = {1607.00497}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/ChoiJWCPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/UhmLPKJL15, author = {Miyoung Uhm and Ghang Lee and Younghyn Park and Sanghun Kim and Jiwon Jung and Jin{-}Kook Lee}, title = {Requirements for computational rule checking of requests for proposals (RFPs) for building designs in South Korea}, journal = {Adv. Eng. Informatics}, volume = {29}, number = {3}, pages = {602--615}, year = {2015}, url = {https://doi.org/10.1016/j.aei.2015.05.006}, doi = {10.1016/J.AEI.2015.05.006}, timestamp = {Thu, 23 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aei/UhmLPKJL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KimLKCYKGNHJKPK15, author = {Dae{-}Kyum Kim and Jaewook Lee and Sae Rom Kim and Dong{-}Sic Choi and Yae Jin Yoon and Ji Hyun Kim and Gyeongyun Go and Dinh Nhung and Kahye Hong and Su Chul Jang and Si{-}Hyun Kim and Kyong{-}Su Park and Oh Youn Kim and Hyun Taek Park and Ji Hye Seo and Elena Aikawa and Monika Baj{-}Krzyworzeka and Bas W. M. van Balkom and Mattias Belting and Lionel Blanc and Vincent Bond and Antonella Bongiovanni and Francesc E. Borr{\`{a}}s and Luc Bu{\'{e}}e and Edit I. Buz{\'{a}}s and Lesley Cheng and Aled Clayton and Emanuele Cocucci and Charles S. Dela Cruz and Dominic M. Desiderio and Dolores Di Vizio and Karin Ekstr{\"{o}}m and Juan M. Falcon{-}Perez and Chris Gardiner and Bernd Giebel and David W. Greening and Julia Christina Gross and Dwijendra Gupta and An Hendrix and Andrew F. Hill and Michelle M. Hill and Esther Nolte{-}'t Hoen and Do Won Hwang and Jameel Inal and Medicharla V. Jagannadham and Muthuvel Jayachandran and Young{-}Koo Jee and Malene J{\o}rgensen and Kwang Pyo Kim and Yoon{-}Keun Kim and Thomas Kislinger and Cecilia L{\"{a}}sser and Dong Soo Lee and Hakmo Lee and Johannes van Leeuwen and Thomas Lener and Ming{-}Lin Liu and Jan L{\"{o}}tvall and Antonio Marcilla and Suresh Mathivanan and Andreas M{\"{o}}ller and Jess Morhayim and Fran{\c{c}}ois Mullier and Irina Nazarenko and Rienk Nieuwland and Diana N. Nunes and Ken C. Pang and Jaesung Park and Tushar Patel and Gabriella Pocsfalvi and Hernando del Portillo and Ulrich Putz and Marcel I. Ramirez and Marcio L. Rodrigues and Tae{-}Young Roh and Felix Royo and Susmita Sahoo and Raymond Schiffelers and Shivani Sharma and Pia Siljander and Richard J. Simpson and Carolina Soekmadji and Philip Stahl and Allan Stensballe and Ewa L. Stepien and Hidetoshi Tahara and Arne Trummer and Hadi Valadi and Laura J. Vella and Sun Nyunt Wai and Kenneth W. Witwer and Mar{\'{\i}}a Y{\'{a}}{\~{n}}ez{-}M{\'{o}} and Hyewon Youn and Reinhard Zeidler and Yong Song Gho}, title = {EVpedia: a community web portal for extracellular vesicles research}, journal = {Bioinform.}, volume = {31}, number = {6}, pages = {933--939}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btu741}, doi = {10.1093/BIOINFORMATICS/BTU741}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KimLKCYKGNHJKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/LimGPKLJSKKC15, author = {Jae{-}A. Lim and Ah Reum Gwak and Su Mi Park and Jun{-}Gun Kwon and Jun{-}Young Lee and Hee Yeon Jung and Bo Kyung Sohn and Jae{-}Won Kim and Dai Jin Kim and Jung{-}Seok Choi}, title = {Are Adolescents with Internet Addiction Prone to Aggressive Behavior? The Mediating Effect of Clinical Comorbidities on the Predictability of Aggression in Adolescents with Internet Addiction}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {18}, number = {5}, pages = {260--267}, year = {2015}, url = {https://doi.org/10.1089/cyber.2014.0568}, doi = {10.1089/CYBER.2014.0568}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/LimGPKLJSKKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimYAP15, author = {Hyunjin Kim and Youngmi Yoon and Jaegyoon Ahn and Sanghyun Park}, title = {A literature-driven method to calculate similarities among diseases}, journal = {Comput. Methods Programs Biomed.}, volume = {122}, number = {2}, pages = {108--122}, year = {2015}, url = {https://doi.org/10.1016/j.cmpb.2015.07.001}, doi = {10.1016/J.CMPB.2015.07.001}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimYAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dam/KimKP15, author = {Seog{-}Jin Kim and Young Soo Kwon and Boram Park}, title = {Chromatic-choosability of the power of graphs}, journal = {Discret. Appl. Math.}, volume = {180}, pages = {120--125}, year = {2015}, url = {https://doi.org/10.1016/j.dam.2014.08.004}, doi = {10.1016/J.DAM.2014.08.004}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/dam/KimKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/KwonBHKP15, author = {Yong{-}Su Kwon and Mi{-}Jung Bae and Soon{-}Jin Hwang and Sang{-}Hun Kim and Young{-}Seuk Park}, title = {Predicting potential impacts of climate change on freshwater fish in Korea}, journal = {Ecol. Informatics}, volume = {29}, pages = {156--165}, year = {2015}, url = {https://doi.org/10.1016/j.ecoinf.2014.10.002}, doi = {10.1016/J.ECOINF.2014.10.002}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/KwonBHKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/KimKPJ15, author = {Ho{-}Jun Kim and Geun Bum Koo and Jin Bae Park and Young Hoon Joo}, title = {Decentralized sampled-data \({}_{\mbox{H}}\)\({}_{\mbox{{\(\infty\)}}}\) fuzzy filter for nonlinear large-scale systems}, journal = {Fuzzy Sets Syst.}, volume = {273}, pages = {68--86}, year = {2015}, url = {https://doi.org/10.1016/j.fss.2014.11.024}, doi = {10.1016/J.FSS.2014.11.024}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fss/KimKPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/SongPJ15, author = {Min Kook Song and Jin Bae Park and Young Hoon Joo}, title = {Robust stabilization for uncertain Markovian jump fuzzy systems based on free weighting matrix method}, journal = {Fuzzy Sets Syst.}, volume = {277}, pages = {81--96}, year = {2015}, url = {https://doi.org/10.1016/j.fss.2015.02.004}, doi = {10.1016/J.FSS.2015.02.004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/fss/SongPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkMK15, author = {Jun{-}Hyeok Park and Zenebe Maregn and Young{-}Jin Kim}, title = {Color transformation-based dynamic voltage scaling for mobile {AMOLED} displays}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {8}, pages = {20150239}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150239}, doi = {10.1587/ELEX.12.20150239}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkMK15a, author = {Jun{-}Hyeok Park and Zenebe Maregn and Young{-}Jin Kim}, title = {Erratum: Color transformation-based dynamic voltage scaling for mobile {AMOLED} displays {[IEICE} Electronics Express Vol 12 {(2015)} No 8 pp 20150239]}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {14}, pages = {20158004}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20158004}, doi = {10.1587/ELEX.12.20158004}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkMK15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimKSPJ15, author = {Minkyu Kim and Sunho Ki and Youngduke Seo and Jinhong Park and ChuShik Jhon}, title = {Dynamic Rendering Quality Scaling Based on Resolution Changes}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {98-D}, number = {12}, pages = {2353--2357}, year = {2015}, url = {https://doi.org/10.1587/transinf.2015EDL8130}, doi = {10.1587/TRANSINF.2015EDL8130}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimKSPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/OhPJH15, author = {BongJin Oh and Jongyoul Park and Sunggeun Jin and Young{-}Guk Ha}, title = {The Enhanced Encapsulation Architecture to Improve {TV} Metadata Encoding Performance by Schema Optimizing Mechanism}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {98-D}, number = {8}, pages = {1449--1455}, year = {2015}, url = {https://doi.org/10.1587/transinf.2014EDP7113}, doi = {10.1587/TRANSINF.2014EDP7113}, timestamp = {Sun, 22 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/OhPJH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkPKLP15, author = {Jin{-}Ki Park and Jaehwa Park and Young{-}Bin Kwon and Chan{-}Gun Lee and Ho{-}Hyun Park}, title = {Exemplar-Based Inpainting Driven by Feature Vectors and Region Segmentation}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {98-D}, number = {4}, pages = {972--975}, year = {2015}, url = {https://doi.org/10.1587/transinf.2014EDL8201}, doi = {10.1587/TRANSINF.2014EDL8201}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkPKLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-cds/YounLPKC15, author = {Jin{-}Sung Youn and Myung{-}Jae Lee and Kang{-}Yeob Park and Wang{-}Soo Kim and Woo{-}Young Choi}, title = {Low-power 850 nm optoelectronic integrated circuit receiver fabricated in 65 nm complementary metal-oxide semiconductor technology}, journal = {{IET} Circuits Devices Syst.}, volume = {9}, number = {3}, pages = {221--226}, year = {2015}, url = {https://doi.org/10.1049/iet-cds.2014.0250}, doi = {10.1049/IET-CDS.2014.0250}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-cds/YounLPKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcon/KooPJ15, author = {Geun Bum Koo and Jin Bae Park and Young Hoon Joo}, title = {Decentralised control for large-scale sampled-data systems: digital redesign approach}, journal = {Int. J. Control}, volume = {88}, number = {11}, pages = {2181--2193}, year = {2015}, url = {https://doi.org/10.1080/00207179.2015.1015170}, doi = {10.1080/00207179.2015.1015170}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcon/KooPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsn/LeeKJKICPLK15, author = {Ki Young Lee and Aleum Kim and Ye Eun Jeon and Jeong{-}Joon Kim and Yong Soon Im and Gyoo{-}Seok Choi and Sang{-}Bong Park and Yun Sik Lim and Jeong Jin Kang}, title = {Spatio-temporal {XACML:} the expansion of {XACML} for access control}, journal = {Int. J. Secur. Networks}, volume = {10}, number = {1}, pages = {56--63}, year = {2015}, url = {https://doi.org/10.1504/IJSN.2015.068412}, doi = {10.1504/IJSN.2015.068412}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsn/LeeKJKICPLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/ParkLCPSLS15, author = {Sang{-}In Park and Jin{-}Hee Lee and Yong{-}An Chung and Moon{-}Seo Park and Hyun Sunwoo and Kwan{-}Sung Lee and Yun{-}Young Sunwoo}, title = {The neuroprotective effect of a traditional herbal (kyung-ok-ko) on transient middle cerebral artery occlusion-Induced ischemic rat brain}, journal = {Int. J. Imaging Syst. Technol.}, volume = {25}, number = {2}, pages = {131--138}, year = {2015}, url = {https://doi.org/10.1002/ima.22129}, doi = {10.1002/IMA.22129}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/ParkLCPSLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/KimPC15, author = {Minjun Kim and Young Jin Park and Wan Kyun Chung}, title = {Design of a momentum-based disturbance observer for rigid and flexible joint robots}, journal = {Intell. Serv. Robotics}, volume = {8}, number = {1}, pages = {57--65}, year = {2015}, url = {https://doi.org/10.1007/s11370-014-0163-9}, doi = {10.1007/S11370-014-0163-9}, timestamp = {Mon, 19 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/KimPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/KimKYP15, author = {Jeongwoo Kim and Hyunjin Kim and Youngmi Yoon and Sanghyun Park}, title = {LGscore: {A} method to identify disease-related genes using biological literature and Google data}, journal = {J. Biomed. Informatics}, volume = {54}, pages = {270--282}, year = {2015}, url = {https://doi.org/10.1016/j.jbi.2015.01.003}, doi = {10.1016/J.JBI.2015.01.003}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/KimKYP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhCPLODKLKLLHCCBJPPJC15, author = {Tae{-}Young Oh and Hoeju Chung and Jun{-}Young Park and Ki{-}Won Lee and Seung{-}Hoon Oh and Su{-}Yeon Doo and Hyoung{-}Joo Kim and ChangYong Lee and Hye{-}Ran Kim and Jong{-}Ho Lee and Jin{-}Il Lee and Kyung{-}Soo Ha and Young{-}Ryeol Choi and Young{-}Chul Cho and Yong{-}Cheol Bae and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Seong{-}Jin Jang and Joo{-}Sun Choi}, title = {A 3.2 Gbps/pin 8 Gbit 1.0 {V} {LPDDR4} {SDRAM} With Integrated {ECC} Engine for Sub-1 {V} {DRAM} Core Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {178--190}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2353799}, doi = {10.1109/JSSC.2014.2353799}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhCPLODKLKLLHCCBJPPJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongLKSPKHJLCSY15, author = {Keunsoo Song and Sangkwon Lee and Dongkyun Kim and Youngbo Shim and Sangil Park and Bokrim Ko and Duckhwa Hong and Yongsuk Joo and Wooyoung Lee and Yongdeok Cho and Wooyeol Shin and Jaewoong Yun and Hyengouk Lee and Jeonghun Lee and Eunryeong Lee and Namkyu Jang and Jaemo Yang and Haekang Jung and Joohwan Cho and Hyeongon Kim and Jinkook Kim}, title = {A 1.1 {V} 2y-nm 4.35 Gb/s/pin 8 Gb {LPDDR4} Mobile Device With Bandwidth Improvement Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {8}, pages = {1945--1959}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2429588}, doi = {10.1109/JSSC.2015.2429588}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongLKSPKHJLCSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRJYPPKBBOJKKKLSYCWP15, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Yongho Kim and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi and Hyo{-}Sig Won and Jaehong Park}, title = {A 14 nm FinFET 128 Mb {SRAM} With V\({}_{\mbox{MIN}}\) Enhancement Techniques for Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {158--169}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2362842}, doi = {10.1109/JSSC.2014.2362842}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRJYPPKBBOJKKKLSYCWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HamCLBSHSSLL15, author = {Jee Hyun Ham and Jungho Cha and Jae Jung Lee and Gwang{-}Min Baek and Mun Kyung Sunwoo and Jin Yong Hong and Na{-}Young Shin and Young Ho Sohn and Jong{-}Min Lee and Phil Hyu Lee}, title = {Nigrostriatal dopamine-independent resting-state functional networks in Parkinson's disease}, journal = {NeuroImage}, volume = {119}, pages = {296--304}, year = {2015}, url = {https://doi.org/10.1016/j.neuroimage.2015.06.077}, doi = {10.1016/J.NEUROIMAGE.2015.06.077}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HamCLBSHSSLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLPLK15, author = {Jin Young Kim and Changho Lee and Kyungjin Park and Geunbae Lim and Chulhong Kim}, title = {A PDMS-Based 2-Axis Waterproof Scanner for Photoacoustic Microscopy}, journal = {Sensors}, volume = {15}, number = {5}, pages = {9815--9826}, year = {2015}, url = {https://doi.org/10.3390/s150509815}, doi = {10.3390/S150509815}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLPLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LimPLLKK15, author = {Butaek Lim and Ji{-}In Park and Kyung Jin Lee and Jin{-}won Lee and Tae{-}Wuk Kim and Young{-}Pil Kim}, title = {Zn(II)-Coordinated Quantum Dot-FRET Nanosensors for the Detection of Protein Kinase Activity}, journal = {Sensors}, volume = {15}, number = {8}, pages = {17977--17989}, year = {2015}, url = {https://doi.org/10.3390/s150817977}, doi = {10.3390/S150817977}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LimPLLKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/AhnHP15, author = {Jinhong Ahn and Sang{-}Hoon Hong and Youngjune Park}, title = {A Double-Side {CMOS-CNT} Biosensor Array With Padless Structure for Simple Bare-Die Measurements in a Medical Environment}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {9}, number = {6}, pages = {815--824}, year = {2015}, url = {https://doi.org/10.1109/TBCAS.2015.2500911}, doi = {10.1109/TBCAS.2015.2500911}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/AhnHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KwonSLGHHLPY15, author = {Jin{-}hee Kwon and Mincheol Seo and Hwiseob Lee and Je{-}hyun Gu and Junghyun Ham and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Cheon{-}Seok Park and Youngoo Yang}, title = {Broadband Doherty Power Amplifier Based on Asymmetric Load Matching Networks}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {62-II}, number = {6}, pages = {533--537}, year = {2015}, url = {https://doi.org/10.1109/TCSII.2015.2407197}, doi = {10.1109/TCSII.2015.2407197}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KwonSLGHHLPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimKP15, author = {Jinwook Kim and Do{-}Hyeon Kim and Young{-}Jin Park}, title = {Analysis of Capacitive Impedance Matching Networks for Simultaneous Wireless Power Transfer to Multiple Devices}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {5}, pages = {2807--2813}, year = {2015}, url = {https://doi.org/10.1109/TIE.2014.2365751}, doi = {10.1109/TIE.2014.2365751}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimP15, author = {Jinwook Kim and Young{-}Jin Park}, title = {Approximate Closed-Form Formula for Calculating Ohmic Resistance in Coils of Parallel Round Wires With Unequal Pitches}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {62}, number = {6}, pages = {3482--3489}, year = {2015}, url = {https://doi.org/10.1109/TIE.2014.2370943}, doi = {10.1109/TIE.2014.2370943}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/LeePC15, author = {Jae Young Lee and Jin Bae Park and Yoon Ho Choi}, title = {Integral Reinforcement Learning for Continuous-Time Input-Affine Nonlinear Systems With Simultaneous Invariant Explorations}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {26}, number = {5}, pages = {916--932}, year = {2015}, url = {https://doi.org/10.1109/TNNLS.2014.2328590}, doi = {10.1109/TNNLS.2014.2328590}, timestamp = {Mon, 09 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/LeePC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:books/sp/15/KwonLRHCKJOLKCPL15, author = {Oh{-}Woog Kwon and Ki{-}Young Lee and Yoon{-}Hyung Roh and Jin{-}Xia Huang and Sung{-}Kwon Choi and Young Kil Kim and Hyung{-}Bae Jeon and Yoo Rhee Oh and Yun{-}Kyung Lee and Byung Ok Kang and Euisok Chung and Jeon Gue Park and Yunkeun Lee}, editor = {Gary Geunbae Lee and Hong Kook Kim and Minwoo Jeong and Ji{-}Hwan Kim}, title = {GenieTutor: {A} Computer-Assisted Second-Language Learning System Based on Spoken Language Understanding}, booktitle = {Natural Language Dialog Systems and Intelligent Assistants, 6th International Workshop on Spoken Dialogue Systems, {IWSDS} 2015, Busan, Korea, January 11-13, 2015}, pages = {257--262}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19291-8\_26}, doi = {10.1007/978-3-319-19291-8\_26}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/15/KwonLRHCKJOLKCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KangKBPL15, author = {Young{-}Jin Kang and Hyunho Kim and Bruce Ndibanje and Younggoo Park and Hoonjae Lee}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido and Jong Hyuk Park}, title = {Correlation Power Analysis Attack on the Ping Pong-128 Key Stream Generator}, booktitle = {29th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2015, Gwangju, South Korea, March 24-27, 2015}, pages = {506--509}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/AINA.2015.228}, doi = {10.1109/AINA.2015.228}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KangKBPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KimKBPL15, author = {Hyunho Kim and Young{-}Jin Kang and Bruce Ndibanje and Suhyun Park and Hoon{-}Jae Lee}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido and Jong Hyuk Park}, title = {Smartphone-Based Secure Access Control in Wireless Network Analysis}, booktitle = {29th {IEEE} International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2015 Workshops, Gwangju, South Korea, March 24-27, 2015}, pages = {344--347}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/WAINA.2015.91}, doi = {10.1109/WAINA.2015.91}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KimKBPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/KimLSP15, author = {Jeu{-}Young Kim and Hark{-}Jin Lee and Jiyeon Son and Jun{-}Hee Park}, title = {Smart home web of objects-based IoT management model and methods for home data mining}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {327--331}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275448}, doi = {10.1109/APNOMS.2015.7275448}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/KimLSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/JeongKYLPKK15, author = {Eun{-}Jin Jeong and Hakseung Kim and Xiao ke Yang and Hack{-}Jin Lee and Dae{-}Hyeon Park and Young{-}Tak Kim and Dong{-}Joo Kim}, title = {Morphological landmark detection in arterial blood pressure and intracranial pressure: Preliminary procedures for intracranial pressure waveform analysis}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073049}, doi = {10.1109/IWW-BCI.2015.7073049}, timestamp = {Wed, 23 Mar 2022 08:10:08 +0100}, biburl = {https://dblp.org/rec/conf/bci3/JeongKYLPKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/KimKPYLJK15, author = {Young{-}Tak Kim and Hakseung Kim and Dae{-}Hyeon Park and Xiao ke Yang and Hack{-}Jin Lee and Eun{-}Jin Jeong and Dong{-}Joo Kim}, title = {Automated phase segmentation in cerebrospinal fluid infusion test}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073047}, doi = {10.1109/IWW-BCI.2015.7073047}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/KimKPYLJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/KimYKLJPK15, author = {Hakseung Kim and Xiao ke Yang and Young{-}Tak Kim and Hack{-}Jin Lee and Eun{-}Jin Jeong and Dae{-}Hyeon Park and Dong{-}Joo Kim}, title = {The age-related difference in computed tomography density distribution: {A} preliminary report}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073041}, doi = {10.1109/IWW-BCI.2015.7073041}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/KimYKLJPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/LeeKLYJPKK15, author = {Hack{-}Jin Lee and Hakseung Kim and Dong{-}Ho Lee and Xiao ke Yang and Eun{-}Jin Jeong and Dae{-}Hyeon Park and Young{-}Tak Kim and Dong{-}Joo Kim}, title = {Noninvasive assessment of intracranial pressure using functional matrix estimation method}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073052}, doi = {10.1109/IWW-BCI.2015.7073052}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/LeeKLYJPKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/ParkKKYLJK15, author = {Dae{-}Hyeon Park and Hakseung Kim and Young{-}Tak Kim and Xiao ke Yang and Hack{-}Jin Lee and Eun{-}Jin Jeong and Dong{-}Joo Kim}, title = {Automated artefact elimination in computed tomography: {A} preliminary report for traumatic brain injury and stroke}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073038}, doi = {10.1109/IWW-BCI.2015.7073038}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/ParkKKYLJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/YangKYJPKLK15, author = {Xiao ke Yang and Hakseung Kim and Seong Yi and Eun{-}Jin Jeong and Dae{-}Hyeon Park and Young{-}Tak Kim and Hack{-}Jin Lee and Dong{-}Joo Kim}, title = {Semi-automatic designation and segmentation of vertebra and spinal cord in spinal {MR} imaging: {A} preliminary report}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073045}, doi = {10.1109/IWW-BCI.2015.7073045}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/YangKYJPKLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KimLKNCYNHKKJKK15, author = {Yongkang Kim and Seungyeoun Lee and Min{-}Seok Kwon and Ahrum Na and Yonghwan Choi and Sung{-}Gon Yi and Junghyun Namkung and Sangjo Han and Meejoo Kang and Sun Whe Kim and Jin{-}Young Jang and Yikwon Kim and Youngsoo Kim and Taesung Park}, editor = {Jun Huan and Satoru Miyano and Amarda Shehu and Xiaohua Tony Hu and Bin Ma and Sanguthevar Rajasekaran and Vijay K. Gombar and Matthieu{-}P. Schapranow and Illhoi Yoo and Jiayu Zhou and Brian Chen and Vinay Pai and Brian G. Pierce}, title = {Developing cancer prediction model based on stepwise selection by {AUC} measure for proteomics data}, booktitle = {2015 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2015, Washington, DC, USA, November 9-12, 2015}, pages = {1345--1350}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BIBM.2015.7359874}, doi = {10.1109/BIBM.2015.7359874}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KimLKNCYNHKKJKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/BuiHPP15, author = {Thanh{-}Hieu Bui and Yong{-}Jin Han and Seong{-}Bae Park and Se{-}Young Park}, title = {Detection of {POI} boundaries through geographical topics}, booktitle = {2015 International Conference on Big Data and Smart Computing, {BIGCOMP} 2015, Jeju, South Korea, February 9-11, 2015}, pages = {162--169}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/35021BIGCOMP.2015.7072827}, doi = {10.1109/35021BIGCOMP.2015.7072827}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/BuiHPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdas/ParkKCJLCC15, author = {Han{-}Sol Park and Jin{-}Hyuk Kim and Chi{-}Hwan Choi and Bo{-}Ra Jung and Kyung{-}Hee Lee and Su{-}Young Chi and Wan{-}Sup Cho}, editor = {Carson K. Leung and Aziz Nasridinov and Jongsup Choi and Sun Hwa Han and Joo{-}Yeoun Lee and Taeho Park and Yoo{-}Sung Kim and Young{-}Koo Lee}, title = {In-Memory Data Grid System for Real-Time Processing of Machine Sensor Data in a Smart Factory Environment}, booktitle = {Proceedings of the 2015 International Conference on Big Data Applications and Services, BigDAS '15, Jeju Island, Republic of Korea, October 20-23, 2015}, pages = {92--97}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2837060.2837073}, doi = {10.1145/2837060.2837073}, timestamp = {Thu, 20 Jan 2022 09:49:18 +0100}, biburl = {https://dblp.org/rec/conf/bigdas/ParkKCJLCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/LeeKYK15, author = {Seung{-}Jun Lee and Young Jin Kwon and Doo Seop Yun and Do Hyun Kim}, editor = {Leonard Barolli and Fatos Xhafa and Marek R. Ogiela and Lidia Ogiela}, title = {Design of Parking Assistance System Using Wireless Sensor Networks}, booktitle = {10th International Conference on Broadband and Wireless Computing, Communication and Applications, {BWCCA} 2015, Krakow, Poland, November 4-6, 2015}, pages = {33--37}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BWCCA.2015.68}, doi = {10.1109/BWCCA.2015.68}, timestamp = {Thu, 28 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/LeeKYK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkPN15, author = {Youngwoo Park and Joohee Park and Tek{-}Jin Nam}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {The Trial of Bendi in a Coffeehouse: Use of a Shape-Changing Device for a Tactile-Visual Phone Conversation}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference on Human Factors in Computing Systems, {CHI} 2015, Seoul, Republic of Korea, April 18-23, 2015}, pages = {2181--2190}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702123.2702326}, doi = {10.1145/2702123.2702326}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/ParkPN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkPN15a, author = {Youngwoo Park and Joohee Park and Tek{-}Jin Nam}, editor = {Bo Begole and Jinwoo Kim and Kori Inkpen and Woontack Woo}, title = {Bendi: Shape-Changing Mobile Device for a Tactile-Visual Phone Conversation}, booktitle = {Proceedings of the 33rd Annual {ACM} Conference Extended Abstracts on Human Factors in Computing Systems, Seoul, {CHI} 2015 Extended Abstracts, Republic of Korea, April 18 - 23, 2015}, pages = {181}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2702613.2732493}, doi = {10.1145/2702613.2732493}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/ParkPN15a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/MeasOHJP15, author = {Phearom Meas and Kyeong{-}Jin Oh and Myung{-}Duk Hong and GeunSik Jo and Young{-}Tack Park}, editor = {Christian Plessl and Didier El Baz and Guojing Cong and Jo{\~{a}}o M. P. Cardoso and Lu{\'{\i}}s Veiga and Thomas Rauber}, title = {Temporal Interval Reasoning with Korean Historical Event}, booktitle = {18th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2015, Porto, Portugal, October 21-23, 2015}, pages = {92--96}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/CSE.2015.48}, doi = {10.1109/CSE.2015.48}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/MeasOHJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/LeeLBLCPLK15, author = {Young Ho Lee and Min Yong Lee and Seung Beom Baek and Jong Chul Lee and Su Jin Chae and Hae Chan Park and Byoung Ki Lee and Hyeong Soo Kim}, title = {High performance low {A/R} poly {PN} diode for 20nm node {PCRAM} cell switch}, booktitle = {45th European Solid State Device Research Conference, {ESSDERC} 2015, Graz, Austria, September 14-18, 2015}, pages = {122--125}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ESSDERC.2015.7324728}, doi = {10.1109/ESSDERC.2015.7324728}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/essderc/LeeLBLCPLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/ParkBHM15, author = {Jin Hyun Park and In Jin Baek and Su Ji Han and Young Eun Moon}, editor = {Kenji Mase and Marc Langheinrich and Daniel Gatica{-}Perez and Hans Gellersen and Tanzeem Choudhury and Koji Yatani}, title = {{SAFT:} study of sensor unit for fall prevention in blocked vision}, booktitle = {Proceedings of the 2015 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and Proceedings of the 2015 {ACM} International Symposium on Wearable Computers, UbiComp/ISWC Adjunct 2015, Osaka, Japan, September 7-11, 2015}, pages = {177--180}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2800835.2800908}, doi = {10.1145/2800835.2800908}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/huc/ParkBHM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/OhPKP15, author = {Seungwook Oh and Dae{-}Won Park and Youngjin Kim and Suhyun Park}, title = {Design and Implementation of Display Module for Feature Symbol Verification Based on {S-100}}, booktitle = {5th International Conference on {IT} Convergence and Security, {ICITCS} 2015, Kuala Lumpur, Malaysia, August 24-27, 2015}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICITCS.2015.7292967}, doi = {10.1109/ICITCS.2015.7292967}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/OhPKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkGSKMKL15, author = {Jin{-}Hyeok Park and Pham Ngoc Giao and Teak{-}Young Seung and Ki{-}Ryong Kwon and Kwang{-}Seok Moon and Gi{-}Chang Kwon and Suk{-}Hwan Lee}, title = {Selective-encrypted {GIS} vector map with low complexity}, booktitle = {2015 International Conference on Information Networking, {ICOIN} 2015, Siem Reap, Cambodia, January 12-14, 2015}, pages = {98--103}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/ICOIN.2015.7057864}, doi = {10.1109/ICOIN.2015.7057864}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ParkGSKMKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoLP15, author = {Hui Sup Cho and Hong{-}Kun Lyu and Young{-}Jin Park}, title = {Noninvasive heartbeat extraction from {IR} {UWB} radar signals}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {977--980}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354717}, doi = {10.1109/ICTC.2015.7354717}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/SeoPKHKKL15, author = {Jinhee Seo and Jihong Park and Young Jun Kim and DongYeop Hwang and Kangseok Kim and Ki{-}Hyung Kim and Ki{-}Beon Lee}, title = {An ECDH-based light-weight mutual authentication scheme on local {SIP}}, booktitle = {Seventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2015, Sapporo, Japan, July 7-10, 2015}, pages = {871--873}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICUFN.2015.7182668}, doi = {10.1109/ICUFN.2015.7182668}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/SeoPKHKKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isce/ChoiKPKNL15, author = {Yun Won Choi and Kwang{-}Young Kim and Mi{-}Ryong Park and Jong{-}Hyo Kim and Kyung{-}Jin Na and Suk{-}Gyu Lee}, title = {Development of around view system based on moving object detection for safe bus getting on and off}, booktitle = {International Symposium on Consumer Electronics, {ISCE} 2015, Madrid, Spain, June 24-26, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISCE.2015.7177786}, doi = {10.1109/ISCE.2015.7177786}, timestamp = {Mon, 09 Aug 2021 14:54:01 +0200}, biburl = {https://dblp.org/rec/conf/isce/ChoiKPKNL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AhnLKYKHLP15, author = {Jinhong Ahn and Jeaheung Lim and Seok{-}Hyang Kim and Jun{-}Yeon Yun and Changhyun Kim and Sang{-}Hoon Hong and Myoung{-}Jin Lee and Youngjune Park}, title = {16.6 Double-side {CMOS-CNT} biosensor array with padless structure for simple bare-die measurements in a medical environment}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063045}, doi = {10.1109/ISSCC.2015.7063045}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AhnLKYKHLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSKJKPC15, author = {Hyojun Kim and Jinwoo Sang and Hyunik Kim and Youngwoo Jo and Taeik Kim and Hojin Park and SeongHwan Cho}, title = {14.4 {A} 5GHz -95dBc-reference-Spur 9.5mW digital fractional-N {PLL} using reference-multiplied time-to-digital converter and reference-spur cancellation in 65nm {CMOS}}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063024}, doi = {10.1109/ISSCC.2015.7063024}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSKJKPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJCCJCKPS15, author = {Jongmi Lee and Youngwoo Ji and Seungnam Choi and Young{-}Chul Cho and Seong{-}Jin Jang and Joo{-}Sun Choi and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {5.7 {A} 29nW bandgap reference circuit}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062945}, doi = {10.1109/ISSCC.2015.7062945}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJCCJCKPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PyoSLBKKSKOLLLH15, author = {Jungyul Pyo and Youngmin Shin and Hoi{-}Jin Lee and Sung{-}il Bae and Min{-}Su Kim and Kwangil Kim and Ken Shin and Yohan Kwon and Heungchul Oh and Jaeyoung Lim and Dong{-}Wook Lee and Jongho Lee and Inpyo Hong and Kyungkuk Chae and Heon{-}Hee Lee and Sung{-}Wook Lee and Seongho Song and Chunghee Kim and Jin{-}Soo Park and Heesoo Kim and Sunghee Yun and Ukrae Cho and Jae Cheol Son and Sungho Park}, title = {23.1 20nm high-K metal-gate heterogeneous 64b quad-core CPUs and hexa-core {GPU} for high-performance and energy-efficient mobile application processor}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063105}, doi = {10.1109/ISSCC.2015.7063105}, timestamp = {Thu, 19 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/PyoSLBKKSKOLLLH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/KimJJP15, author = {Jinsoo Kim and Jin Han Jeong and Kyung Young Jhang and Jahng Hyon Park}, title = {Demonstration of disturbance propagation and amplification in car-following situation for enhancement of vehicle platoon system}, booktitle = {2015 {IEEE} Intelligent Vehicles Symposium, {IV} 2015, Seoul, South Korea, June 28 - July 1, 2015}, pages = {999--1005}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IVS.2015.7225815}, doi = {10.1109/IVS.2015.7225815}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ivs/KimJJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/msan/ParkSKL15, author = {Jong{-}won Park and Jin Gyu Shin and Young{-}Ho Kim and Myeong{-}jin Lee}, editor = {Aggelos K. Katsaggelos and Csaba A. Szab{\'{o}}}, title = {A VoIP QoS guarantee method applying the adaptive committed information rate to downstream service-flows in {HFC} network}, booktitle = {1st International {ICST} Conference on Multimedia Services Access Networks, {MSAN} 2005, Orlando, FL, USA, June 13-15, 2005}, pages = {40--43}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/MSAN.2005.1489939}, doi = {10.1109/MSAN.2005.1489939}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/msan/ParkSKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/KimPJCGH15, author = {Jong Myoung Kim and Hancheol Park and Young{-}Seob Jeong and Ho{-}Jin Choi and Gahgene Gweon and Jeong Hur}, title = {Measuring Popularity of Machine-Generated Sentences Using Term Count, Document Frequency, and Dependency Language Model}, booktitle = {Proceedings of the 29th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 29, Shanghai, China, October 30 - November 1, 2015}, publisher = {{ACL}}, year = {2015}, url = {https://aclanthology.org/Y15-2037/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/KimPJCGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/KiPNKSS15, author = {Sunho Ki and Jinhong Park and Jae{-}Ho Nah and Minkyu Kim and Youngduke Seo and Chulho Shin}, title = {Reusing MRTs for mobile GPUs}, booktitle = {{SIGGRAPH} Asia 2015 Posters, Kobe, Japan, November 2-6, 2015}, pages = {44:1}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2820926.2820961}, doi = {10.1145/2820926.2820961}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/siggraph/KiPNKSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/KimPKSS15, author = {Minkyu Kim and Jinhong Park and Sunho Ki and Youngduke Seo and Chulho Shin}, title = {Dynamic rendering quality scaling for mobile {GPU}}, booktitle = {{SIGGRAPH} Asia 2015 Posters, Kobe, Japan, November 2-6, 2015}, pages = {42:1}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2820926.2820963}, doi = {10.1145/2820926.2820963}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/KimPKSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/ParkKKSS15, author = {Jinhong Park and Minkyu Kim and Sunho Ki and Youngduke Seo and Chulho Shin}, title = {Half frame forwarding: frame-rate up conversion for tiled rendering {GPU}}, booktitle = {Special Interest Group on Computer Graphics and Interactive Techniques Conference, {SIGGRAPH} '15, Los Angeles, CA, USA, August 9-13, 2015, Posters Proceedings}, pages = {36:1}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2787626.2787634}, doi = {10.1145/2787626.2787634}, timestamp = {Fri, 12 Mar 2021 10:46:10 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/ParkKKSS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/tei/KimPN15, author = {Jina Kim and Youngwoo Park and Tek{-}Jin Nam}, editor = {Bill Verplank and Wendy Ju and Alissa Nicole Antle and Ali Mazalek and Florian 'Floyd' Mueller}, title = {BreathingFrame: An Inflatable Frame for Remote Breath Signal Sharing}, booktitle = {Proceedings of the Ninth International Conference on Tangible, Embedded, and Embodied Interaction, {TEI} '15, Stanford, California, USA, January 15-19, 2015}, pages = {109--112}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2677199.2680606}, doi = {10.1145/2677199.2680606}, timestamp = {Mon, 27 Feb 2023 08:37:18 +0100}, biburl = {https://dblp.org/rec/conf/tei/KimPN15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeAMKELKYCKPBB15, author = {Chang{-}Kyo Lee and Min{-}Su Ahn and Daesik Moon and Kiho Kim and Yoon{-}Joo Eom and Won{-}Young Lee and Jongmin Kim and Sanghyuk Yoon and Baekkyu Choi and Seokhong Kwon and Joon{-}Young Park and Seung{-}Jun Bae and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 6.4Gb/s/pin at sub-1V supply voltage TX-interleaving technique for mobile {DRAM} interface}, booktitle = {Symposium on {VLSI} Circuits, {VLSIC} 2015, Kyoto, Japan, June 17-19, 2015}, pages = {182}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSIC.2015.7231254}, doi = {10.1109/VLSIC.2015.7231254}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeAMKELKYCKPBB15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/LeePC14, author = {Jae Young Lee and Jin Bae Park and Yoon Ho Choi}, title = {On integral generalized policy iteration for continuous-time linear quadratic regulations}, journal = {Autom.}, volume = {50}, number = {2}, pages = {475--489}, year = {2014}, url = {https://doi.org/10.1016/j.automatica.2013.12.009}, doi = {10.1016/J.AUTOMATICA.2013.12.009}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/LeePC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbsn/ParkCPLJSHGK14, author = {Chan{-}Bin Park and Jung{-}Seok Choi and Su Mi Park and Jun{-}Young Lee and Hee Yeon Jung and Jin{-}Mi Seol and Jae Yeon Hwang and Ah Reum Gwak and Jun Soo Kwon}, title = {Comparison of the Effectiveness of Virtual Cue Exposure Therapy and Cognitive Behavioral Therapy for Nicotine Dependence}, journal = {Cyberpsychology Behav. Soc. Netw.}, volume = {17}, number = {4}, pages = {262--267}, year = {2014}, url = {https://doi.org/10.1089/cyber.2013.0253}, doi = {10.1089/CYBER.2013.0253}, timestamp = {Tue, 31 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbsn/ParkCPLJSHGK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KimJCKP14, author = {Jin{-}Mook Kim and Hwa{-}Young Jeong and IlKwon Cho and Sun Moo Kang and Jong Hyuk Park}, title = {A secure smart-work service model based OpenStack for Cloud computing}, journal = {Clust. Comput.}, volume = {17}, number = {3}, pages = {691--702}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0251-1}, doi = {10.1007/S10586-013-0251-1}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/KimJCKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssc/YunPH14, author = {Won Young Yun and Goeun Park and Young{-}Jin Han}, title = {An Optimal Reliability and Maintainability Design of a Searching System}, journal = {Commun. Stat. Simul. Comput.}, volume = {43}, number = {8}, pages = {1959--1978}, year = {2014}, url = {https://doi.org/10.1080/03610918.2013.815771}, doi = {10.1080/03610918.2013.815771}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssc/YunPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LeeLKLLYPK14, author = {Dong Hyung Lee and Seok Jae Lee and Ja{-}Ryong Koo and Ho Won Lee and Song Eun Lee and Hyung Jin Yang and Jaehoon Park and Young Kwan Kim}, title = {Improved efficiency and lifetime for green phosphorescent organic light-emitting diodes using charge control layer}, journal = {Displays}, volume = {35}, number = {2}, pages = {79--83}, year = {2014}, url = {https://doi.org/10.1016/j.displa.2014.02.002}, doi = {10.1016/J.DISPLA.2014.02.002}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/LeeLKLLYPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/envsoft/ParkKHP14, author = {Young{-}Seuk Park and Yong{-}Su Kwon and Soon{-}Jin Hwang and Sangkyu Park}, title = {Characterizing effects of landscape and morphometric factors on water quality of reservoirs using a self-organizing map}, journal = {Environ. Model. Softw.}, volume = {55}, pages = {214--221}, year = {2014}, url = {https://doi.org/10.1016/j.envsoft.2014.01.031}, doi = {10.1016/J.ENVSOFT.2014.01.031}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/envsoft/ParkKHP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimSBBLPPKDC14, author = {Kwang Jin Kim and Byung Hee Son and Bruce Burgess and Sung Wan Bang and Jeong Woo Lee and Ho{-}Hyun Park and Jaehwa Park and Young{-}Bin Kown and John F. Donegan and Young{-}Wan Choi}, title = {Accurate relative position indicator for tracking-based position estimation system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20130939}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130939}, doi = {10.1587/ELEX.11.20130939}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimSBBLPPKDC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimLYKKP14, author = {Taekook Kim and Chunying Li and Taihyong Yim and Youngjun Kim and Myeongyu Kim and Jinwoo Park}, title = {Novel Architecture for a Mobile Content Delivery Network Based on Proxy Mobile IPv6}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {97-A}, number = {3}, pages = {907--910}, year = {2014}, url = {https://doi.org/10.1587/transfun.E97.A.907}, doi = {10.1587/TRANSFUN.E97.A.907}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimLYKKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SongPJ14, author = {Min Kook Song and Jin Bae Park and Young Hoon Joo}, title = {Stability Analysis and Fuzzy Control for Markovian Jump Nonlinear Systems with Partially Unknown Transition Probabilities}, journal = {{IEICE} Trans. Fundam. Electron. Commun. Comput. Sci.}, volume = {97-A}, number = {2}, pages = {587--596}, year = {2014}, url = {https://doi.org/10.1587/transfun.E97.A.587}, doi = {10.1587/TRANSFUN.E97.A.587}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SongPJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KimNP14, author = {YoungEun Kim and Sang{-}Hun Nam and Jin Wan Park}, title = {Stereoscopic Media Art That Changes Based on Gender Classification Using a Depth Sensor}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/430907}, doi = {10.1155/2014/430907}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/KimNP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KimPL14, author = {Hye{-}Young Kim and Hwa{-}Jin Park and Seojeong Lee}, title = {A Hybrid Load Balancing Scheme for Games in Wireless Networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/380318}, doi = {10.1155/2014/380318}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/KimPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhr/SongPJ14, author = {Min Kook Song and Jin Bae Park and Young Hoon Joo}, title = {Further Results on Stability and Stabilization of {T-S} Fuzzy Neutral Systems with Time-Varying Delays Using Delay Dividing Approach}, journal = {Int. J. Humanoid Robotics}, volume = {11}, number = {4}, year = {2014}, url = {https://doi.org/10.1142/S0219843614420079}, doi = {10.1142/S0219843614420079}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhr/SongPJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/JeongLLJP14, author = {Young{-}Sik Jeong and Jae Dong Lee and Jeong{-}Bae Lee and Jai{-}Jin Jung and Jong Hyuk Park}, title = {An Efficient and Secure \emph{m}-IPS Scheme of Mobile Devices for Human-Centric Computing}, journal = {J. Appl. Math.}, volume = {2014}, pages = {198580:1--198580:8}, year = {2014}, url = {https://doi.org/10.1155/2014/198580}, doi = {10.1155/2014/198580}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/JeongLLJP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jifs/SongPJ14, author = {Min Kook Song and Jin Bae Park and Young Hoon Joo}, title = {Delay range dependent fuzzy control design for nonlinear neutral systems with time varying delays}, journal = {J. Intell. Fuzzy Syst.}, volume = {26}, number = {1}, pages = {143--152}, year = {2014}, url = {https://doi.org/10.3233/IFS-120722}, doi = {10.3233/IFS-120722}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jifs/SongPJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ParkJ14, author = {Kwangjin Park and Young{-}Sik Jeong}, title = {A Caching Strategy for Spatial Queries in Mobile Networks}, journal = {J. Inf. Sci. Eng.}, volume = {30}, number = {4}, pages = {1187--1207}, year = {2014}, url = {http://www.iis.sinica.edu.tw/page/jise/2014/201407\_15.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ParkJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/paa/NaPKC14, author = {Jin Hee Na and Myoung Soo Park and Woo{-}Sung Kang and Jin Young Choi}, title = {Linear boundary discriminant analysis based on {QR} decomposition}, journal = {Pattern Anal. Appl.}, volume = {17}, number = {1}, pages = {105--112}, year = {2014}, url = {https://doi.org/10.1007/s10044-012-0285-7}, doi = {10.1007/S10044-012-0285-7}, timestamp = {Sun, 10 Jun 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/paa/NaPKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/ParkYLYKLKSY14, author = {Geunyong Park and Sunmi Yeo and Jae Jin Lee and Changhan Yoon and Hyun{-}woo Koh and Hyungjoon Lim and Youngtae Kim and Hwan Shim and Yang{-}Mo Yoo}, title = {New Adaptive Clutter Rejection Based on Spectral Analysis for Ultrasound Color Doppler Imaging: Phantom and In Vivo Abdominal Study}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {61}, number = {1}, pages = {55--63}, year = {2014}, url = {https://doi.org/10.1109/TBME.2013.2276088}, doi = {10.1109/TBME.2013.2276088}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/ParkYLYKLKSY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/KimKCJMPSKKK14, author = {ChangKug Kim and Jin{-}A. Kim and Ji{-}Weon Choi and In{-}Seon Jeong and Yi{-}Seul Moon and Dong{-}Suk Park and Young{-}Joo Seol and Yong{-}Kab Kim and Yong{-}Hwan Kim and Yeon{-}Ki Kim}, title = {A Multi-Layered Screening Method to Identify Plant Regulatory Genes}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {11}, number = {2}, pages = {293--303}, year = {2014}, url = {https://doi.org/10.1109/TCBB.2013.2296308}, doi = {10.1109/TCBB.2013.2296308}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/KimKCJMPSKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/SongKPI14, author = {Moon{-}Gun Song and Young{-}Jin Kim and Eun{-}Yeong Park and Gi{-}Hong Im}, title = {Rate Adaptation and Power Allocation for Cognitive Radio Networks with HARQ-Based Primary System}, journal = {{IEEE} Trans. Commun.}, volume = {62}, number = {4}, pages = {1178--1187}, year = {2014}, url = {https://doi.org/10.1109/TCOMM.2014.021714.130140}, doi = {10.1109/TCOMM.2014.021714.130140}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/SongKPI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tfs/KooPJ14, author = {Geun Bum Koo and Jin Bae Park and Young Hoon Joo}, title = {Decentralized Fuzzy Observer-Based Output-Feedback Control for Nonlinear Large-Scale Systems: An {LMI} Approach}, journal = {{IEEE} Trans. Fuzzy Syst.}, volume = {22}, number = {2}, pages = {406--419}, year = {2014}, url = {https://doi.org/10.1109/TFUZZ.2013.2259497}, doi = {10.1109/TFUZZ.2013.2259497}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tfs/KooPJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChoiRPP14, author = {Ka Hyung Choi and Won{-}Sang Ra and So{-}Young Park and Jin Bae Park}, title = {Robust Least Squares Approach to Passive Target Localization Using Ultrasonic Receiver Array}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {4}, pages = {1993--2002}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2266076}, doi = {10.1109/TIE.2013.2266076}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChoiRPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimPKKCJ14, author = {Joung{-}Yeal Kim and Su{-}Jin Park and Kee{-}Won Kwon and Bai{-}Sun Kong and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {{CMOS} Charge Pump With No Reversion Loss and Enhanced Drivability}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {6}, pages = {1441--1445}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2267214}, doi = {10.1109/TVLSI.2013.2267214}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimPKKCJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcca/KangPKLKKK14, author = {Seonhyeok Kang and Jaemann Park and Seunghyun Kim and Bong{-}ju Lee and Youngbum Kim and Panyoung Kim and H. Jin Kim}, title = {Path tracking for a hydraulic excavator utilizing proportional-derivative and linear quadratic control}, booktitle = {2014 {IEEE} Conference on Control Applications, {CCA} 2014, Juan Les Antibes, France, October 8-10, 2014}, pages = {808--813}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CCA.2014.6981440}, doi = {10.1109/CCA.2014.6981440}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcca/KangPKLKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asunam/HanCPP14, author = {Yong{-}Jin Han and Shao Bo Cheng and Se{-}Young Park and Seong{-}Bae Park}, editor = {Xindong Wu and Martin Ester and Guandong Xu}, title = {Finding social interaction patterns using call and proximity logs simultaneously}, booktitle = {2014 {IEEE/ACM} International Conference on Advances in Social Networks Analysis and Mining, {ASONAM} 2014, Beijing, China, August 17-20, 2014}, pages = {399--402}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ASONAM.2014.6921617}, doi = {10.1109/ASONAM.2014.6921617}, timestamp = {Thu, 02 May 2024 20:50:55 +0200}, biburl = {https://dblp.org/rec/conf/asunam/HanCPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bibm/KwonKLNYYHKKJP14, author = {Min{-}Seok Kwon and Yongkang Kim and Seungyeoun Lee and Junghyun Namkung and Taegyun Yun and Sung{-}Gon Yi and Sangjo Han and Meejoo Kang and Sun Whe Kim and Jin{-}Young Jang and Taesung Park}, editor = {Huiru Jane Zheng and Werner Dubitzky and Xiaohua Hu and Jin{-}Kao Hao and Daniel P. Berrar and Kwang{-}Hyun Cho and Yadong Wang and David R. Gilbert}, title = {Biomarker development for pancreatic ductal adenocarcinoma using integrated analysis of mRNA and miRNA expression}, booktitle = {2014 {IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2014, Belfast, United Kingdom, November 2-5, 2014}, pages = {273--278}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIBM.2014.6999167}, doi = {10.1109/BIBM.2014.6999167}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bibm/KwonKLNYYHKKJP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/JeongCKKLKPK14, author = {Young{-}Seob Jeong and Ho{-}Jin Choi and Yong{-}Jin Kwon and Kyu{-}Chang Kang and Ju{-}Yeon Lee and Hye{-}Hyon Kim and Hyun{-}Ae Park and Ju{-}Han Kim}, title = {Semi-automated lifestyle manager for obesity}, booktitle = {International Conference on Big Data and Smart Computing, {BIGCOMP} 2014, Bangkok, Thailand, January 15-17, 2014}, pages = {229--230}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIGCOMP.2014.6741441}, doi = {10.1109/BIGCOMP.2014.6741441}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/JeongCKKLKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/ChoPCJZKPP14, author = {Sunghoon Cho and Sung Jun Park and Young Jin Choi and Han{-}Earl Jung and Shaohui Zheng and Seong Young Ko and Jong{-}Oh Park and Sukho Park}, title = {Development and implementation of analysis program for Peritrichous bacteria-based nanorobot (bacteriobot)}, booktitle = {5th {IEEE} {RAS/EMBS} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2014, Sao Paulo, Brazil, August 12-15, 2014}, pages = {856--860}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BIOROB.2014.6913887}, doi = {10.1109/BIOROB.2014.6913887}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/biorob/ChoPCJZKPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/ParkCCJZKPP14, author = {Sung Jun Park and Sunghoon Cho and Young Jin Choi and Han{-}Earl Jung and Shaohui Zheng and Seong Young Ko and Jong{-}Oh Park and Sukho Park}, title = {Development of bacteria-actuated microrobots using the surface modification of microstructures}, booktitle = {5th {IEEE} {RAS/EMBS} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2014, Sao Paulo, Brazil, August 12-15, 2014}, pages = {851--855}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BIOROB.2014.6913886}, doi = {10.1109/BIOROB.2014.6913886}, timestamp = {Mon, 30 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/ParkCCJZKPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LeeSPK14, author = {Jae Young Lee and Jin{-}Hyuk Song and Sung Ik Park and Heung Mook Kim}, title = {Performance evaluation of lower layer system in cloud transmission for terrestrial {DTV} broadcasting}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2014, Beijing, China, June 25-27, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BMSB.2014.6873534}, doi = {10.1109/BMSB.2014.6873534}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/LeeSPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cdc/LeeCP14, author = {Jae Young Lee and Yoon Ho Choi and Jin Bae Park}, title = {Inverse optimal design of the distributed consensus protocol for formation control of multiple mobile robots}, booktitle = {53rd {IEEE} Conference on Decision and Control, {CDC} 2014, Los Angeles, CA, USA, December 15-17, 2014}, pages = {2222--2227}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CDC.2014.7039728}, doi = {10.1109/CDC.2014.7039728}, timestamp = {Fri, 04 Mar 2022 13:29:15 +0100}, biburl = {https://dblp.org/rec/conf/cdc/LeeCP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkPN14, author = {Joohee Park and Youngwoo Park and Tek{-}Jin Nam}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Wrigglo: shape-changing peripheral for interpersonal mobile communication}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014}, pages = {3973--3976}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2556288.2557166}, doi = {10.1145/2556288.2557166}, timestamp = {Tue, 01 Jun 2021 15:21:43 +0200}, biburl = {https://dblp.org/rec/conf/chi/ParkPN14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkPN14a, author = {Joohee Park and Youngwoo Park and Tek{-}Jin Nam}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Wrigglo: shape-changing peripheral for interpersonal mobile communication}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014, Extended Abstracts}, pages = {189--190}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559206.2579526}, doi = {10.1145/2559206.2579526}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/ParkPN14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkPN14b, author = {Joohee Park and Youngwoo Park and Tek{-}Jin Nam}, editor = {Matt Jones and Philippe A. Palanque and Albrecht Schmidt and Tovi Grossman}, title = {Wrigglo: shape-changing peripheral for interpersonal mobile communication}, booktitle = {{CHI} Conference on Human Factors in Computing Systems, CHI'14, Toronto, ON, Canada - April 26 - May 01, 2014, Extended Abstracts}, pages = {599--602}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2559206.2574783}, doi = {10.1145/2559206.2574783}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/ParkPN14b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/ThiranantKKJPL14, author = {Non Thiranant and Young{-}Jin Kang and Tae{-}Yong Kim and Won{-}Tae Jang and Suhyun Park and Hoonjae Lee}, editor = {Xingang Liu and Didier El Baz and Ching{-}Hsien Hsu and Kai Kang and Weifeng Chen}, title = {A Design of Elliptic Curve Cryptography-Based Authentication Using {QR} Code}, booktitle = {17th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2014, Chengdu, China, December 19-21, 2014}, pages = {614--619}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/CSE.2014.135}, doi = {10.1109/CSE.2014.135}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/ThiranantKKJPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ShinJLPM14, author = {Do{-}Kyung Shin and Woo Jin Jeong and Jong Min Lee and Ki Tae Park and Young Shik Moon}, title = {Car accident detection in parking lots}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914378}, doi = {10.1109/ELINFOCOM.2014.6914378}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ShinJLPM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcc/LeeKMJJJP14, author = {Jae Dong Lee and Won Min Kang and Chang Hyun Moon and Hwa{-}Young Jeong and Young{-}Sik Jeong and Jai{-}Jin Jung and Jong Hyuk Park}, editor = {James Jong Hyuk Park and Albert Y. Zomaya and Hwa{-}Young Jeong and Mohammad S. Obaidat}, title = {Rhythm-Based Authentication Scheme on Smart Devices}, booktitle = {Frontier and Innovation in Future Computing and Communications, Proceedings of the 2014 {FTRA} International Symposium on Frontier and Innovation in Future Computing and Communications, {FCC} 2014, Auckland, New Zealand, January 13-16, 2014}, series = {Lecture Notes in Electrical Engineering}, volume = {301}, pages = {313--319}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-94-017-8798-7\_38}, doi = {10.1007/978-94-017-8798-7\_38}, timestamp = {Fri, 27 Mar 2020 08:55:45 +0100}, biburl = {https://dblp.org/rec/conf/fcc/LeeKMJJJP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/ParkKK14, author = {Seong{-}Ho Park and Myeong{-}Jin Kim and Young{-}Chai Ko}, title = {Resource allocation for spatial-frequency domain based interference alignment scheme in {MIMO-OFDM} systems}, booktitle = {{IEEE} International Conference on Communications, {ICC} 2014, Sydney, Australia, June 10-14, 2014}, pages = {5753--5758}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICC.2014.6884239}, doi = {10.1109/ICC.2014.6884239}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/icc/ParkKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/LeeKPKC14, author = {Dae{-}Young Lee and Ji{-}Suk Kim and Jae{-}Jun Park and Sa{-}Reum Kim and Kyu{-}Jin Cho}, title = {Fabrication of origami wheel using pattern embedded fabric and its application to a deformable mobile robot}, booktitle = {2014 {IEEE} International Conference on Robotics and Automation, {ICRA} 2014, Hong Kong, China, May 31 - June 7, 2014}, pages = {2565}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICRA.2014.6907222}, doi = {10.1109/ICRA.2014.6907222}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/LeeKPKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/MoonBKPK14, author = {Young{-}Jin Moon and Seungjae Bahng and Jun Woo Kim and Youn{-}Ok Park and Whan Woo Kim}, title = {{RRH} selection and {UE} transmission time adjustment for LTE-advanced uplink {MU-MIMO} in Distributed Antenna System environment}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {301--305}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983142}, doi = {10.1109/ICTC.2014.6983142}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/MoonBKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ShinHSCPC14, author = {Jongyoon Shin and Rod Hwang and Youngjae Shim and Sungmin Cho and Jin{-}Hyo Park and Alex Jinsung Choi}, title = {Transport {SDN} : Trends, standardization and architecture}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2014, Busan, South Korea, October 22-24, 2014}, pages = {138--139}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICTC.2014.6983101}, doi = {10.1109/ICTC.2014.6983101}, timestamp = {Sun, 29 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ShinHSCPC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/ParkCKK14, author = {Dong{-}Jin Park and See{-}Young Choi and Rae{-}Young Kim and Deuk{-}Soo Kim}, title = {A novel battery cell balancing circuit using an auxiliary circuit for fast equalization}, booktitle = {{IECON} 2014 - 40th Annual Conference of the {IEEE} Industrial Electronics Society, Dallas, TX, USA, October 29 - November 1, 2014}, pages = {2933--2938}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IECON.2014.7048926}, doi = {10.1109/IECON.2014.7048926}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iecon/ParkCKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip5-7/KimP14, author = {Young{-}Woo Kim and Jinwoo Park}, editor = {Bernard Grabot and Bruno Vallespir and Samuel Gomes and Abdelaziz Bouras and Dimitris Kiritsis}, title = {A Lifecycle Data Management System Based on {RFID} Technology of {EPC} Class1 Gen2 v2}, booktitle = {Advances in Production Management Systems. Innovative and Knowledge-Based Production Management in a Global-Local World - {IFIP} {WG} 5.7 International Conference, {APMS} 2014, Ajaccio, France, September 20-24, 2014, Proceedings, Part {II}}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {439}, pages = {294--301}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-44736-9\_36}, doi = {10.1007/978-3-662-44736-9\_36}, timestamp = {Sun, 23 Dec 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ifip5-7/KimP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkC14a, author = {Young Jin Park and Wan Kyun Chung}, title = {External torque sensing algorithm for flexible-joint robot based on disturbance observer structure}, booktitle = {2014 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2014, Chicago, IL, USA, September 14-18, 2014}, pages = {4735--4741}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/IROS.2014.6943236}, doi = {10.1109/IROS.2014.6943236}, timestamp = {Tue, 05 Sep 2023 15:07:47 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkC14a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimKJPJKCLPL14, author = {Seok Kim and Jung{-}Myung Kang and Xuefan Jin and Se{-}Ung Park and Ja{-}Hoon Jin and Kee{-}Won Kwon and Jung{-}Hoon Chun and Jung Ho Lee and Jun Young Park and Dae Young Lee}, title = {A 12.5-Gb/s near-GND transceiver for wire-line {UHD} video interfaces}, booktitle = {{IEEE} International Symposium on Circuits and Systemss, {ISCAS} 2014, Melbourne, Victoria, Australia, June 1-5, 2014}, pages = {1488--1491}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISCAS.2014.6865428}, doi = {10.1109/ISCAS.2014.6865428}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimKJPJKCLPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isgt/ParkCW14, author = {Sung{-}Hwan Park and Jin{-}Young Choi and Dong{-}Jun Won}, title = {Cooperative control between the distributed energy resources in {AC/DC} hybrid microgrid}, booktitle = {{IEEE} {PES} Innovative Smart Grid Technologies Conference, {ISGT} 2014, Washington, DC, USA, February 19-22, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISGT.2014.6816448}, doi = {10.1109/ISGT.2014.6816448}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isgt/ParkCW14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/NguyenHLPCKL14, author = {Minh{-}Khai Nguyen and An{-}Quoc Hoang and Tuan{-}Vu Le and Sung{-}Jun Park and Joon{-}Ho Choi and Se{-}Jin Kim and Young{-}Cheol Lim}, title = {Improved switched boost inverter with reducing capacitor volatge stress}, booktitle = {23rd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2014, Istanbul, Turkey, June 1-4, 2014}, pages = {2385--2389}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISIE.2014.6864992}, doi = {10.1109/ISIE.2014.6864992}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/isie/NguyenHLPCKL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiKCKJCKLSJCA14, author = {Sungdae Choi and Duckju Kim and Sungwook Choi and Byungryul Kim and Sunghyun Jung and Kichang Chun and Namkyeong Kim and Wanseob Lee and Taisik Shin and Hyunjong Jin and Hyunchul Cho and Sunghoon Ahn and Yonghwan Hong and Ingon Yang and Byoungyoung Kim and Pil{-}Seon Yoo and Youngdon Jung and Jinwoo Lee and Jae{-}Hyeon Shin and Taeyun Kim and Kunwoo Park and Jinwoong Kim}, title = {19.2 {A} 93.4mm\({}^{\mbox{2}}\) 64Gb {MLC} NAND-flash memory with 16nm {CMOS} technology}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {328--329}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757455}, doi = {10.1109/ISSCC.2014.6757455}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoiKCKJCKLSJCA14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKKKPKKPSCKK14, author = {Dong{-}Uk Lee and Kyung Whan Kim and Kwan{-}Weon Kim and Hongjung Kim and Ju Young Kim and Young Jun Park and Jae Hwan Kim and Dae Suk Kim and Heat Bit Park and Jin Wook Shin and Jang Hwan Cho and Ki Hun Kwon and Min Jeong Kim and Jaejin Lee and Kunwoo Park and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.2 {A} 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory {(HBM)} stacked {DRAM} with effective microbump {I/O} test methods using 29nm process and {TSV}}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {432--433}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757501}, doi = {10.1109/ISSCC.2014.6757501}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKKKPKKPSCKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhCCRLLLKJHKMBP14, author = {Tae{-}Young Oh and Hoeju Chung and Young{-}Chul Cho and Jang{-}Woo Ryu and Kiwon Lee and Changyoung Lee and Jin{-}Il Lee and Hyoung{-}Joo Kim and Min{-}Soo Jang and Gong{-}Heum Han and Kihan Kim and Daesik Moon and Seung{-}Jun Bae and Joon{-}Young Park and Kyung{-}Soo Ha and Jaewoong Lee and Su{-}Yeon Doo and Jung{-}Bum Shin and Chang{-}Ho Shin and Kiseok Oh and Doo{-}Hee Hwang and Taeseong Jang and Chulsung Park and Kwang{-}Il Park and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {25.1 {A} 3.2Gb/s/pin 8Gb 1.0V {LPDDR4} {SDRAM} with integrated {ECC} engine for sub-1V {DRAM} core operation}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {430--431}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757500}, doi = {10.1109/ISSCC.2014.6757500}, timestamp = {Wed, 05 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/OhCCRLLLKJHKMBP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRJYPPBBOJKK14, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Kee Sup Kim and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi}, title = {13.2 {A} 14nm FinFET 128Mb 6T {SRAM} with VMIN-enhancement techniques for low-power applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {232--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757413}, doi = {10.1109/ISSCC.2014.6757413}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRJYPPBBOJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/ChoiBKSPL14, author = {Jin Hyeok Choi and Seung{-}Yeob Baek and Youngjun Kim and Tae{-}Geun Son and Se Hyung Park and Kunwoo Lee}, editor = {James D. Westwood and Susan W. Westwood and Li Fell{\"{a}}nder{-}Tsai and Cali M. Fidopiastis and Randy S. Haluck and Richard A. Robb and Steven Senger and Kirby G. Vosburgh}, title = {Automatic Detection of Inferior Alveolar Nerve Canal from Cone-Beam Computed Tomography Images for Dental Surgery Planning}, booktitle = {Medicine Meets Virtual Reality 21 - NextMed, {MMVR} 2014, Manhattan Beach, California, USA, February 19-22, 2014}, series = {Studies in Health Technology and Informatics}, volume = {196}, pages = {61--65}, publisher = {{IOS} Press}, year = {2014}, url = {https://doi.org/10.3233/978-1-61499-375-9-61}, doi = {10.3233/978-1-61499-375-9-61}, timestamp = {Wed, 17 Apr 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/ChoiBKSPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nems/YouMLJCSKPH14, author = {Jin You and Hyowon Moon and Boo Yong Lee and Ju Young Jin and Zi Eun Chang and Jun{-}Kyo Francis Suh and Jinseok Kim and Jungyul Park and Yu{-}Shik Hwang}, title = {Cardiomyocyte contractile force changes in response to chemical environments}, booktitle = {9th {IEEE} International Conference on Nano/Micro Engineered and Molecular Systems, {NEMS} 2014, Waikiki Beach, HI, USA, April 13-16, 2014}, pages = {225--228}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/NEMS.2014.6908796}, doi = {10.1109/NEMS.2014.6908796}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nems/YouMLJCSKPH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/phycs/SohnPYEE14, author = {Jin{-}Hun Sohn and Mi{-}Sook Park and Hye{-}Ryeon Yang and Young{-}Ji Eum and Jin{-}Sup Eom}, editor = {Andreas Holzinger and Stephen H. Fairclough and Dennis Majoe and Hugo Pl{\'{a}}cido da Silva}, title = {ERP-based Speller with a New Paradigm}, booktitle = {PhyCS 2014 - Proceedings of the International Conference on Physiological Computing Systems, Lisbon, Portugal, 7 - 9 January, 2014}, pages = {341--346}, publisher = {SciTePress}, year = {2014}, url = {https://doi.org/10.5220/0004834203410346}, doi = {10.5220/0004834203410346}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/phycs/SohnPYEE14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pkdd/ParkKC14, author = {Mijeong Park and Miyoung Kang and Jin{-}Young Choi}, editor = {Wei Lee Woon and Zeyar Aung and Stuart E. Madnick}, title = {The Research on Vulnerability Analysis in OpenADR for Smart Grid}, booktitle = {Data Analytics for Renewable Energy Integration - Second {ECML} {PKDD} Workshop, {DARE} 2014, Nancy, France, September 19, 2014, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8817}, pages = {54--60}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-13290-7\_4}, doi = {10.1007/978-3-319-13290-7\_4}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pkdd/ParkKC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KwakPLKCK14, author = {Kyungmin Kwak and Daegil Park and Gihyeon Lee and Young Hyeon Kim and Wan Kyun Chung and Jinhyun Kim}, title = {Research of 3D omni-directional {RF} antenna attenuation model for using underwater vehicle localization}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {629--630}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057482}, doi = {10.1109/URAI.2014.7057482}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KwakPLKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkKSI14, author = {Eun{-}Yeong Park and Young{-}Jin Kim and Moon{-}Gun Song and Gi{-}Hong Im}, title = {MSE-Based Transceiver Design for Decentralized Transmit Power Minimization of Multi-Cell {MIMO} Cognitive Radio Systems}, booktitle = {{IEEE} 79th Vehicular Technology Conference, {VTC} Spring 2014, Seoul, South Korea, May 18-21, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VTCSpring.2014.7022993}, doi = {10.1109/VTCSPRING.2014.7022993}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkKSI14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wiopt/ParkJYY14, author = {Hanjin Park and Youngmi Jin and Jooho Yoon and Yung Yi}, title = {On the economic effects of user-oriented delayed Wi-Fi offloading}, booktitle = {12th International Symposium on Modeling and Optimization in Mobile, Ad Hoc, and Wireless Networks, WiOpt 2014, Hammamet, Tunisia, May 12-16, 2014}, pages = {436--443}, publisher = {{IEEE}}, year = {2014}, url = {https://dl.ifip.org/db/conf/wiopt/wiopt2014/ParkJYY14.pdf}, doi = {10.1109/WIOPT.2014.6850330}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wiopt/ParkJYY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/MoonJKHHLPK13, author = {Sanghoon Moon and Kwang Su Jung and Young Jin Kim and Mi Yeong Hwang and Kyungsook Han and Jong{-}Young Lee and Kiejung Park and Bong{-}Jo Kim}, title = {{KGVDB:} a population-based genomic map of CNVs tagged by SNPs in Koreans}, journal = {Bioinform.}, volume = {29}, number = {11}, pages = {1481--1483}, year = {2013}, url = {https://doi.org/10.1093/bioinformatics/btt173}, doi = {10.1093/BIOINFORMATICS/BTT173}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/MoonJKHHLPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/KimAPYP13, author = {Hyunjin Kim and Jaegyoon Ahn and Chihyun Park and Youngmi Yoon and Sanghyun Park}, title = {{ICP:} {A} novel approach to predict prognosis of prostate cancer with inner-class clustering of gene expression data}, journal = {Comput. Biol. Medicine}, volume = {43}, number = {10}, pages = {1363--1373}, year = {2013}, url = {https://doi.org/10.1016/j.compbiomed.2013.06.014}, doi = {10.1016/J.COMPBIOMED.2013.06.014}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/KimAPYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/ParkCK13, author = {Jin Han Park and Hyun{-}Ju Cho and Young Chel Kwun}, title = {Extension of the {VIKOR} method to dynamic intuitionistic fuzzy multiple attribute decision making}, journal = {Comput. Math. Appl.}, volume = {65}, number = {4}, pages = {731--744}, year = {2013}, url = {https://doi.org/10.1016/j.camwa.2012.12.008}, doi = {10.1016/J.CAMWA.2012.12.008}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/ParkCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/ParkCM13, author = {Young{-}Seuk Park and Yeong{-}Jin Chung and Yil{-}Seong Moon}, title = {Hazard ratings of pine forests to a pine wilt disease at two spatial scales (individual trees and stands) using self-organizing map and random forest}, journal = {Ecol. Informatics}, volume = {13}, pages = {40--46}, year = {2013}, url = {https://doi.org/10.1016/j.ecoinf.2012.10.008}, doi = {10.1016/J.ECOINF.2012.10.008}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecoi/ParkCM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeemm/LimPLAF13, author = {Youngkwon Lim and Kyungmo Park and Jin Young Lee and Shuichi Aoki and Gerard Fernando}, title = {{MMT:} An Emerging {MPEG} Standard for Multimedia Delivery over the Internet}, journal = {{IEEE} Multim.}, volume = {20}, number = {1}, pages = {80--85}, year = {2013}, url = {https://doi.org/10.1109/MMUL.2013.7}, doi = {10.1109/MMUL.2013.7}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieeemm/LimPLAF13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/YiPLHKS13, author = {Jin{-}Hak Yi and Woo{-}Sun Park and So{-}Young Lee and Thanh{-}Canh Huynh and Jeong{-}Tae Kim and Chun{-}Kyo Seo}, title = {Evaluation of Vibration Characteristics of an Existing Harbor Caisson Structure Using Tugboat Impact Tests and Modal Analysis}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/806482}, doi = {10.1155/2013/806482}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/YiPLHKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcst/ParkLCC13, author = {Heemin Park and Young{-}Jun Lee and Jinseok Chae and Wonik Choi}, title = {Online Approach for Spatio-Temporal Trajectory Data Reduction for Portable Devices}, journal = {J. Comput. Sci. Technol.}, volume = {28}, number = {4}, pages = {597--604}, year = {2013}, url = {https://doi.org/10.1007/s11390-013-1360-2}, doi = {10.1007/S11390-013-1360-2}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcst/ParkLCC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoPYLJSCHKJ13, author = {Yong{-}Sung Cho and Il{-}Han Park and Sangyong Yoon and Nam{-}Hee Lee and Sang{-}Hyun Joo and Ki{-}Whan Song and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Young{-}Hyun Jun}, title = {Adaptive Multi-Pulse Program Scheme Based on Tunneling Speed Classification for Next Generation Multi-Bit/Cell {NAND} {FLASH}}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {4}, pages = {948--959}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2013.2237974}, doi = {10.1109/JSSC.2013.2237974}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoPYLJSCHKJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhKPHLKWY13, author = {Jinwook Oh and Gyeonghoon Kim and Junyoung Park and Injoon Hong and Seungjin Lee and Joo{-}Young Kim and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {A 320 mW 342 {GOPS} Real-Time Dynamic Object Recognition Processor for {HD} 720p Video Streams}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {33--45}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2220651}, doi = {10.1109/JSSC.2012.2220651}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhKPHLKWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ShinGLDCSSJPETKMKDGM13, author = {Jinuk Luke Shin and Robert T. Golla and Hongping Penny Li and Sudesna Dash and Youngmoon Choi and Alan P. Smith and Harikaran Sathianathan and Mayur Joshi and Heechoul Park and Mohamed Elgebaly and Sebastian Turullols and Song Kim and Robert P. Masleid and Georgios K. Konstadinidis and Mary Jo Doherty and Greg Grohoski and Curtis McAllister}, title = {The Next Generation 64b {SPARC} Core in a {T4} SoC Processor}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {82--90}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2223036}, doi = {10.1109/JSSC.2012.2223036}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ShinGLDCSSJPETKMKDGM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/OhKPYL13, author = {Jinoh Oh and Taehoon Kim and Sun Park and Hwanjo Yu and Young Ho Lee}, title = {Efficient semantic network construction with application to PubMed search}, journal = {Knowl. Based Syst.}, volume = {39}, pages = {185--193}, year = {2013}, url = {https://doi.org/10.1016/j.knosys.2012.10.019}, doi = {10.1016/J.KNOSYS.2012.10.019}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/kbs/OhKPYL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/kbs/ParkPK13, author = {Jin Han Park and Jung{-}Mi Park and Young Chel Kwun}, title = {2-Tuple linguistic harmonic operators and their applications in group decision making}, journal = {Knowl. Based Syst.}, volume = {44}, pages = {10--19}, year = {2013}, url = {https://doi.org/10.1016/j.knosys.2013.01.006}, doi = {10.1016/J.KNOSYS.2013.01.006}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/kbs/ParkPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KangKPK13, author = {Shin{-}Jin Kang and Young Bin Kim and Taejung Park and Chang{-}Hun Kim}, title = {Automatic player behavior analysis system using trajectory data in a massive multiplayer online game}, journal = {Multim. Tools Appl.}, volume = {66}, number = {3}, pages = {383--404}, year = {2013}, url = {https://doi.org/10.1007/s11042-012-1052-x}, doi = {10.1007/S11042-012-1052-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KangKPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKKCK13, author = {Hyo Seon Park and Ji Young Kim and Jin Gi Kim and Se Woon Choi and Yousok Kim}, title = {A New Position Measurement System Using a Motion-Capture Camera for Wind Tunnel Tests}, journal = {Sensors}, volume = {13}, number = {9}, pages = {12329--12344}, year = {2013}, url = {https://doi.org/10.3390/s130912329}, doi = {10.3390/S130912329}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkKKCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vldb/LeeCPCHNW13, author = {Jongwuk Lee and Hyunsouk Cho and Jin{-}Woo Park and Young{-}rok Cha and Seung{-}won Hwang and Zaiqing Nie and Ji{-}Rong Wen}, title = {Hybrid entity clustering using crowds and data}, journal = {{VLDB} J.}, volume = {22}, number = {5}, pages = {711--726}, year = {2013}, url = {https://doi.org/10.1007/s00778-013-0328-8}, doi = {10.1007/S00778-013-0328-8}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vldb/LeeCPCHNW13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KimP13, author = {Hye{-}Young Kim and Hwa{-}Jin Park}, title = {An Efficient Gaming User Oriented Load Balancing Scheme for MMORPGs}, journal = {Wirel. Pers. Commun.}, volume = {73}, number = {2}, pages = {289--297}, year = {2013}, url = {https://doi.org/10.1007/s11277-013-1237-2}, doi = {10.1007/S11277-013-1237-2}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KimP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/KimPJ13, author = {Ho{-}Jun Kim and Jin Bae Park and Young Hoon Joo}, title = {Stabilization conditions of Takagi-Sugeno fuzzy systems based on the fuzzy Lyapunov functions under the imperfect premise matching}, booktitle = {American Control Conference, {ACC} 2013, Washington, DC, USA, June 17-19, 2013}, pages = {5643--5647}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACC.2013.6580721}, doi = {10.1109/ACC.2013.6580721}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/KimPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/KooPJ13, author = {Geun Bum Koo and Jin Bae Park and Young Hoon Joo}, title = {Robust decentralized control for fuzzy large-scale systems using dynamic output-feedback}, booktitle = {American Control Conference, {ACC} 2013, Washington, DC, USA, June 17-19, 2013}, pages = {6412--6417}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACC.2013.6580844}, doi = {10.1109/ACC.2013.6580844}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/KooPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcc/LeePC13, author = {Jae Young Lee and Jin Bae Park and Yoon Ho Choi}, title = {On integral value iteration for continuous-time linear systems}, booktitle = {American Control Conference, {ACC} 2013, Washington, DC, USA, June 17-19, 2013}, pages = {4215--4220}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ACC.2013.6580487}, doi = {10.1109/ACC.2013.6580487}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/amcc/LeePC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ParkLK13, author = {Sae{-}Jin Park and Oh{-}Young Lee and Jong{-}Ok Kim}, title = {Self-similarity based image super-resolution on frequency domain}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2013, Kaohsiung, Taiwan, October 29 - November 1, 2013}, pages = {1--4}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/APSIPA.2013.6694232}, doi = {10.1109/APSIPA.2013.6694232}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/ParkLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ascc/SonPJ13, author = {Hyun Seung Son and Jin Bae Park and Young Hoon Joo}, title = {The study on tracking algorithm for the underwater target: Applying to noise limited bi-static sonar model}, booktitle = {9th Asian Control Conference, {ASCC} 2013, Istanbul, Turkey, June 23-26, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ASCC.2013.6606260}, doi = {10.1109/ASCC.2013.6606260}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ascc/SonPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/KimJLPY13, author = {Young Hwan Kim and Kuk{-}Jin Jang and Seung{-}chul Lee and Chang{-}Won Park and Hee Yong Youn}, title = {A robust wearable health monitoring system based on {WSN}}, booktitle = {10th {IEEE} Consumer Communications and Networking Conference, {CCNC} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {288--293}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/CCNC.2013.6488460}, doi = {10.1109/CCNC.2013.6488460}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/KimJLPY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkBN13, author = {Youngwoo Park and Kyoung{-}Min Baek and Tek{-}Jin Nam}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {The roles of touch during phone conversations: long-distance couples' use of {POKE} in their homes}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013}, pages = {1679--1688}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2470654.2466222}, doi = {10.1145/2470654.2466222}, timestamp = {Tue, 01 Jun 2021 15:21:42 +0200}, biburl = {https://dblp.org/rec/conf/chi/ParkBN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ParkN13, author = {Youngwoo Park and Tek{-}Jin Nam}, editor = {Wendy E. Mackay and Stephen A. Brewster and Susanne B{\o}dker}, title = {{POKE:} a new way of sharing emotional touches during phone conversations}, booktitle = {2013 {ACM} {SIGCHI} Conference on Human Factors in Computing Systems, {CHI} '13, Paris, France, April 27 - May 2, 2013, Extended Abstracts}, pages = {2859--2860}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2468356.2479548}, doi = {10.1145/2468356.2479548}, timestamp = {Fri, 12 Mar 2021 15:27:48 +0100}, biburl = {https://dblp.org/rec/conf/chi/ParkN13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/JungPPC13, author = {YoungHoon Jung and Jinhyung Park and Michele Petracca and Luca P. Carloni}, title = {netShip: a networked virtual platform for large-scale heterogeneous distributed embedded systems}, booktitle = {The 50th Annual Design Automation Conference 2013, {DAC} '13, Austin, TX, USA, May 29 - June 07, 2013}, pages = {169:1--169:10}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2463209.2488943}, doi = {10.1145/2463209.2488943}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dac/JungPPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/egh/LeeSLKNJLPH13, author = {Won{-}Jong Lee and Youngsam Shin and Jaedon Lee and Jin{-}Woo Kim and Jae{-}Ho Nah and Seokyoon Jung and Shihwa Lee and Hyun{-}Sang Park and Tack{-}Don Han}, editor = {Kayvon Fatahalian and Christian Theobalt and Jaakko Lehtinen}, title = {{SGRT:} {A} Mobile {GPU} Architecture for Real-Time Ray Tracing}, booktitle = {High-Performance Graphics 2013, Anaheim, California, USA, July 19-21, 2013. Proceedings}, pages = {109--120}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2492045.2492057}, doi = {10.1145/2492045.2492057}, timestamp = {Sat, 30 Sep 2023 09:39:43 +0200}, biburl = {https://dblp.org/rec/conf/egh/LeeSLKNJLPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimYUJYP13, author = {Tae{-}Ho Kim and Young{-}Gyu Yoon and Jinu Uhm and Dae{-}Woong Jeong and Seung Zhoo Yoon and Sang{-}Hyun Park}, title = {A cepstral analysis based method for quantifying the depth of anesthesia from human {EEG}}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {5994--5997}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610918}, doi = {10.1109/EMBC.2013.6610918}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/KimYUJYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeKKYWCPC13, author = {Jihyun Lee and Hye Jin Kam and Ha{-}Young Kim and Sanghyun Yoo and Kyoung{-}Gu Woo and Yoon{-}Ho Choi and Jeong Euy Park and Soo Jin Cho}, title = {Prediction of 4-year risk for coronary artery calcification using ensemble-based classification}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {3210--3213}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610224}, doi = {10.1109/EMBC.2013.6610224}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LeeKKYWCPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/KimPJ13, author = {Dae Young Kim and Jin Bae Park and Young Hoon Joo}, title = {Reducing conservativeness in stability conditions of affine fuzzy systems using fuzzy Lyapunov function}, booktitle = {{FUZZ-IEEE} 2013, {IEEE} International Conference on Fuzzy Systems, Hyderabad, India, 7-10 July, 2013, Proceedings}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/FUZZ-IEEE.2013.6622411}, doi = {10.1109/FUZZ-IEEE.2013.6622411}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/KimPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/KimLNP13, author = {YoungEun Kim and MiGyung Lee and Sang{-}Hun Nam and Jin Wan Park}, editor = {Sakae Yamamoto}, title = {User Interface of Interactive Media Art in a Stereoscopic Environment}, booktitle = {Human Interface and the Management of Information. Information and Interaction for Learning, Culture, Collaboration and Business, - 15th International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8018}, pages = {219--227}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39226-9\_25}, doi = {10.1007/978-3-642-39226-9\_25}, timestamp = {Fri, 24 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/KimLNP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/LeeKP13, author = {MiGyung Lee and YoungEun Kim and Jin Wan Park}, editor = {Constantine Stephanidis}, title = {A Study for Web Site Color Guideline for Universal Access for Color Vision Deficiencies: Focusing on the Best General Hospitals in Korea and in the United States}, booktitle = {{HCI} International 2013 - Posters' Extended Abstracts - International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {373}, pages = {246--250}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39473-7\_50}, doi = {10.1007/978-3-642-39473-7\_50}, timestamp = {Wed, 24 May 2017 08:28:56 +0200}, biburl = {https://dblp.org/rec/conf/hci/LeeKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimYKCRKSAPPK13, author = {Ki Young Kim and Changwook Yoon and Nam Yoon Kim and Jinsung Choi and Young{-}Ho Ryu and Dong{-}Zo Kim and Keum{-}Su Song and Chi{-}Hyung Ahn and Eunseok Park and Yun{-}Kwon Park and Sangwook Kwon}, title = {Magnetic resonance wireless power transfer system for practical mid-range distance powering scenario references}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {175--176}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486846}, doi = {10.1109/ICCE.2013.6486846}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimYKCRKSAPPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeSL0NPJL13, author = {Won{-}Jong Lee and Youngsam Shin and Jaedon Lee and Jin{-}Woo Kim and Jae{-}Ho Nah and Hyun{-}Sang Park and Seokyoon Jung and Shihwa Lee}, title = {A novel mobile {GPU} architecture based on ray tracing}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {21--22}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486777}, doi = {10.1109/ICCE.2013.6486777}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeSL0NPJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeeWP13, author = {Jin Young Lee and HoCheon Wey and Du{-}Sik Park}, title = {Improved depth-based motion vector prediction in 3D video coding}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2013, Melbourne, Australia, September 15-18, 2013}, pages = {1690--1693}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICIP.2013.6738348}, doi = {10.1109/ICIP.2013.6738348}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LeeWP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/GoPL13, author = {Seok{-}Jo Go and Min{-}Kyu Park and Young{-}Jin Lee}, editor = {Jangmyung Lee and Min Cheol Lee and Honghai Liu and Jee{-}Hwan Ryu}, title = {Development of Smart Actuator and Its Application}, booktitle = {Intelligent Robotics and Applications - 6th International Conference, {ICIRA} 2013, Busan, South Korea, September 25-28, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8103}, pages = {442--449}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40849-6\_44}, doi = {10.1007/978-3-642-40849-6\_44}, timestamp = {Fri, 05 Mar 2021 11:49:33 +0100}, biburl = {https://dblp.org/rec/conf/icira/GoPL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/HanLPP13, author = {Yong{-}Jin Han and Sang{-}Jo Lee and Se{-}Young Park and Seong{-}Bae Park}, editor = {Minho Lee and Akira Hirose and Zeng{-}Guang Hou and Rhee Man Kil}, title = {Detection of Error-Prone Cases for Word Sense Disambiguation}, booktitle = {Neural Information Processing - 20th International Conference, {ICONIP} 2013, Daegu, Korea, November 3-7, 2013. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {8226}, pages = {98--105}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-42054-2\_13}, doi = {10.1007/978-3-642-42054-2\_13}, timestamp = {Tue, 14 May 2019 10:00:42 +0200}, biburl = {https://dblp.org/rec/conf/iconip/HanLPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimPPKNS13, author = {Jung{-}Hyun Kim and Jin Soo Park and Ki{-}Hyeon Park and Inseon Kim and Mi{-}Young Nam and Hong{-}Yeop Song}, title = {Reliability comparison of various regenerating codes for cloud services}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {649--653}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675444}, doi = {10.1109/ICTC.2013.6675444}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimPPKNS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkC13, author = {Young{-}Jin Park and Hui{-}Sup Cho}, title = {Transmission of {ECG} data with the patch-type {ECG} sensor system using Bluetooth Low Energy}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {289--294}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675359}, doi = {10.1109/ICTC.2013.6675359}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ChoiHCYWPSC13, author = {JongCheon Choi and Yongman Han and Seong{-}je Cho and Haeyoung Yoo and Jinwoon Woo and Minkyu Park and Youngsang Song and Lawrence Chung}, editor = {Leonard Barolli and Ilsun You and Fatos Xhafa and Fang{-}Yie Leu and Hsing{-}Chung Chen}, title = {A Static Birthmark for {MS} Windows Applications Using Import Address Table}, booktitle = {Seventh International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {129--134}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IMIS.2013.159}, doi = {10.1109/IMIS.2013.159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/ChoiHCYWPSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/AgrawalKCKLKP13, author = {Sunil K. Agrawal and Jiyeon Kang and Xi Chen and Mi Jung Kim and Youngmyung Lee and Sang Won Kong and Gyung{-}Jin Park}, title = {Case studies of a robot enhanced walker for training of children with cerebral palsy}, booktitle = {2013 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2013, Tokyo, Japan, November 3-7, 2013}, pages = {4243--4248}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IROS.2013.6696964}, doi = {10.1109/IROS.2013.6696964}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iros/AgrawalKCKLKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KimLPKKSKJCSS13, author = {Min{-}Su Kim and Hyoungwook Lee and Jin{-}Soo Park and Chunghee Kim and Juhyun Kang and Ken Shin and Emil Kagramanyan and Gunok Jung and Ukrae Cho and Youngmin Shin and Jae{-}Cheol Son}, title = {Scan-controlled pulse flip-flops for mobile application processors}, booktitle = {2013 {IEEE} International Symposium on Circuits and Systems (ISCAS2013), Beijing, China, May 19-23, 2013}, pages = {769--772}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISCAS.2013.6571960}, doi = {10.1109/ISCAS.2013.6571960}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/KimLPKKSKJCSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/OhKJLPS13, author = {Seung{-}Yeol Oh and Se{-}Jin Kim and Young{-}Gook Jung and Young{-}Cheol Lim and Byung{-}Chul Park and Joong{-}Rin Shin}, title = {A single-phase embedded Z-source {DC-AC} inverter by symmetric and asymmetric voltage control}, booktitle = {22nd {IEEE} International Symposium on Industrial Electronics, {ISIE} 2013, Taipei, Taiwan, May 28-31, 2013}, pages = {1--6}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISIE.2013.6563700}, doi = {10.1109/ISIE.2013.6563700}, timestamp = {Mon, 01 Feb 2021 17:14:45 +0100}, biburl = {https://dblp.org/rec/conf/isie/OhKJLPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/ChoKPLK10, author = {Jungsan Cho and Jin Tak Kim and Sangdeok Park and Youngsoo Lee and Kabil Kim}, title = {JINPOONG, posture control for the external force}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695687}, doi = {10.1109/ISR.2013.6695687}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isr/ChoKPLK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/KimAPP10, author = {Chang{-}Hyun Kim and Changsun Ahn and Jin{-}Woo Park and Doh Young Park}, title = {Levitation and guidance control of passive magnetic levitation tray system}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695692}, doi = {10.1109/ISR.2013.6695692}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isr/KimAPP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/KimCPPL10, author = {Jin Tak Kim and Jung{-}San Cho and Byung{-}Yun Park and Sangdeok Park and Youngsoo Lee}, title = {Experimental investigation on the design of leg for a hydraulic actuated quadruped robot}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695685}, doi = {10.1109/ISR.2013.6695685}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isr/KimCPPL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkYLKPKCOOMKJLKP13, author = {Yongha Park and Chang{-}Hyo Yu and Kilwhan Lee and Hyunsuk Kim and Youngeun Park and Chun{-}Ho Kim and Yunseok Choi and Jinhong Oh and Changhoon Oh and Gurnrack Moon and Sangduk Kim and Horang Jang and Jin{-}Aeon Lee and Chinhyun Kim and Sungho Park}, title = {72.5GFLOPS 240Mpixel/s 1080p 60fps multi-format video codec application processor enabled with {GPGPU} for fused multimedia application}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {160--161}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487681}, doi = {10.1109/ISSCC.2013.6487681}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkYLKPKCOOMKJLKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/ChoiKYJKP13, author = {Jin Hyeok Choi and Youngjun Kim and Tae{-}kyoung Yi and Jekyo Jung and Yong Kim and Se Hyung Park}, editor = {James D. Westwood and Susan W. Westwood and Li Fell{\"{a}}nder{-}Tsai and Randy S. Haluck and Richard A. Robb and Steven Senger and Kirby G. Vosburgh}, title = {Optimized Marker for Template-Guided Intraoral Surgery}, booktitle = {Medicine Meets Virtual Reality 20 - NextMed, {MMVR} 2013, San Diego, California, USA, February 20-23, 2013}, series = {Studies in Health Technology and Informatics}, volume = {184}, pages = {85--91}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-209-7-85}, doi = {10.3233/978-1-61499-209-7-85}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/ChoiKYJKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mss/LeeKKLPM13, author = {Young{-}Sik Lee and Sang{-}Hoon Kim and Jin{-}Soo Kim and Jaesoo Lee and Chanik Park and Seungryoul Maeng}, title = {{OSSD:} {A} case for object-based solid state drives}, booktitle = {{IEEE} 29th Symposium on Mass Storage Systems and Technologies, {MSST} 2013, May 6-10, 2013, Long Beach, CA, {USA}}, pages = {1--13}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/MSST.2013.6558448}, doi = {10.1109/MSST.2013.6558448}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mss/LeeKKLPM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/ChungKKP13, author = {Young{-}Suk Chung and Jin{-}Mook Kim and Dong{-}Hyun Kim and Koo{-}Rock Park}, editor = {James J. Park and Joseph Kee{-}Yin Ng and Hwa{-}Young Jeong and Agustinus Borgy Waluyo}, title = {A Study to Prediction Modeling of the Number of Traffic Accidents}, booktitle = {Multimedia and Ubiquitous Engineering, {MUE} 2013, May 9-11, 2013, Seoul, Korea}, series = {Lecture Notes in Electrical Engineering}, volume = {240}, pages = {627--630}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6738-6\_77}, doi = {10.1007/978-94-007-6738-6\_77}, timestamp = {Sun, 04 Jun 2017 10:10:13 +0200}, biburl = {https://dblp.org/rec/conf/mue/ChungKKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoJKMKPC13, author = {Kyeong Ho Cho and Young Hoon Jin and Ho Moon Kim and Hyungpil Moon and Jachoon Koo and Yeong Je Park and Hyouk Ryeol Choi}, title = {Development of multifunctional robotic crawler for cable inspection (MRC\({}^{\mbox{2}}\)IN)}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {123--124}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677488}, doi = {10.1109/URAI.2013.6677488}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoJKMKPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimKP13a, author = {Suk{-}Joong Kim and Dong{-}Ho Kim and Jin{-}Young Park}, title = {Implementation of assistive robotic vehicle for the elderly}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {725--727}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677438}, doi = {10.1109/URAI.2013.6677438}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimKP13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/LeeKKPC13, author = {Dae{-}Young Lee and Ji{-}Suk Kim and Sa{-}Reum Kim and Jae{-}Jun Park and Kyu{-}Jin Cho}, title = {Design of deformable-wheeled robot based on origami structure with shape memory alloy coil spring}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {120}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677486}, doi = {10.1109/URAI.2013.6677486}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/LeeKKPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkC13a, author = {Young Jin Park and Wan Kyun Chung}, title = {Unified external torque-sensing algorithm for flexible-joint robot based on Kalman filter}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {78--79}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677476}, doi = {10.1109/URAI.2013.6677476}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkC13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkC13c, author = {Young Jin Park and Wan Kyun Chung}, title = {Discrete-time implementation of disturbance observer based on robust internal-loop compensator framework: Easy-to-implement approach}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {335--339}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677381}, doi = {10.1109/URAI.2013.6677381}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkC13c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkLCKYJR13, author = {Hoon Chae Park and Ji Eun Lee and Hang Seok Choi and Jin Ho Kyung and Dongwon Yun and Sanghyun Jeong and Youngsun Ryuh}, title = {Application of {FSI} (Fluid Structure Interaction) to biomimetic robot fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {439--441}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677305}, doi = {10.1109/URAI.2013.6677305}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkLCKYJR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/YunKJPCR13, author = {Dongwon Yun and Jin Ho Kyung and Sanghyun Jeong and Chanhun Park and Hang Seok Choi and Youngsun Ryuh}, title = {Water tank experiment for a robotic fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {436--438}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677304}, doi = {10.1109/URAI.2013.6677304}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/YunKJPCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsid/SonKJLOP13, author = {Hyuksu Son and Woo Young Kim and Joo Young Jang and Hae Jin Lee and Inn Yeal Oh and Chul Soon Park}, title = {A Fully Integrated {CMOS} Class-E Power Amplifier for Reconfigurable Transmitters with WCDMA/WiMAX Applications}, booktitle = {26th International Conference on {VLSI} Design and 12th International Conference on Embedded Systems, Pune, India, January 5-10, 2013}, pages = {169--172}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/VLSID.2013.183}, doi = {10.1109/VLSID.2013.183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsid/SonKJLOP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/automatica/LeePC12, author = {Jae Young Lee and Jin Bae Park and Yoon Ho Choi}, title = {Integral Q-learning and explorized policy iteration for adaptive optimal control of continuous-time linear systems}, journal = {Autom.}, volume = {48}, number = {11}, pages = {2850--2859}, year = {2012}, url = {https://doi.org/10.1016/j.automatica.2012.06.008}, doi = {10.1016/J.AUTOMATICA.2012.06.008}, timestamp = {Thu, 20 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/automatica/LeePC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HongRPLJKYBPRCYLKLKS12, author = {Dongwan Hong and Arang Rhie and Sung{-}Soo Park and Jongkeun Lee and Young Seok Ju and Sujung Kim and Saet{-}Byeol Yu and Thomas Bleazard and Hyun Seok Park and Hwanseok Rhee and Hyonyong Chong and Kap{-}Seok Yang and Yeon{-}Su Lee and In{-}Hoo Kim and Jin Soo Lee and Jong{-}Il Kim and Jeong{-}Sun Seo}, title = {{FX:} an RNA-Seq analysis tool on the cloud}, journal = {Bioinform.}, volume = {28}, number = {5}, pages = {721--723}, year = {2012}, url = {https://doi.org/10.1093/bioinformatics/bts023}, doi = {10.1093/BIOINFORMATICS/BTS023}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/HongRPLJKYBPRCYLKLKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/YoonKP12, author = {Dankyu Yoon and Young Jin Kim and Taesung Park}, title = {Phenotype prediction from genome-wide association studies: application to smoking behaviors}, journal = {{BMC} Syst. Biol.}, volume = {6}, number = {{S-2}}, pages = {S11}, year = {2012}, url = {https://doi.org/10.1186/1752-0509-6-S2-S11}, doi = {10.1186/1752-0509-6-S2-S11}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/YoonKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cacm/LeeIMJKPLRS12, author = {Youngki Lee and S. S. Iyengar and Chulhong Min and Younghyun Ju and Seungwoo Kang and Taiwoo Park and Jinwon Lee and Yunseok Rhee and Junehwa Song}, title = {MobiCon: a mobile context-monitoring platform}, journal = {Commun. {ACM}}, volume = {55}, number = {3}, pages = {54--65}, year = {2012}, url = {https://doi.org/10.1145/2093548.2093567}, doi = {10.1145/2093548.2093567}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cacm/LeeIMJKPLRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/KangPCJKLI12, author = {Kyu{-}Min Kang and Jae Cheol Park and Sang{-}In Cho and Byung Jang Jeong and Young{-}Jin Kim and Hyoung{-}Jin Lim and Gi{-}Hong Im}, title = {Deployment and coverage of cognitive radio networks in {TV} white space}, journal = {{IEEE} Commun. Mag.}, volume = {50}, number = {12}, pages = {88--94}, year = {2012}, url = {https://doi.org/10.1109/MCOM.2012.6384456}, doi = {10.1109/MCOM.2012.6384456}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/KangPCJKLI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/ParkKK12, author = {Jin Han Park and Oe Hyeon Kim and Young Chel Kwun}, title = {Some properties of equivalence soft set relations}, journal = {Comput. Math. Appl.}, volume = {63}, number = {6}, pages = {1079--1088}, year = {2012}, url = {https://doi.org/10.1016/j.camwa.2011.12.013}, doi = {10.1016/J.CAMWA.2011.12.013}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/ParkKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/KimJP12, author = {Ho{-}Jun Kim and Young Hoon Joo and Jin Bae Park}, title = {Controller Design for Continuous-Time Takagi-Sugeno Fuzzy Systems with Fuzzy Lyapunov Functions : {LMI} Approach}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {12}, number = {3}, pages = {187--192}, year = {2012}, url = {https://doi.org/10.5391/IJFIS.2012.12.3.187}, doi = {10.5391/IJFIS.2012.12.3.187}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/KimJP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/LeePJ12, author = {Dong Hwan Lee and Jin Bae Park and Young Hoon Joo}, title = {A fuzzy Lyapunov function approach to estimating the domain of attraction for continuous-time Takagi-Sugeno fuzzy systems}, journal = {Inf. Sci.}, volume = {185}, number = {1}, pages = {230--248}, year = {2012}, url = {https://doi.org/10.1016/j.ins.2011.06.008}, doi = {10.1016/J.INS.2011.06.008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/LeePJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/ConnellyPDTGBGSRS12, author = {Donald P. Connelly and Young{-}Taek Park and Jing Du and Nawanan Theera{-}Ampornpunt and Bradley D. Gordon and Barry A. Bershow and Raymond A. Gensinger Jr. and Michael Shrift and Daniel T. Routhe and Stuart M. Speedie}, title = {Focus on health information technology, electronic health records and their financial impact: The impact of electronic health records on care of heart failure patients in the emergency room}, journal = {J. Am. Medical Informatics Assoc.}, volume = {19}, number = {3}, pages = {334--340}, year = {2012}, url = {https://doi.org/10.1136/amiajnl-2011-000271}, doi = {10.1136/AMIAJNL-2011-000271}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/ConnellyPDTGBGSRS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/DuPTMS12, author = {Jing Du and Young{-}Taek Park and Nawanan Theera{-}Ampornpunt and Jeffrey S. McCullough and Stuart M. Speedie}, title = {The use of count data models in biomedical informatics evaluation research}, journal = {J. Am. Medical Informatics Assoc.}, volume = {19}, number = {1}, pages = {39--44}, year = {2012}, url = {https://doi.org/10.1136/amiajnl-2011-000256}, doi = {10.1136/AMIAJNL-2011-000256}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/DuPTMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jim/KangPKK12, author = {Soo{-}Young Kang and Jong Hyuk Park and Muhammad Khurram Khan and Jin Kwak}, title = {Study on the common criteria methodology for secure ubiquitous environment construction}, journal = {J. Intell. Manuf.}, volume = {23}, number = {4}, pages = {933--939}, year = {2012}, url = {https://doi.org/10.1007/s10845-009-0363-x}, doi = {10.1007/S10845-009-0363-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jim/KangPKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jip/LeeSPMR12, author = {Hark{-}Jin Lee and Young{-}Sung Son and Jun{-}Hee Park and Kyeong{-}Deok Moon and Jae{-}Cheol Ryou}, title = {General Middleware Bridge to Support Device Interoperability on Different Middlewares}, journal = {J. Inf. Process.}, volume = {20}, number = {3}, pages = {525--530}, year = {2012}, url = {https://doi.org/10.2197/ipsjjip.20.525}, doi = {10.2197/IPSJJIP.20.525}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jip/LeeSPMR12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2 {V} 12.8 GB/s 2 Gb Mobile Wide-I/O {DRAM} With 4 {\texttimes} 128 I/Os Using {TSV} Based Stacking}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {107--116}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164731}, doi = {10.1109/JSSC.2011.2164731}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12, author = {Chulbum Kim and Jinho Ryu and Tae{-}Sung Lee and Hyunggon Kim and Jaewoo Lim and Jaeyong Jeong and Seonghwan Seo and Hongsoo Jeon and Bokeun Kim and Inyoul Lee and Dooseop Lee and Pansuk Kwak and Seongsoon Cho and Yongsik Yim and Changhyun Cho and Woopyo Jeong and Kwang{-}Il Park and Jin{-}Man Han and Duheon Song and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 21 nm High Performance 64 Gb {MLC} {NAND} Flash Memory With 400 MB/s Asynchronous Toggle {DDR} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {981--989}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185341}, doi = {10.1109/JSSC.2012.2185341}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCSKKKKJKPKKCRCKKCC12, author = {Hyun{-}Woo Lee and Hoon Choi and Beom{-}Ju Shin and Kyung{-}Hoon Kim and Kyung Whan Kim and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Jae{-}Hwan Kim and Eun Young Park and Jong{-}Sam Kim and Jong{-}Hwan Kim and Jin{-}Hee Cho and Nam Gyu Rye and Jun Hyun Chun and Yunsaing Kim and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung}, title = {A 1.0-ns/1.0-V Delay-Locked Loop With Racing Mode and Countered {CAS} Latency Controller for {DRAM} Interfaces}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {6}, pages = {1436--1447}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2191027}, doi = {10.1109/JSSC.2012.2191027}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCSKKKKJKPKKCRCKKCC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkKOLKY12, author = {Junyoung Park and Joonsoo Kwon and Jinwook Oh and Seungjin Lee and Joo{-}Young Kim and Hoi{-}Jun Yoo}, title = {A 92-mW Real-Time Traffic Sign Recognition System With Robust Illumination Adaptation and Support Vector Machine}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {11}, pages = {2711--2723}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2211691}, doi = {10.1109/JSSC.2012.2211691}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkKOLKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvcir/LeeWP12, author = {Jin Young Lee and HoCheon Wey and Du{-}Sik Park}, title = {A high performance and low complexity sampling-based intra coding method for {H.264/AVC}}, journal = {J. Vis. Commun. Image Represent.}, volume = {23}, number = {8}, pages = {1179--1188}, year = {2012}, url = {https://doi.org/10.1016/j.jvcir.2012.07.012}, doi = {10.1016/J.JVCIR.2012.07.012}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jvcir/LeeWP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/OhKHPLKWY12, author = {Jinwook Oh and Gyeonghoon Kim and Injoon Hong and Junyoung Park and Seungjin Lee and Joo{-}Young Kim and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {Low-Power, Real-Time Object-Recognition Processors for Mobile Vision Systems}, journal = {{IEEE} Micro}, volume = {32}, number = {6}, pages = {38--50}, year = {2012}, url = {https://doi.org/10.1109/MM.2012.90}, doi = {10.1109/MM.2012.90}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/OhKHPLKWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeKPEKRC12, author = {Byeong Ha Lee and Young Ho Kim and Kwan Seob Park and Joo Beom Eom and Myoung Jin Kim and Byung Sup Rho and Hae Young Choi}, title = {Interferometric Fiber Optic Sensors}, journal = {Sensors}, volume = {12}, number = {3}, pages = {2467--2486}, year = {2012}, url = {https://doi.org/10.3390/s120302467}, doi = {10.3390/S120302467}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeKPEKRC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/smartcr/JinJPOC12, author = {Sou{-}Young Jin and Young{-}Seob Jeong and Chankyu Park and KyoJoong Oh and Ho{-}Jin Choi}, title = {An Intelligent Multi-Sensor Surveillance System for Elderly Care}, journal = {Smart Comput. Rev.}, volume = {2}, number = {4}, pages = {296--307}, year = {2012}, url = {https://doi.org/10.6029/smartcr.2012.04.006}, doi = {10.6029/SMARTCR.2012.04.006}, timestamp = {Fri, 23 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/smartcr/JinJPOC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soca/LiLTXSPM12, author = {Wu Li and Yann{-}Hang Lee and Wei{-}Tek Tsai and Jingjing Xu and Young{-}Sung Son and Jun{-}Hee Park and Kyung{-}Duk Moon}, title = {Service-oriented smart home applications: composition, code generation, deployment, and execution}, journal = {Serv. Oriented Comput. Appl.}, volume = {6}, number = {1}, pages = {65--79}, year = {2012}, url = {https://doi.org/10.1007/s11761-011-0086-7}, doi = {10.1007/S11761-011-0086-7}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soca/LiLTXSPM12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/ByunJNKP12, author = {Jinsung Byun and Boungju Jeon and Junyoung Noh and Youngil Kim and Sehyun Park}, title = {An intelligent self-adjusting sensor for smart home services based on ZigBee communications}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {58}, number = {3}, pages = {794--802}, year = {2012}, url = {https://doi.org/10.1109/TCE.2012.6311320}, doi = {10.1109/TCE.2012.6311320}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/ByunJNKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimSKP12, author = {Jinwook Kim and Hyeon{-}Chang Son and Do{-}Hyeon Kim and Young{-}Jin Park}, title = {Optimal design of a wireless power transfer system with multiple self-resonators for an {LED} {TV}}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {58}, number = {3}, pages = {775--780}, year = {2012}, url = {https://doi.org/10.1109/TCE.2012.6311317}, doi = {10.1109/TCE.2012.6311317}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimSKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
![](https://dblp.uni-trier.de/img/cog.dark.24x24.png)
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.