default search action
Search dblp for Publications
export results for "Young-Je Park"
more than 1000 matches, exporting first 1000 hits only!
@article{DBLP:journals/access/AdnanJPYLH24, author = {Muhammad Adnan and Taeyong Jeong and Jongjin Park and Youngoo Yang and Kang{-}Yoon Lee and Keum{-}Cheol Hwang}, title = {{LTCC} Differential-Fed Broadband High-Gain and Narrow-Beam Planar Aperture Antenna for AiP Millimeter-Wave-Applications}, journal = {{IEEE} Access}, volume = {12}, pages = {72199--72209}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3401826}, doi = {10.1109/ACCESS.2024.3401826}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/AdnanJPYLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoKPSJKPAP24, author = {Youngsu Cho and Kwang Joon Kim and Jongwoo Park and Hyunuk Seo and Hyunmok Jung and Byung{-}In Kim and Dong Il Park and Jeongdo Ahn and Chanhun Park}, title = {Design of Stair-Climbing Electric Wheelchair With Tri-Spoke Wheel and Supporting Leg}, journal = {{IEEE} Access}, volume = {12}, pages = {31853--31861}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3371017}, doi = {10.1109/ACCESS.2024.3371017}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoKPSJKPAP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeonPJJ24, author = {Jueun Jeon and Sihyun Park and Byeonghui Jeong and Young{-}Sik Jeong}, title = {Efficient Container Scheduling With Hybrid Deep Learning Model for Improved Service Reliability in Cloud Computing}, journal = {{IEEE} Access}, volume = {12}, pages = {65166--65177}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3396652}, doi = {10.1109/ACCESS.2024.3396652}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeonPJJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeHLKLKHJHPLK24, author = {Jinhak Lee and Ho Bin Hwang and Seungjae Lee and Jayon Kim and Jeyeon Lee and Sanghag Kim and Jung Hee Ha and Yoojin Jang and Sejin Hwang and Hoon{-}Ki Park and Jongshill Lee and In Young Kim}, title = {Analysis of Acute Stress Reactivity and Recovery in Autonomic Nervous System Considering Individual Characteristics of Stress Using {HRV} and {EDA}}, journal = {{IEEE} Access}, volume = {12}, pages = {115400--115410}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3437671}, doi = {10.1109/ACCESS.2024.3437671}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeHLKLKHJHPLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkCJK24, author = {Hae{-}Chan Park and Myeong{-}Jun Cha and Seon{-}Ho Jeon and Rae{-}Young Kim}, title = {Design and Evaluation of High-Speed Overcurrent and Short-Circuit Detection Circuits With High Noise Margin for {WBG} Power Semiconductor Devices}, journal = {{IEEE} Access}, volume = {12}, pages = {7540--7550}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3351744}, doi = {10.1109/ACCESS.2024.3351744}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkCJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKOJ24, author = {Sangjun Park and Young{-}Joo Kim and Sangyoon Oh and Chanki Jeong}, title = {Robust Bare-Bone {CNN} Applying for Tactical Mobile Edge Devices}, journal = {{IEEE} Access}, volume = {12}, pages = {122671--122683}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3445911}, doi = {10.1109/ACCESS.2024.3445911}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKOJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkSKS24, author = {Sungjun Park and Jeongpil Shin and Younghwan Kim and Taewon Seo}, title = {{WAVES:} Soft-Material Based Adaptable Walking-Type Stair-Climbing Robot for Various Step Sizes}, journal = {{IEEE} Access}, volume = {12}, pages = {13100--13111}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3355955}, doi = {10.1109/ACCESS.2024.3355955}, timestamp = {Thu, 29 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ParkSKS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/HammLPKLLKJLLRBLJS24, author = {Jungmin Hamm and Seonghyeon Lim and Jiae Park and Jiwon Kang and Injun Lee and Yoongeun Lee and Jiseok Kang and Youngjun Jo and Jaejin Lee and Seoyeong Lee and Monica Cahyaning Ratri and Albertus Ivan Brilian and Seungyeon Lee and Seokhwan Jeong and Kwanwoo Shin}, title = {A Modular Robotic Platform for Biological Research: Cell Culture Automation and Remote Experimentation}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {5}, year = {2024}, url = {https://doi.org/10.1002/aisy.202470022}, doi = {10.1002/AISY.202470022}, timestamp = {Tue, 20 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/HammLPKLLKJLLRBLJS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/HammLPKLLKJLLRBLJS24a, author = {Jungmin Hamm and Seonghyeon Lim and Jiae Park and Jiwon Kang and Injun Lee and Yoongeun Lee and Jiseok Kang and Youngjun Jo and Jaejin Lee and Seoyeong Lee and Monica Cahyaning Ratri and Albertus Ivan Brilian and Seungyeon Lee and Seokhwan Jeong and Kwanwoo Shin}, title = {A Modular Robotic Platform for Biological Research: Cell Culture Automation and Remote Experimentation}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {5}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300566}, doi = {10.1002/AISY.202300566}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/HammLPKLLKJLLRBLJS24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KaradeKJKPCHGSDYKE24, author = {Vijay C. Karade and Saewoong Kim and Inyoung Jeong and Min Jae Ko and Joo Hyung Park and Jun{-}Sik Cho and Inchan Hwang and Jihye Gwak and Santosh S. Sutar and Tukaram D. Dongale and Jae Ho Yun and Kihwan Kim and Young{-}Joo Eo}, title = {Machine Learning Aided Optimization of {P1} Laser Scribing Process on Indium Tin Oxide Substrates}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {6}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300733}, doi = {10.1002/AISY.202300733}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/KaradeKJKPCHGSDYKE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/LeeKKKKLKP24, author = {Junho Lee and Jee Young Kwak and Kyobin Keum and Kang Sik Kim and Insoo Kim and Myung{-}Jae Lee and Yong{-}Hoon Kim and Sung Kyu Park}, title = {Recent Advances in Smart Tactile Sensory Systems with Brain-Inspired Neural Networks}, journal = {Adv. Intell. Syst.}, volume = {6}, number = {4}, year = {2024}, url = {https://doi.org/10.1002/aisy.202300631}, doi = {10.1002/AISY.202300631}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/LeeKKKKLKP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/JeongSSLLK24, author = {Seung{-}Min Jeong and Young{-}Do Song and Chae Lin Seok and Jun{-}Young Lee and Eui Chul Lee and Han{-}Joon Kim}, title = {Machine learning-based classification of Parkinson's disease using acoustic features: Insights from multilingual speech tasks}, journal = {Comput. Biol. Medicine}, volume = {182}, pages = {109078}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.109078}, doi = {10.1016/J.COMPBIOMED.2024.109078}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/JeongSSLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LeeSLBJCPCKYKCLYZSK24, author = {Jong Hyeon Lee and Jae Woo Shim and Min Hyuk Lim and Changhoon Baek and Byoungjun Jeon and Minwoo Cho and Sungwoo Park and Dong Hyun Choi and Byeong Soo Kim and Dan Yoon and Young Gyun Kim and Seung Yeon Cho and Kyung{-}Min Lee and Myoung{-}Souk Yeo and Hangman Zo and Sang Do Shin and Sungwan Kim}, title = {Towards optimal design of patient isolation units in emergency rooms to prevent airborne virus transmission: From computational fluid dynamics to data-driven modeling}, journal = {Comput. Biol. Medicine}, volume = {173}, pages = {108309}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108309}, doi = {10.1016/J.COMPBIOMED.2024.108309}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LeeSLBJCPCKYKCLYZSK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/AhnKCLKYKSP24, author = {Da{-}Vin Ahn and Kyeongdae Kim and Kyujeong Choi and Jin Woong Lee and Jeong{-}Gil Kim and Jihun Yu and Heung{-}Sub Kim and Jaho Seo and Young{-}Jun Park}, title = {Effect of clutch control to improve launch quality for a power shuttle tractor during launching}, journal = {Comput. Electron. Agric.}, volume = {224}, pages = {109235}, year = {2024}, url = {https://doi.org/10.1016/j.compag.2024.109235}, doi = {10.1016/J.COMPAG.2024.109235}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/AhnKCLKYKSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/ChoHJHCPSP24, author = {Min Woo Cho and Seok Hyeon Hwang and Jun{-}Young Jang and Sun{-}kwang Hwang and Kyoung Je Cha and Dong Yong Park and Kyungjun Song and Sang Min Park}, title = {Beyond the limits of parametric design: Latent space exploration strategy enabling ultra-broadband acoustic metamaterials}, journal = {Eng. Appl. Artif. Intell.}, volume = {133}, pages = {108595}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108595}, doi = {10.1016/J.ENGAPPAI.2024.108595}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/ChoHJHCPSP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/YooYPHJ24, author = {Youngjun Yoo and Gidong Yang and Kyunghee Park and Youngjoo Hyun and Seyun Jeong}, title = {Extendable machine tool wear monitoring process using image segmentation based deep learning model and automatic detection of depth of cut line}, journal = {Eng. Appl. Artif. Intell.}, volume = {135}, pages = {108570}, year = {2024}, url = {https://doi.org/10.1016/j.engappai.2024.108570}, doi = {10.1016/J.ENGAPPAI.2024.108570}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/YooYPHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimSYPCKLACC24, author = {Jonghyun Kim and Woosuk Sohng and Seung Jee Yang and Young{-}Gil Park and Kuhwan Chung and Kyeomryong Kim and Yeonguk Lee and Nari Ahn and Kyungjoon Cha and Hoeil Chung}, title = {Human decision-substitutable chemometric identification of pixel shrinkage in OLEDs using secondary ion mass spectrometry}, journal = {Expert Syst. Appl.}, volume = {238}, number = {Part {E}}, pages = {122207}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2023.122207}, doi = {10.1016/J.ESWA.2023.122207}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimSYPCKLACC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/MichelsPKW24, author = {Alexander C. Michels and Jinwoo Park and Jeon{-}Young Kang and Shaowen Wang}, title = {{SPASTC:} a Spatial Partitioning Algorithm for Scalable Travel-time Computation}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {38}, number = {5}, pages = {803--824}, year = {2024}, url = {https://doi.org/10.1080/13658816.2024.2326445}, doi = {10.1080/13658816.2024.2326445}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gis/MichelsPKW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24, author = {Gunhwan Ko and Jae Ho Lee and Young Mi Sim and Wangho Song and Byung{-}Ha Yoon and Iksu Byeon and Bang Hyuck Lee and Sangok Kim and Jinhyuk Choi and Insoo Jang and Hyerin Kim and Jin Ok Yang and Kiwon Jang and Sora Kim and Jong{-}Hwan Kim and Jongbum Jeon and Jaeeun Jung and Seungwoo Hwang and Ji{-}Hwan Park and Pan{-}Gyu Kim and Seon{-}Young Kim and Byungwook Lee}, title = {KoNA: Korean Nucleotide Archive as {A} New Data Repository for Nucleotide Sequence Data}, journal = {Genom. Proteom. Bioinform.}, volume = {22}, number = {1}, year = {2024}, url = {https://doi.org/10.1093/gpbjnl/qzae017}, doi = {10.1093/GPBJNL/QZAE017}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/KoLSSYBLKCJKYJKKJJHPKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/BaikKKLPP24, author = {Seung Min Baik and Hi Jeong Kwon and Yeongsic Kim and Jehoon Lee and Young Hoon Park and Dong Jin Park}, title = {Machine learning model for osteoporosis diagnosis based on bone turnover markers}, journal = {Health Informatics J.}, volume = {30}, number = {3}, year = {2024}, url = {https://doi.org/10.1177/14604582241270778}, doi = {10.1177/14604582241270778}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/BaikKKLPP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/ParkKK24, author = {Jeongeun Park and Minchae Kim and Ha Young Kim}, title = {Image Is All for Music Retrieval: Interactive Music Retrieval System Using Images with Mood and Theme Attributes}, journal = {Int. J. Hum. Comput. Interact.}, volume = {40}, number = {14}, pages = {3841--3855}, year = {2024}, url = {https://doi.org/10.1080/10447318.2023.2201557}, doi = {10.1080/10447318.2023.2201557}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/ParkKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/ParkKK24a, author = {Jeongeun Park and Hyunmin Kang and Ha Young Kim}, title = {Human, Do You Think This Painting is the Work of a Real Artist?}, journal = {Int. J. Hum. Comput. Interact.}, volume = {40}, number = {18}, pages = {5174--5191}, year = {2024}, url = {https://doi.org/10.1080/10447318.2023.2232978}, doi = {10.1080/10447318.2023.2232978}, timestamp = {Tue, 15 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/ParkKK24a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhci/ParkLK24, author = {Jeongeun Park and Kisu Lee and Ha Young Kim}, title = {Integrated Recognition Assistant Framework Based on Deep Learning for Autonomous Driving: Human-Like Restoring Damaged Road Sign Information}, journal = {Int. J. Hum. Comput. Interact.}, volume = {40}, number = {15}, pages = {3982--4002}, year = {2024}, url = {https://doi.org/10.1080/10447318.2023.2204274}, doi = {10.1080/10447318.2023.2204274}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijhci/ParkLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SonKLJP24, author = {Seunghwan Son and Deok Kyu Kwon and Sangwoo Lee and Yongsung Jeon and Youngho Park}, title = {A Robust Covert Channel With Self-Bit Recovery for {IEEE} 802.11 Networks}, journal = {{IEEE} Internet Things J.}, volume = {11}, number = {16}, pages = {27356--27368}, year = {2024}, url = {https://doi.org/10.1109/JIOT.2024.3398579}, doi = {10.1109/JIOT.2024.3398579}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/SonKLJP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiii/YessenbayevNJKKKPRC24, author = {Olzhas Yessenbayev and Dung Chi Duy Nguyen and Taeseok Jeong and Ki Joon Kang and Hee Reyoung Kim and Jonghyeon Ko and Jin{-}Young Park and Myung{-}Sub Roh and Marco Comuzzi}, title = {Combining blockchain and IoT for safe and transparent nuclear waste management: {A} prototype implementation}, journal = {J. Ind. Inf. Integr.}, volume = {39}, pages = {100596}, year = {2024}, url = {https://doi.org/10.1016/j.jii.2024.100596}, doi = {10.1016/J.JII.2024.100596}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiii/YessenbayevNJKKKPRC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungCRPLKJKYIYCCK24, author = {Jinook Jung and Jun{-}Han Choi and Kyoung{-}Jun Roh and Jaewoo Park and Won{-}Mook Lim and Tae{-}Sung Kim and Han{-}Ki Jeong and Myoungbo Kwak and Jaeyoun Youn and Jeong{-}Don Ihm and Changsik Yoo and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 4 ns Settling Time FVF-Based Fast {LDO} Using Bandwidth Extension Techniques for {HBM3}}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {10}, pages = {3307--3316}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3430990}, doi = {10.1109/JSSC.2024.3430990}, timestamp = {Mon, 07 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungCRPLKJKYIYCCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonWKJKSCKJJHKKEPB24, author = {Woohyun Kwon and Hyosup Won and Taeho Kim and Sejun Jeon and Soon{-}Won Kwon and Ha{-}Il Song and Hanho Choi and Bongjin Kim and Huxian Jin and Jun{-}Gi Jo and Woosang Han and Tai{-}Young Kim and Gain Kim and Jake Eu and Jinho Park and Hyeon{-}Min Bae}, title = {A 26-Gb/s Framed-Pulsewidth Modulation Transceiver for Extended Reach Optical Links}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {8}, pages = {2506--2517}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2024.3372434}, doi = {10.1109/JSSC.2024.3372434}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KwonWKJKSCKJJHKKEPB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCCLJSCJH24, author = {Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Jeeyoung Shin and Woong Choi and Junwon Jeong and Sung{-}Wan Hong}, title = {A Simultaneous Energy Transferring {SIBO} Converter Achieving Low Ripple and High Efficiency for {AMOLED} Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {59}, number = {5}, pages = {1497--1508}, year = {2024}, url = {https://doi.org/10.1109/JSSC.2023.3314834}, doi = {10.1109/JSSC.2023.3314834}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkCCLJSCJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimLSRKYKPJYCK24, author = {Young Gyun Kim and Jong Hyeon Lee and Jae Woo Shim and Wounsuk Rhee and Byeong Soo Kim and Dan Yoon and Min Jung Kim and Ji Won Park and Chang Wook Jeong and Han{-}Kwang Yang and Minwoo Cho and Sungwan Kim}, title = {A multimodal virtual vision platform as a next-generation vision system for a surgical robot}, journal = {Medical Biol. Eng. Comput.}, volume = {62}, number = {5}, pages = {1535--1548}, year = {2024}, url = {https://doi.org/10.1007/s11517-024-03030-1}, doi = {10.1007/S11517-024-03030-1}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimLSRKYKPJYCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/WooNPKLSKJPPJCJK24, author = {Dongyeon Woo and Gichang Noh and Eunpyo Park and Min Jee Kim and Dae Kyu Lee and Yong Woo Sung and Jaewook Kim and Yeonjoo Jeong and Jongkil Park and Seongsik Park and Hyun Jae Jang and Nakwon Choi and Yooyeon Jo and Joon Young Kwak}, title = {Implementation of two-step gradual reset scheme for enhancing state uniformity of 2D hBN-based memristors for image processing}, journal = {Neuromorph. Comput. Eng.}, volume = {4}, number = {3}, pages = {34001}, year = {2024}, url = {https://doi.org/10.1088/2634-4386/ad3a94}, doi = {10.1088/2634-4386/AD3A94}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuromorphic/WooNPKLSKJPPJCJK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pacmhci/JeonKPKRKH24, author = {Youngseung Jeon and Jaehoon Kim and Sohyun Park and Yun{-}Yong Ko and Seongeun Ryu and Sang{-}Wook Kim and Kyungsik Han}, title = {HearHere: Mitigating Echo Chambers in News Consumption through an AI-based Web System}, journal = {Proc. {ACM} Hum. Comput. Interact.}, volume = {8}, number = {{CSCW1}}, pages = {1--34}, year = {2024}, url = {https://doi.org/10.1145/3637340}, doi = {10.1145/3637340}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pacmhci/JeonKPKRKH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/JeonPE24, author = {Jong Ju Jeon and Jun Young Park and Il Kyu Eom}, title = {Low-light image enhancement using gamma correction prior in mixed color spaces}, journal = {Pattern Recognit.}, volume = {146}, pages = {110001}, year = {2024}, url = {https://doi.org/10.1016/j.patcog.2023.110001}, doi = {10.1016/J.PATCOG.2023.110001}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/pr/JeonPE24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/LeeHBJP24, author = {Kangbok Lee and Sumin Han and Hyeoncheol Baik and Yeasung Jeong and Young Woong Park}, title = {Observation weights matching approach for causal inference}, journal = {Pattern Recognit.}, volume = {154}, pages = {110549}, year = {2024}, url = {https://doi.org/10.1016/j.patcog.2024.110549}, doi = {10.1016/J.PATCOG.2024.110549}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/LeeHBJP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/ParkLLPCYC24, author = {Jeongeun Park and Seungwon Lim and Joonhyung Lee and Sangbeom Park and Minsuk Chang and Youngjae Yu and Sungjoon Choi}, title = {{CLARA:} Classifying and Disambiguating User Commands for Reliable Interactive Robotic Agents}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {9}, number = {2}, pages = {1059--1066}, year = {2024}, url = {https://doi.org/10.1109/LRA.2023.3338514}, doi = {10.1109/LRA.2023.3338514}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/ParkLLPCYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ram/MoonKEHKWKKGCYSHPMKKKLY24, author = {Heechan Moon and Shin Han Kang and Jeongsik Eom and Myun Joong Hwang and Youngmin Kim and Jungha Wang and Beomjun Kim and Taehyung Kim and Taekwon Ga and Jongeun Choi and Wonsang You and Jiyou Shin and Jongsoo Han and Kyeongbeen Park and Hyungpil Moon and Seok{-}Cheol Kee and Hak{-}Jin Kim and Yong{-}Hyun Kim and Kibeom Lee and Jaeseung Yu}, title = {Autonomous Robot Racing Competitions: Truly Multivehicle Autonomous Racing Competitions [Competitions]}, journal = {{IEEE} Robotics Autom. Mag.}, volume = {31}, number = {1}, pages = {123--132}, year = {2024}, url = {https://doi.org/10.1109/MRA.2024.3354524}, doi = {10.1109/MRA.2024.3354524}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ram/MoonKEHKWKKGCYSHPMKKKLY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/rcim/ParkSCSLYJKJY24, author = {Hoonmin Park and Minchul Shin and Gyubok Choi and Yuseop Sim and Jiho Lee and Huitaek Yun and Martin Byung{-}Guk Jun and Gyuman Kim and Younghun Jeong and Hak Yi}, title = {Integration of an exoskeleton robotic system into a digital twin for industrial manufacturing applications}, journal = {Robotics Comput. Integr. Manuf.}, volume = {89}, pages = {102746}, year = {2024}, url = {https://doi.org/10.1016/j.rcim.2024.102746}, doi = {10.1016/J.RCIM.2024.102746}, timestamp = {Mon, 10 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/rcim/ParkSCSLYJKJY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/LeeHKSPPPSLKBRKCS24, author = {Jae{-}Young Lee and Seongji Han and Munyu Kim and Yong{-}Sin Seo and Jongwoo Park and Dong Il Park and Chanhun Park and Hyunuk Seo and Joonho Lee and Hwi{-}Su Kim and Jeongae Bak and Hugo Rodrigue and Jin{-}Gyun Kim and Joono Cheong and Sung{-}Hyuk Song}, title = {Variable-stiffness-morphing wheel inspired by the surface tension of a liquid droplet}, journal = {Sci. Robotics}, volume = {9}, number = {93}, year = {2024}, url = {https://doi.org/10.1126/scirobotics.adl2067}, doi = {10.1126/SCIROBOTICS.ADL2067}, timestamp = {Sun, 08 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scirobotics/LeeHKSPPPSLKBRKCS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKYHN24, author = {Ha{-}Je Park and Yun{-}Su Koo and Hee{-}Yeong Yang and Young{-}Shin Han and Choon{-}Sung Nam}, title = {Study on Data Preprocessing for Machine Learning Based on Semiconductor Manufacturing Processes}, journal = {Sensors}, volume = {24}, number = {17}, pages = {5461}, year = {2024}, url = {https://doi.org/10.3390/s24175461}, doi = {10.3390/S24175461}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkKYHN24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/softx/LimPLYHYKJL24, author = {Min Young Lim and Seong Hee Park and Soo{-}Hyun Lee and Jung Won Yoon and Pyo Min Hong and Hwajung Yoo and Kon{-}Woo Kwon and Jongwook Jeong and Youn Kyu Lee}, title = {{VELCRO:} {A} visual-based programming tool for effortless deep learning model construction}, journal = {SoftwareX}, volume = {26}, pages = {101656}, year = {2024}, url = {https://doi.org/10.1016/j.softx.2024.101656}, doi = {10.1016/J.SOFTX.2024.101656}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/softx/LimPLYHYKJL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taes/JeonK0R24, author = {Ha{-}Min Jeon and Tae Young Kang and Jongho Park and Chang{-}Kyung Ryoo}, title = {High-Altitude Terminal Control of Anti-Air Missiles With a Terminal Booster and Front Lateral Impulse Thrusters}, journal = {{IEEE} Trans. Aerosp. Electron. Syst.}, volume = {60}, number = {2}, pages = {2071--2081}, year = {2024}, url = {https://doi.org/10.1109/TAES.2023.3348426}, doi = {10.1109/TAES.2023.3348426}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/taes/JeonK0R24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/ParkKYCL24, author = {Dain Park and Dongyun Kam and Sangbu Yun and Jeongwon Choe and Youngjoo Lee}, title = {Hard-Decision {SCL} Polar Decoder With Weighted Pruning Operation for Storage Applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {9}, pages = {4181--4185}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2024.3378204}, doi = {10.1109/TCSII.2024.3378204}, timestamp = {Thu, 03 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/ParkKYCL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/SeoLPPHKKRBS24, author = {Yong{-}Sin Seo and Jae{-}Young Lee and Chanhun Park and Jongwoo Park and Byung{-}Kil Han and Je{-}Sung Koh and Uikyum Kim and Hugo Rodrigue and Jeongae Bak and Sung{-}Hyuk Song}, title = {Highly Shape-Adaptable Honeycomb Gripper Using Orthotropic Surface Tension}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {71}, number = {3}, pages = {2662--2671}, year = {2024}, url = {https://doi.org/10.1109/TIE.2023.3265032}, doi = {10.1109/TIE.2023.3265032}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/SeoLPPHKKRBS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/trob/LeeKBCLPLP24, author = {Sudong Lee and Jae In Kim and Youngjoon Baek and Dongjune Chang and Jeongseob Lee and Young Soo Park and Dongjun Lee and Yong{-}Lae Park}, title = {Fiber-Optic Force Sensing of Modular Robotic Skin for Remote and Autonomous Robot Control}, journal = {{IEEE} Trans. Robotics}, volume = {40}, pages = {2373--2389}, year = {2024}, url = {https://doi.org/10.1109/TRO.2024.3378178}, doi = {10.1109/TRO.2024.3378178}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/trob/LeeKBCLPLP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvt/ParkYCHK24, author = {Jeong{-}Hoon Park and Young{-}Jun Yoon and Wanjei Cho and Doyoung Ham and Seong{-}Cheol Kim}, title = {Intercarrier Interference Mitigation for Communication Compatible {OFDM} Radar}, journal = {{IEEE} Trans. Veh. Technol.}, volume = {73}, number = {4}, pages = {5930--5934}, year = {2024}, url = {https://doi.org/10.1109/TVT.2023.3333377}, doi = {10.1109/TVT.2023.3333377}, timestamp = {Sat, 04 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvt/ParkYCHK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/ParkJKLKPK24, author = {Jongkil Park and YeonJoo Jeong and Jaewook Kim and Suyoun Lee and Joon Young Kwak and Jong{-}Keuk Park and Inho Kim}, title = {High-Density Digital Neuromorphic Processor with High-Precision Neural and Synaptic Dynamics and Temporal Acceleration}, booktitle = {6th {IEEE} International Conference on {AI} Circuits and Systems, {AICAS} 2024, Abu Dhabi, United Arab Emirates, April 22-25, 2024}, pages = {322--326}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/AICAS59952.2024.10595869}, doi = {10.1109/AICAS59952.2024.10595869}, timestamp = {Wed, 31 Jul 2024 16:24:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/ParkJKLKPK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/YuGPLLJ24, author = {Seong{-}Hyun Yu and Young{-}Min Go and Hyeong{-}Yeong Park and Seo{-}Jin Lee and Minji Lee and Ji{-}Hoon Jeong}, title = {Towards Motor Imagery Classification of Finger Tapping by Both Hands for Controlling a Finger-Arm Bionic Robot}, booktitle = {12th International Winter Conference on Brain-Computer Interface, {BCI} 2024, Gangwon, Korea, Republic of, February 26-28, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/BCI60775.2024.10480467}, doi = {10.1109/BCI60775.2024.10480467}, timestamp = {Wed, 10 Apr 2024 16:57:56 +0200}, biburl = {https://dblp.org/rec/conf/bci3/YuGPLLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/ParkMYRLKCC24, author = {JeongJun Park and Lusungu Josh Mwasinga and Huigyu Yang and Syed M. Raza and Duc Tai Le and Moonseong Kim and Min Young Chung and Hyunseung Choo}, title = {Regional Correlation Aided Mobile Traffic Prediction with Spatiotemporal Deep Learning}, booktitle = {21st {IEEE} Consumer Communications {\&} Networking Conference, {CCNC} 2024, Las Vegas, NV, USA, January 6-9, 2024}, pages = {566--569}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CCNC51664.2024.10454764}, doi = {10.1109/CCNC51664.2024.10454764}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/ParkMYRLKCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0002GCSRSVWZAAB24, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Antonette Shibani and Disha Shrivastava and Lila Shroff and Agnia Sergeyuk and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia Ha Rim Rho and Zejiang Shen and Pao Siangliulue}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1054:1--1054:35}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642697}, doi = {10.1145/3613904.3642697}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0002GCSRSVWZAAB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/0003SOK24, author = {Jeongeun Park and Hyorim Shin and Changhoon Oh and Ha Young Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {"Is Text-Based Music Search Enough to Satisfy Your Needs?" {A} New Way to Discover Music with Images}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {504:1--504:21}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642126}, doi = {10.1145/3613904.3642126}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/0003SOK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChaGWLNP24, author = {Yoon Jeong Cha and Yasemin Gunal and Alice Wou and Joyce M. Lee and Mark W. Newman and Sun Young Park}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Shared Responsibility in Collaborative Tracking for Children with Type 1 Diabetes and their Parents}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {137:1--137:20}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642344}, doi = {10.1145/3613904.3642344}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChaGWLNP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChoiHPCK24, author = {Daeun Choi and Sumin Hong and Jeongeon Park and John Joon Young Chung and Juho Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {CreativeConnect: Supporting Reference Recombination for Graphic Design Ideation with Generative {AI}}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {1055:1--1055:25}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642794}, doi = {10.1145/3613904.3642794}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChoiHPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JoJPEK24, author = {Eunkyung Jo and Yuin Jeong and SoHyun Park and Daniel A. Epstein and Young{-}Ho Kim}, editor = {Florian 'Floyd' Mueller and Penny Kyburz and Julie R. Williamson and Corina Sas and Max L. Wilson and Phoebe O. Toups Dugas and Irina Shklovski}, title = {Understanding the Impact of Long-Term Memory on Self-Disclosure with Large Language Model-Driven Chatbots for Public Health Intervention}, booktitle = {Proceedings of the {CHI} Conference on Human Factors in Computing Systems, {CHI} 2024, Honolulu, HI, USA, May 11-16, 2024}, pages = {440:1--440:21}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3613904.3642420}, doi = {10.1145/3613904.3642420}, timestamp = {Fri, 17 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/JoJPEK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KangKPJJ24, author = {Minyoung Kang and Sunghoon Kim and Youngmin Park and Sangsu Jeong and Dongsuk Jeon}, title = {A 28nm All-Digital Droop Detection and Mitigation Circuit Using a Shared Dual-Mode Delay Line with 14.8{\%} VminReduction and 42.9{\%} Throughput Gain}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10528973}, doi = {10.1109/CICC60959.2024.10528973}, timestamp = {Mon, 03 Jun 2024 20:37:04 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KangKPJJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimKKPACLLLW24, author = {Youngin Kim and Laurenz Kulmer and Killian Keller and Jeongsoo Park and Basem Abdelaziz Abdelmagid and Kyung{-}Sik Choi and Dongwon Lee and Yuqi Liu and Juerg Leuthold and Hua Wang}, title = {A Co-Integrated Optical Phased Array, Mach-Zehnder Modulator and Mm-Wave Driver for Free-Space Communication}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2024, Denver, CO, USA, April 21-24, 2024}, pages = {1--2}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CICC60959.2024.10529031}, doi = {10.1109/CICC60959.2024.10529031}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimKKPACLLLW24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/ChoiJPWLKKYPLLH24, author = {ChangSu Choi and Yongbin Jeong and Seoyoon Park and Inho Won and HyeonSeok Lim and Sangmin Kim and Yejee Kang and Chanhyuk Yoon and Jaewan Park and Yiseul Lee and Hyejin Lee and Younggyun Hahm and Hansaem Kim and Kyungtae Lim}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Optimizing Language Augmentation for Multilingual Large Language Models: {A} Case Study on Korean}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {12514--12526}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.1095}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/ChoiJPWLKKYPLLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/coling/JinSPKNL24, author = {Heegon Jin and Seonil Son and Jemin Park and Youngseok Kim and Hyungjong Noh and Yeonsoo Lee}, editor = {Nicoletta Calzolari and Min{-}Yen Kan and V{\'{e}}ronique Hoste and Alessandro Lenci and Sakriani Sakti and Nianwen Xue}, title = {Align-to-Distill: Trainable Attention Alignment for Knowledge Distillation in Neural Machine Translation}, booktitle = {Proceedings of the 2024 Joint International Conference on Computational Linguistics, Language Resources and Evaluation, {LREC/COLING} 2024, 20-25 May, 2024, Torino, Italy}, pages = {722--732}, publisher = {{ELRA} and {ICCL}}, year = {2024}, url = {https://aclanthology.org/2024.lrec-main.64}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/coling/JinSPKNL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BaePJ24, author = {Inhwan Bae and Young{-}Jae Park and Hae{-}Gon Jeon}, title = {SingularTrajectory: Universal Trajectory Predictor Using Diffusion Model}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2024, Seattle, WA, USA, June 16-22, 2024}, pages = {17890--17901}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/CVPR52733.2024.01694}, doi = {10.1109/CVPR52733.2024.01694}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/BaePJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dgo/ParkJS24, author = {Meeyoung Park and Younghwan Jeon and Wookjoon Sung}, editor = {Hsin{-}Chung Liao and David Duenas{-}Cid and Marie Anne Macadar and Flavia Bernardini}, title = {Intelligent City: Opportunities, Risks, and Policy Responses}, booktitle = {Proceedings of the 25th Annual International Conference on Digital Government Research, {DGO} 2024, Taipei, Taiwan, June 11-14, 2024}, pages = {981--984}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3657054.3657168}, doi = {10.1145/3657054.3657168}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dgo/ParkJS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkLRJP24, author = {Jaebeom Park and Seongmin Lee and Youngseo Ryu and Dasol Jeong and Joonki Paik}, title = {Enhancing Side-Scan Sonar Imaging: {A} Comparative Study of Deep Learning Super-Resolution Techniques}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2024, Taipei, Taiwan, January 28-31, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICEIC61013.2024.10457238}, doi = {10.1109/ICEIC61013.2024.10457238}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkLRJP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/JeonPSKJ24, author = {Young Eun Jeon and Hye Jun Park and Hye Min Suh and Soo Jung Kim and Eunji Jeon}, editor = {Constantine Stephanidis and Margherita Antona and Stavroula Ntoa and Gavriel Salvendy}, title = {Developing a Mobile Application Linking the Microsystems of Young Children with Delays or Disabilities: {A} Case Study and Guidelines}, booktitle = {{HCI} International 2024 Posters - 26th International Conference on Human-Computer Interaction, {HCII} 2024, Washington, DC, USA, June 29 - July 4, 2024, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {2115}, pages = {49--56}, publisher = {Springer}, year = {2024}, url = {https://doi.org/10.1007/978-3-031-61947-2\_6}, doi = {10.1007/978-3-031-61947-2\_6}, timestamp = {Sun, 04 Aug 2024 19:37:09 +0200}, biburl = {https://dblp.org/rec/conf/hci/JeonPSKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HongCPYGK24, author = {Jeongmin Hong and Sungjun Cho and Geonwoo Park and Wonhyuk Yang and Young{-}Ho Gong and Gwangsun Kim}, title = {Bandwidth-Effective {DRAM} Cache for {GPU} s with Storage-Class Memory}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {139--155}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00021}, doi = {10.1109/HPCA57654.2024.00021}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/HongCPYGK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/ParkKSJLWKLKKKLCTCSAK24, author = {Sangsoo Park and KyungSoo Kim and Jinin So and Jin Jung and Jonggeon Lee and Kyoungwan Woo and Nayeon Kim and Younghyun Lee and Hyungyo Kim and Yongsuk Kwon and Jinhyun Kim and Jieun Lee and YeonGon Cho and Yongmin Tai and Jeonghyeon Cho and Hoyoung Song and Jung Ho Ahn and Nam Sung Kim}, title = {An LPDDR-based {CXL-PNM} Platform for TCO-efficient Inference of Transformer-based Large Language Models}, booktitle = {{IEEE} International Symposium on High-Performance Computer Architecture, {HPCA} 2024, Edinburgh, United Kingdom, March 2-6, 2024}, pages = {970--982}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/HPCA57654.2024.00078}, doi = {10.1109/HPCA57654.2024.00078}, timestamp = {Wed, 01 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/ParkKSJLWKLKKKLCTCSAK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/ParkJLLJJYYYJJO24, author = {Hoon{-}Min Park and Hyun{-}Min Jung and Dae{-}Hee Lee and Tae{-}Yeung Lim and Myung{-}Ki Jang and Chi{-}Young Jang and Guk{-}Gyo Youm and Keun{-}Yong Youn and Dal{-}Hwan Yoon and Min{-}Ki Jung and Min{-}Su Jeon and Hwi{-}Chan Oh and Chan{-}Hyouk Jeon and Jong{-}Geun Kim and Hyun{-}Ah Son}, title = {Implementation of IoT-Based Control System for Maintenance Operation of Long-Distance Air Pollution Prevention Device {RTO}}, booktitle = {26th International Conference on Advanced Communications Technology, {ICACT} 2024, Pyeong Chang, Republic of Korea, February 4-7, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.23919/ICACT60172.2024.10471954}, doi = {10.23919/ICACT60172.2024.10471954}, timestamp = {Wed, 10 Apr 2024 17:19:24 +0200}, biburl = {https://dblp.org/rec/conf/icact/ParkJLLJJYYYJJO24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ParkPKLKKKKLL24, author = {Gunho Park and Baeseong Park and Minsub Kim and Sungjae Lee and Jeonghoon Kim and Beomseok Kwon and Se Jung Kwon and Byeongwook Kim and Youngjoo Lee and Dongsoo Lee}, title = {{LUT-GEMM:} Quantized Matrix Multiplication based on LUTs for Efficient Inference in Large-Scale Generative Language Models}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=gLARhFLE0F}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ParkPKLKKKKLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/ParkSKKCCRSJC24, author = {Young{-}Jae Park and Minseok Seo and Doyi Kim and Hyeri Kim and Sanghoon Choi and Beomkyu Choi and Jeongwon Ryu and Sohee Son and Hae{-}Gon Jeon and Yeji Choi}, title = {Long-Term Typhoon Trajectory Prediction: {A} Physics-Conditioned Approach Without Reanalysis Data}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=ziDFH8TPPK}, timestamp = {Mon, 29 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/ParkSKKCCRSJC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/Yu0CLKCWKLYYP24, author = {Youn{-}Yeol Yu and Jeongwhan Choi and Woojin Cho and Kookjin Lee and Nayong Kim and Kiseok Chang and ChangSeung Woo and Ilho Kim and SeokWoo Lee and Joon{-}Young Yang and Sooyoung Yoon and Noseong Park}, title = {Learning Flexible Body Collision Dynamics with Hierarchical Contact Mesh Transformer}, booktitle = {The Twelfth International Conference on Learning Representations, {ICLR} 2024, Vienna, Austria, May 7-11, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=90yw2uM6J5}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/Yu0CLKCWKLYYP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/YuGGMZHPDDH24, author = {Tao Yu and Gaurav Gupta and Karthick Gopalswamy and Amith R. Mamidala and Hao Zhou and Jeffrey Huynh and Youngsuk Park and Ron Diamant and Anoop Deoras and Luke Huan}, title = {Collage: Light-Weight Low-Precision Strategy for {LLM} Training}, booktitle = {Forty-first International Conference on Machine Learning, {ICML} 2024, Vienna, Austria, July 21-27, 2024}, publisher = {OpenReview.net}, year = {2024}, url = {https://openreview.net/forum?id=LkJ6qOMv77}, timestamp = {Mon, 02 Sep 2024 16:45:29 +0200}, biburl = {https://dblp.org/rec/conf/icml/YuGGMZHPDDH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpp/JeongC0PHKK024, author = {Shinnung Jeong and Sungjun Cho and Yongwoo Lee and Hyunjun Park and Seonyeong Heo and Gwangsun Kim and Youngsok Kim and Hanjun Kim}, title = {{CR2:} Community-aware Compressed Regular Representation for Graph Processing on a {GPU}}, booktitle = {Proceedings of the 53rd International Conference on Parallel Processing, {ICPP} 2024, Gotland, Sweden, August 12-15, 2024}, pages = {544--554}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3673038.3673056}, doi = {10.1145/3673038.3673056}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpp/JeongC0PHKK024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ONeillRMGPLPGMJ24, author = {Abby O'Neill and Abdul Rehman and Abhiram Maddukuri and Abhishek Gupta and Abhishek Padalkar and Abraham Lee and Acorn Pooley and Agrim Gupta and Ajay Mandlekar and Ajinkya Jain and Albert Tung and Alex Bewley and Alexander Herzog and Alex Irpan and Alexander Khazatsky and Anant Rai and Anchit Gupta and Andrew Wang and Anikait Singh and Animesh Garg and Aniruddha Kembhavi and Annie Xie and Anthony Brohan and Antonin Raffin and Archit Sharma and Arefeh Yavary and Arhan Jain and Ashwin Balakrishna and Ayzaan Wahid and Ben Burgess{-}Limerick and Beomjoon Kim and Bernhard Sch{\"{o}}lkopf and Blake Wulfe and Brian Ichter and Cewu Lu and Charles Xu and Charlotte Le and Chelsea Finn and Chen Wang and Chenfeng Xu and Cheng Chi and Chenguang Huang and Christine Chan and Christopher Agia and Chuer Pan and Chuyuan Fu and Coline Devin and Danfei Xu and Daniel Morton and Danny Driess and Daphne Chen and Deepak Pathak and Dhruv Shah and Dieter B{\"{u}}chler and Dinesh Jayaraman and Dmitry Kalashnikov and Dorsa Sadigh and Edward Johns and Ethan Paul Foster and Fangchen Liu and Federico Ceola and Fei Xia and Feiyu Zhao and Freek Stulp and Gaoyue Zhou and Gaurav S. Sukhatme and Gautam Salhotra and Ge Yan and Gilbert Feng and Giulio Schiavi and Glen Berseth and Gregory Kahn and Guanzhi Wang and Hao Su and Haoshu Fang and Haochen Shi and Henghui Bao and Heni Ben Amor and Henrik I. Christensen and Hiroki Furuta and Homer Walke and Hongjie Fang and Huy Ha and Igor Mordatch and Ilija Radosavovic and Isabel Leal and Jacky Liang and Jad Abou{-}Chakra and Jaehyung Kim and Jaimyn Drake and Jan Peters and Jan Schneider and Jasmine Hsu and Jeannette Bohg and Jeffrey Bingham and Jeffrey Wu and Jensen Gao and Jiaheng Hu and Jiajun Wu and Jialin Wu and Jiankai Sun and Jianlan Luo and Jiayuan Gu and Jie Tan and Jihoon Oh and Jimmy Wu and Jingpei Lu and Jingyun Yang and Jitendra Malik and Jo{\~{a}}o Silv{\'{e}}rio and Joey Hejna and Jonathan Booher and Jonathan Tompson and Jonathan Yang and Jordi Salvador and Joseph J. Lim and Junhyek Han and Kaiyuan Wang and Kanishka Rao and Karl Pertsch and Karol Hausman and Keegan Go and Keerthana Gopalakrishnan and Ken Goldberg and Kendra Byrne and Kenneth Oslund and Kento Kawaharazuka and Kevin Black and Kevin Lin and Kevin Zhang and Kiana Ehsani and Kiran Lekkala and Kirsty Ellis and Krishan Rana and Krishnan Srinivasan and Kuan Fang and Kunal Pratap Singh and Kuo{-}Hao Zeng and Kyle Hatch and Kyle Hsu and Laurent Itti and Lawrence Yunliang Chen and Lerrel Pinto and Li Fei{-}Fei and Liam Tan and Linxi Jim Fan and Lionel Ott and Lisa Lee and Luca Weihs and Magnum Chen and Marion Lepert and Marius Memmel and Masayoshi Tomizuka and Masha Itkina and Mateo Guaman Castro and Max Spero and Maximilian Du and Michael Ahn and Michael C. Yip and Mingtong Zhang and Mingyu Ding and Minho Heo and Mohan Kumar Srirama and Mohit Sharma and Moo Jin Kim and Naoaki Kanazawa and Nicklas Hansen and Nicolas Heess and Nikhil J. Joshi and Niko S{\"{u}}nderhauf and Ning Liu and Norman Di Palo and Nur Muhammad (Mahi) Shafiullah and Oier Mees and Oliver Kroemer and Osbert Bastani and Pannag R. Sanketi and Patrick Tree Miller and Patrick Yin and Paul Wohlhart and Peng Xu and Peter David Fagan and Peter Mitrano and Pierre Sermanet and Pieter Abbeel and Priya Sundaresan and Qiuyu Chen and Quan Vuong and Rafael Rafailov and Ran Tian and Ria Doshi and Roberto Mart{\'{\i}}n{-}Mart{\'{\i}}n and Rohan Baijal and Rosario Scalise and Rose Hendrix and Roy Lin and Runjia Qian and Ruohan Zhang and Russell Mendonca and Rutav Shah and Ryan Hoque and Ryan Julian and Samuel Bustamante and Sean Kirmani and Sergey Levine and Shan Lin and Sherry Moore and Shikhar Bahl and Shivin Dass and Shubham D. Sonawani and Shuran Song and Sichun Xu and Siddhant Haldar and Siddharth Karamcheti and Simeon Adebola and Simon Guist and Soroush Nasiriany and Stefan Schaal and Stefan Welker and Stephen Tian and Subramanian Ramamoorthy and Sudeep Dasari and Suneel Belkhale and Sungjae Park and Suraj Nair and Suvir Mirchandani and Takayuki Osa and Tanmay Gupta and Tatsuya Harada and Tatsuya Matsushima and Ted Xiao and Thomas Kollar and Tianhe Yu and Tianli Ding and Todor Davchev and Tony Z. Zhao and Travis Armstrong and Trevor Darrell and Trinity Chung and Vidhi Jain and Vincent Vanhoucke and Wei Zhan and Wenxuan Zhou and Wolfram Burgard and Xi Chen and Xiaolong Wang and Xinghao Zhu and Xinyang Geng and Xiyuan Liu and Liangwei Xu and Xuanlin Li and Yao Lu and Yecheng Jason Ma and Yejin Kim and Yevgen Chebotar and Yifan Zhou and Yifeng Zhu and Yilin Wu and Ying Xu and Yixuan Wang and Yonatan Bisk and Yoonyoung Cho and Youngwoon Lee and Yuchen Cui and Yue Cao and Yueh{-}Hua Wu and Yujin Tang and Yuke Zhu and Yunchu Zhang and Yunfan Jiang and Yunshuang Li and Yunzhu Li and Yusuke Iwasawa and Yutaka Matsuo and Zehan Ma and Zhuo Xu and Zichen Jeff Cui and Zichen Zhang and Zipeng Lin}, title = {Open X-Embodiment: Robotic Learning Datasets and {RT-X} Models : Open X-Embodiment Collaboration}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2024, Yokohama, Japan, May 13-17, 2024}, pages = {6892--6903}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICRA57147.2024.10611477}, doi = {10.1109/ICRA57147.2024.10611477}, timestamp = {Mon, 14 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ONeillRMGPLPGMJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/KwonKPSLLAKREKJCCKLYC24, author = {Youngjae Kwon and Won{-}Tae Koo and Sangsu Park and Dong Ik Suh and Gunhee Lee and Hyung Dong Lee and Youngbae Ahn and Dohee Kim and Seungwook Ryu and Hoseok Em and Seokjoon Kang and Chang Won Jeong and Junho Cheon and Hyejung Choi and Soo Gil Kim and Seho Lee and Jaeyun Yi and Seonyong Cha}, title = {Improvement of {MAC} Accuracy using Oxygen Diffusion Barriers in Resistive Synaptic Cell Arrays}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2024, Seoul, Republic of Korea, May 12-15, 2024}, pages = {1--4}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IMW59701.2024.10536974}, doi = {10.1109/IMW59701.2024.10536974}, timestamp = {Mon, 10 Jun 2024 16:21:17 +0200}, biburl = {https://dblp.org/rec/conf/imw2/KwonKPSLLAKREKJCCKLYC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/ChoKKASOKKPJKHLLLK24, author = {Hwanbeom Cho and Kyeongeun Kim and Minkyoung Kim and Chinam An and Noeul Sim and Youngseok Oh and Minseung Kim and Gyumyeong Kim and Jinkyung Park and Jin Jeon and Minjin Kim and Eunae Heo and Youngju Lim and Moonhyun Lee and Sanghee Lee and Minji Kim}, title = {The Enhancement Mechanisms of SiOx Hardness via Manipulation of Oxygen Content}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2024, Grapevine, TX, USA, April 14-18, 2024}, pages = {10}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/IRPS48228.2024.10529456}, doi = {10.1109/IRPS48228.2024.10529456}, timestamp = {Wed, 29 May 2024 21:52:31 +0200}, biburl = {https://dblp.org/rec/conf/irps/ChoKKASOKKPJKHLLLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/KimJPKY24, author = {JongWoo Kim and Min Kyu Jeong and HyeongMin Park and Young Sin Ko and Mun Yi}, title = {Leveraging Spatial Relationships in Microscopic Images for Patient Cancer Diagnosis}, booktitle = {{IEEE} International Symposium on Biomedical Imaging, {ISBI} 2024, Athens, Greece, May 27-30, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISBI56570.2024.10635826}, doi = {10.1109/ISBI56570.2024.10635826}, timestamp = {Fri, 06 Sep 2024 21:02:06 +0200}, biburl = {https://dblp.org/rec/conf/isbi/KimJPKY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/NohHLPKKKL24, author = {Si Ung Noh and Junguk Hong and Chaemin Lim and Seongyeon Park and Jeehyun Kim and Hanjun Kim and Youngsok Kim and Jinho Lee}, title = {PID-Comm: {A} Fast and Flexible Collective Communication Framework for Commodity Processing-in-DIMM Devices}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {245--260}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00027}, doi = {10.1109/ISCA59077.2024.00027}, timestamp = {Sun, 18 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isca/NohHLPKKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/Cheon0CCSCHJ24, author = {Song{-}I Cheon and Seonghyun Park and Haidam Choi and Yebin Choi and Minho Seok and Young{-}Ho Cho and Sohmyung Ha and Minkyu Je}, title = {Ultrahigh-G Accelerometer Readout {IC} with Adaptive Gain Path for Shock Resilience}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2024, Singapore, May 19-22, 2024}, pages = {1--5}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCAS58744.2024.10558652}, doi = {10.1109/ISCAS58744.2024.10558652}, timestamp = {Tue, 16 Jul 2024 11:51:22 +0200}, biburl = {https://dblp.org/rec/conf/iscas/Cheon0CCSCHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24, author = {IkJoon Choi and Seunghwan Hong and Kihyun Kim and Jeongsik Hwang and Seunghan Woo and Young{-}Sang Kim and Cheongryong Cho and Eun{-}Young Lee and Hun{-}Jae Lee and Min{-}Su Jung and Hee{-}Yun Jung and Ju{-}Seong Hwang and Junsub Yoon and Wonmook Lim and Hyeong{-}Jin Yoo and Won{-}Ki Lee and Jung{-}Kyun Oh and Dong{-}Su Lee and Jong{-}Eun Lee and Jun{-}Hyung Kim and Young{-}Kwan Kim and Su{-}Jin Park and Byung{-}Kyu Ho and Byongwook Na and Hye{-}In Choi and Chung{-}Ki Lee and Soo{-}Jung Lee and Hyunsung Shin and Young{-}Kyu Lee and Jang{-}Woo Ryu and Sangwoong Shin and Sungchul Park and Daihyun Lim and Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and SangJoon Hwang}, title = {13.2 {A} 32Gb 8.0Gb/s/pin {DDR5} {SDRAM} with a Symmetric-Mosaic Architecture in a 5\({}^{\mbox{th}}\)-Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {234--236}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454327}, doi = {10.1109/ISSCC49657.2024.10454327}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiHKHWKCLLJJHYLYLOLLKKPHNCLLSLRSPLB24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiLJPKWHJH24, author = {Hyeon{-}Ji Choi and Chan{-}Ho Lee and Young{-}Jun Jeon and Hyeonho Park and Jeong{-}Hun Kim and Young{-}Jin Woo and Ju{-}Pyo Hong and Haifeng Jin and Sung{-}Wan Hong}, title = {8.7 {A} 92.7{\%} Peak Efficiency 12V-to-60V Input to 1.2V Output Hybrid {DC-DC} Converter Based on a Series-Parallel-Connected Switched Capacitor}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {156--158}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454344}, doi = {10.1109/ISSCC49657.2024.10454344}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiLJPKWHJH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24, author = {Wontaeck Jung and Hyunggon Kim and Do{-}Bin Kim and Tae{-}Hyun Kim and Nam{-}Hee Lee and Dongjin Shin and Minyoung Kim and Youngsik Rho and Hun{-}Jong Lee and Yujin Hyun and Jaeyoung Park and Taekyung Kim and Hwiwon Kim and Gyeongwon Lee and Jisang Lee and Joonsuc Jang and Jungmin Park and Sion Kim and Su Chang Jeon and Suyong Kim and Jung{-}Ho Song and Min{-}Seok Kim and Taesung Lee and Byung{-}Kwan Chun and Tongsung Kim and Young Gyu Lee and Hokil Lee and Soowoong Lee and Hwaseok Lee and Dooho Cho and Sangwan Nam and Yeomyung Kim and Kunyong Yoon and Yoonjae Lee and Sunghoon Kim and Jungseok Hwang and Raehyun Song and Hyunsik Jang and Jae{-}Ick Son and Hongsoo Jeon and Myunghun Lee and Mookyung Lee and Kisung Kim and Eungsuk Lee and Myeong{-}Woo Lee and Sungkyu Jo and Chan Ho Kim and Jong Chul Park and Kyunghwa Yun and Soonock Seol and Ji{-}Ho Cho and Seungjae Lee and Jin{-}Yub Lee and Sunghoi Hur}, title = {13.3 {A} 280-Layer 1Tb 4b/cell 3D-NAND Flash Memory with a 28.5Gb/mm2 Areal Density and a 3.2GB/s High-Speed {IO} Rate}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {236--237}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454343}, doi = {10.1109/ISSCC49657.2024.10454343}, timestamp = {Thu, 25 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/JungKKKLSKRLHPKKLLJPKJKSKLCKLLLLCNKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSCPHKJKKLK24, author = {Ik{-}Hwan Kim and Jeong{-}Il Seo and Young{-}Hwan Choo and Seungchan Park and Jae{-}Yeol Han and Woosik Kim and Sung{-}Youb Jung and Taehyuk Ko and Dongsu Kim and Jongwoo Lee and Sungung Kwak}, title = {31.3 {A} 950ns 0.5-to-5.5V 5G {NR} {RF} {PA} Supply Modulator with Floating Capacitor Control for Symbol Power Tracking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {500--502}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454517}, doi = {10.1109/ISSCC49657.2024.10454517}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSCPHKJKKLK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24, author = {Jinhyung Lee and Kyungjun Cho and Chang Kwon Lee and Yeonho Lee and Jae{-}Hyung Park and Su{-}Hyun Oh and Yucheon Ju and Chunseok Jeong and Ho Sung Cho and Jaeseung Lee and Tae{-}Sik Yun and Jin Hee Cho and Sangmuk Oh and Junil Moon and Young{-}Jun Park and Hong{-}Seok Choi and In{-}Keun Kim and Seung Min Yang and Sun{-}Yeol Kim and Jaemin Jang and Jinwook Kim and Seong{-}Hee Lee and Younghyun Jeon and Juhyung Park and Tae{-}Kyun Kim and Dongyoon Ka and Sanghoon Oh and Jinse Kim and Junyeol Jeon and Seonhong Kim and Kyeong Tae Kim and Taeho Kim and Hyeonjin Yang and Dongju Yang and Minseop Lee and Heewoong Song and Dongwook Jang and Junghyun Shin and Hyunsik Kim and Chang{-}Ki Baek and Hajun Jeong and Jongchan Yoon and Seung{-}Kyun Lim and Kyo Yun Lee and Young Jun Koo and Myeong{-}Jae Park and Joohwan Cho and Jonghwan Kim}, title = {13.4 {A} 48GB 16-High 1280GB/s {HBM3E} {DRAM} with All-Around Power {TSV} and a 6-Phase {RDQS} Scheme for {TSV} Area Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {238--240}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454440}, doi = {10.1109/ISSCC49657.2024.10454440}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCLLPOJJCLYCOMPCKYKJKLJPKKOKJKKKYYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24, author = {Hansik Oh and Seungwon Park and Jooseok Lee and Seungjae Baek and Joonho Jung and Taewan Kim and Jinhyun Kim and Woojae Lee and Jae{-}Hong Park and Kihyun Kim and Dong{-}Hyun Lee and Sangho Lee and Jeong Ho Lee and Ji Hoon Kim and Younghwan Kim and Sangyong Park and Bohee Suh and Soyoung Oh and Dongsoo Lee and Sehyug Jeon and Juho Son and Sung{-}Gi Yang}, title = {32.2 {A} 24.25-to-29.5GHz Extremely Compact Doherty Power Amplifier with Differential-Breaking Phase Offset Achieving 23.7{\%} PAEavg for 5G Base-Station Transceivers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {522--524}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454406}, doi = {10.1109/ISSCC49657.2024.10454406}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhPLBJKKLPKLLLKKPSOLJSY24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCLOJYLH24, author = {Hyo{-}Jin Park and Joo{-}Mi Cho and Chan{-}Ho Lee and Young{-}Ju Oh and Hyunwoo Jeong and Jun{-}Hyeok Yang and Jaeseung Lee and Sung{-}Wan Hong}, title = {8.8 {A} 97.18{\%} Peak-Efficiency Asymmetrically Implemented Dual-phase {(AID)} Converter with a full Voltage-Conversion Ratio {(VCR)} between 0-and-1}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454524}, doi = {10.1109/ISSCC49657.2024.10454524}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCLOJYLH24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeongOLBSLKKKMLKLPYRS24, author = {Kihwan Seong and Wooseuk Oh and Hyunwoo Lee and Gyeom{-}Je Bae and Youngseob Suh and Hyemun Lee and Juyoung Kim and Eunsu Kim and Yeongeon Kang and Gunhu Mo and Youjin Lee and Mingyeong Kim and Seongno Lee and Donguk Park and Byoung{-}Joo Yoo and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {13.10 {A} 4nm 48Gb/s/wire Single-Ended {NRZ} Parallel Transceiver with Offset-Calibration and Equalization Schemes for Next-Generation Memory Interfaces and Chiplets}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {250--252}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454481}, doi = {10.1109/ISSCC49657.2024.10454481}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeongOLBSLKKKMLKLPYRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24, author = {Jaehyeok Yang and Hyeongjun Ko and Kyunghoon Kim and Hyunsu Park and Jihwan Park and Ji{-}Hyo Kang and Jin{-}Youp Cha and Seongjin Kim and Youngtaek Kim and Minsoo Park and Gangsik Lee and Keonho Lee and Sanghoon Lee and Gyunam Jeon and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Seonwoo Hwang and Boram Kim and Sang{-}Yeon Byeon and Sungkwon Lee and Hyeonyeol Park and Joohwan Cho and Jonghwan Kim}, title = {13.1 {A} 35.4Gb/s/pin 16Gb {GDDR7} with a Low-Power Clocking Architecture and {PAM3} {IO} Circuitry}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {232--234}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454560}, doi = {10.1109/ISSCC49657.2024.10454560}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/YangKKPPKCKKPLLLJJJCHKBLPCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iui/ParkKPYK24, author = {Jeongeon Park and Eun{-}Young Ko and Yeon Su Park and Jinyeong Yim and Juho Kim}, title = {DynamicLabels: Supporting Informed Construction of Machine Learning Label Sets with Crowd Feedback}, booktitle = {Proceedings of the 29th International Conference on Intelligent User Interfaces, {IUI} 2024, Greenville, SC, USA, March 18-21, 2024}, pages = {209--228}, publisher = {{ACM}}, year = {2024}, url = {https://doi.org/10.1145/3640543.3645157}, doi = {10.1145/3640543.3645157}, timestamp = {Mon, 15 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iui/ParkKPYK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/LeePBSBSAP24, author = {Jong{-}Moo Lee and Jiho Park and Jeongho Bang and Young{-}Ik Sohn and Alessio Baldazzi and Matteo Sanna and Stefano Azzini and Lorenzo Pavesi}, title = {Highly pure 4-qubit states fully integrated in a programmable silicon-photonic chip}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2024, San Diego, CA, USA, March 24-28, 2024}, pages = {1--3}, publisher = {{IEEE}}, year = {2024}, url = {https://ieeexplore.ieee.org/document/10526995}, timestamp = {Thu, 06 Jun 2024 22:22:55 +0200}, biburl = {https://dblp.org/rec/conf/ofc/LeePBSBSAP24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15726, author = {Young{-}Jae Park and Minseok Seo and Doyi Kim and Hyeri Kim and Sanghoon Choi and Beomkyu Choi and Jeongwon Ryu and Sohee Son and Hae{-}Gon Jeon and Yeji Choi}, title = {Long-Term Typhoon Trajectory Prediction: {A} Physics-Conditioned Approach Without Reanalysis Data}, journal = {CoRR}, volume = {abs/2401.15726}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15726}, doi = {10.48550/ARXIV.2401.15726}, eprinttype = {arXiv}, eprint = {2401.15726}, timestamp = {Tue, 06 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15726.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-04718, author = {Soobin Jeon and Hancheol Cho and Sang{-}Young Park}, title = {Adaptive Smooth Control via Nonsingular Fast Terminal Sliding Mode for Distributed Space Telescope Demonstration Mission by CubeSat Formation Flying}, journal = {CoRR}, volume = {abs/2402.04718}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.04718}, doi = {10.48550/ARXIV.2402.04718}, eprinttype = {arXiv}, eprint = {2402.04718}, timestamp = {Wed, 14 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-04718.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-08958, author = {Junhan Kim and Kyungphil Park and Chungman Lee and Ho{-}Young Kim and Joonyoung Kim and Yongkweon Jeon}, title = {Towards Next-Level Post-Training Quantization of Hyper-Scale Transformers}, journal = {CoRR}, volume = {abs/2402.08958}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.08958}, doi = {10.48550/ARXIV.2402.08958}, eprinttype = {arXiv}, eprint = {2402.08958}, timestamp = {Mon, 19 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-08958.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-11353, author = {Eunkyung Jo and Yuin Jeong and SoHyun Park and Daniel A. Epstein and Young{-}Ho Kim}, title = {Understanding the Impact of Long-Term Memory on Self-Disclosure with Large Language Model-Driven Chatbots for Public Health Intervention}, journal = {CoRR}, volume = {abs/2402.11353}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.11353}, doi = {10.48550/ARXIV.2402.11353}, eprinttype = {arXiv}, eprint = {2402.11353}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-11353.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-14160, author = {Wonseok Jeon and Mukul Gagrani and Raghavv Goel and Junyoung Park and Mingu Lee and Christopher Lott}, title = {Recursive Speculative Decoding: Accelerating {LLM} Inference via Sampling Without Replacement}, journal = {CoRR}, volume = {abs/2402.14160}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.14160}, doi = {10.48550/ARXIV.2402.14160}, eprinttype = {arXiv}, eprint = {2402.14160}, timestamp = {Fri, 22 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-14160.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-18222, author = {Youngseung Jeon and Jaehoon Kim and Sohyun Park and Yun{-}Yong Ko and Seongeun Ryu and Sang{-}Wook Kim and Kyungsik Han}, title = {HearHere: Mitigating Echo Chambers in News Consumption through an AI-based Web System}, journal = {CoRR}, volume = {abs/2402.18222}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.18222}, doi = {10.48550/ARXIV.2402.18222}, eprinttype = {arXiv}, eprint = {2402.18222}, timestamp = {Tue, 26 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-18222.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-00858, author = {Raghavv Goel and Mukul Gagrani and Wonseok Jeon and Junyoung Park and Mingu Lee and Christopher Lott}, title = {Direct Alignment of Draft Model for Speculative Decoding with Chat-Fine-Tuned LLMs}, journal = {CoRR}, volume = {abs/2403.00858}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.00858}, doi = {10.48550/ARXIV.2403.00858}, eprinttype = {arXiv}, eprint = {2403.00858}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-00858.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-01479, author = {Heegon Jin and Seonil Son and Jemin Park and Youngseok Kim and Hyungjong Noh and Yeonsoo Lee}, title = {Align-to-Distill: Trainable Attention Alignment for Knowledge Distillation in Neural Machine Translation}, journal = {CoRR}, volume = {abs/2403.01479}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.01479}, doi = {10.48550/ARXIV.2403.01479}, eprinttype = {arXiv}, eprint = {2403.01479}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-01479.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-03526, author = {Young{-}Min Go and Seong{-}Hyun Yu and Hyeong{-}Yeong Park and Minji Lee and Ji{-}Hoon Jeong}, title = {FingerNet: {EEG} Decoding of {A} Fine Motor Imagery with Finger-tapping Task Based on {A} Deep Neural Network}, journal = {CoRR}, volume = {abs/2403.03526}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.03526}, doi = {10.48550/ARXIV.2403.03526}, eprinttype = {arXiv}, eprint = {2403.03526}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-03526.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-09358, author = {Jeongmin Hong and Sungjun Cho and Geonwoo Park and Wonhyuk Yang and Young{-}Ho Gong and Gwangsun Kim}, title = {Bandwidth-Effective {DRAM} Cache for GPUs with Storage-Class Memory}, journal = {CoRR}, volume = {abs/2403.09358}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.09358}, doi = {10.48550/ARXIV.2403.09358}, eprinttype = {arXiv}, eprint = {2403.09358}, timestamp = {Fri, 05 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-09358.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-10882, author = {ChangSu Choi and Yongbin Jeong and Seoyoon Park and Inho Won and HyeonSeok Lim and Sangmin Kim and Yejee Kang and Chanhyuk Yoon and Jaewan Park and Yiseul Lee and Hyejin Lee and Younggyun Hahm and Hansaem Kim and Kyungtae Lim}, title = {Optimizing Language Augmentation for Multilingual Large Language Models: {A} Case Study on Korean}, journal = {CoRR}, volume = {abs/2403.10882}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.10882}, doi = {10.48550/ARXIV.2403.10882}, eprinttype = {arXiv}, eprint = {2403.10882}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-10882.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-12945, author = {Alexander Khazatsky and Karl Pertsch and Suraj Nair and Ashwin Balakrishna and Sudeep Dasari and Siddharth Karamcheti and Soroush Nasiriany and Mohan Kumar Srirama and Lawrence Yunliang Chen and Kirsty Ellis and Peter David Fagan and Joey Hejna and Masha Itkina and Marion Lepert and Yecheng Jason Ma and Patrick Tree Miller and Jimmy Wu and Suneel Belkhale and Shivin Dass and Huy Ha and Arhan Jain and Abraham Lee and Youngwoon Lee and Marius Memmel and Sungjae Park and Ilija Radosavovic and Kaiyuan Wang and Albert Zhan and Kevin Black and Cheng Chi and Kyle Beltran Hatch and Shan Lin and Jingpei Lu and Jean Mercat and Abdul Rehman and Pannag R. Sanketi and Archit Sharma and Cody Simpson and Quan Vuong and Homer Rich Walke and Blake Wulfe and Ted Xiao and Jonathan Heewon Yang and Arefeh Yavary and Tony Z. Zhao and Christopher Agia and Rohan Baijal and Mateo Guaman Castro and Daphne Chen and Qiuyu Chen and Trinity Chung and Jaimyn Drake and Ethan Paul Foster and et al.}, title = {{DROID:} {A} Large-Scale In-The-Wild Robot Manipulation Dataset}, journal = {CoRR}, volume = {abs/2403.12945}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.12945}, doi = {10.48550/ARXIV.2403.12945}, eprinttype = {arXiv}, eprint = {2403.12945}, timestamp = {Mon, 08 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-12945.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-14117, author = {Mina Lee and Katy Ilonka Gero and John Joon Young Chung and Simon Buckingham Shum and Vipul Raheja and Hua Shen and Subhashini Venugopalan and Thiemo Wambsganss and David Zhou and Emad A. Alghamdi and Tal August and Avinash Bhat and Madiha Zahrah Choksi and Senjuti Dutta and Jin L. C. Guo and Md. Naimul Hoque and Yewon Kim and Simon Knight and Seyed Parsa Neshaei and Agnia Sergeyuk and Antonette Shibani and Disha Shrivastava and Lila Shroff and Jessi Stark and Sarah Sterman and Sitong Wang and Antoine Bosselut and Daniel Buschek and Joseph Chee Chang and Sherol Chen and Max Kreminski and Joonsuk Park and Roy Pea and Eugenia H. Rho and Shannon Zejiang Shen and Pao Siangliulue}, title = {A Design Space for Intelligent and Interactive Writing Assistants}, journal = {CoRR}, volume = {abs/2403.14117}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.14117}, doi = {10.48550/ARXIV.2403.14117}, eprinttype = {arXiv}, eprint = {2403.14117}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-14117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-18452, author = {Inhwan Bae and Young{-}Jae Park and Hae{-}Gon Jeon}, title = {SingularTrajectory: Universal Trajectory Predictor Using Diffusion Model}, journal = {CoRR}, volume = {abs/2403.18452}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.18452}, doi = {10.48550/ARXIV.2403.18452}, eprinttype = {arXiv}, eprint = {2403.18452}, timestamp = {Wed, 10 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-18452.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-00670, author = {Youngseo Cho and In Hee Kwak and Dohyeon Kim and Jinhee Na and Hanjoo Sung and Jeongjae Lee and Young Eun Kim and Hyeo{-}il Ma}, title = {Statistical Analysis by Semiparametric Additive Regression and {LSTM-FCN} Based Hierarchical Classification for Computer Vision Quantification of Parkinsonian Bradykinesia}, journal = {CoRR}, volume = {abs/2404.00670}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.00670}, doi = {10.48550/ARXIV.2404.00670}, eprinttype = {arXiv}, eprint = {2404.00670}, timestamp = {Wed, 08 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-00670.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08856, author = {Mukul Gagrani and Raghavv Goel and Wonseok Jeon and Junyoung Park and Mingu Lee and Christopher Lott}, title = {On Speculative Decoding for Multimodal Large Language Models}, journal = {CoRR}, volume = {abs/2404.08856}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08856}, doi = {10.48550/ARXIV.2404.08856}, eprinttype = {arXiv}, eprint = {2404.08856}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08856.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-08871, author = {Si Ung Noh and Junguk Hong and Chaemin Lim and Seongyeon Park and Jeehyun Kim and Hanjun Kim and Youngsok Kim and Jinho Lee}, title = {PID-Comm: {A} Fast and Flexible Collective Communication Framework for Commodity Processing-in-DIMM Devices}, journal = {CoRR}, volume = {abs/2404.08871}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.08871}, doi = {10.48550/ARXIV.2404.08871}, eprinttype = {arXiv}, eprint = {2404.08871}, timestamp = {Wed, 15 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-08871.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-14687, author = {Raehyuk Jung and Hyojun Go and Jaehyuk Yi and Jiho Jang and Daniel Kim and Jay Suh and Aiden Seung Joon Lee and Cooper Han and Jae Lee and Jeff Kim and Jin{-}Young Kim and Junwan Kim and Kyle Park and Lucas Lee and Mars Ha and Minjoon Seo and Abraham Jo and Ed Park and Hassan Kianinejad and Sj Kim and Tony Moon and Wade Jeong and Andrei Popescu and Esther Kim and EK Yoon and Genie Heo and Henry Choi and Jenna Kang and Kevin Han and Noah Seo and Sunny Nguyen and Ryan Won and Yeonhoo Park and Anthony Giuliani and Dave Chung and Hans Yoon and James Le and Jenny Ahn and June Lee and Maninder Saini and Meredith Sanders and Soyoung Lee and Sue Kim and Travis Couture}, title = {Pegasus-v1 Technical Report}, journal = {CoRR}, volume = {abs/2404.14687}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.14687}, doi = {10.48550/ARXIV.2404.14687}, eprinttype = {arXiv}, eprint = {2404.14687}, timestamp = {Sat, 25 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-14687.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2405-03637, author = {Tao Yu and Gaurav Gupta and Karthick Gopalswamy and Amith R. Mamidala and Hao Zhou and Jeffrey Huynh and Youngsuk Park and Ron Diamant and Anoop Deoras and Luke Huan}, title = {Collage: Light-Weight Low-Precision Strategy for {LLM} Training}, journal = {CoRR}, volume = {abs/2405.03637}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2405.03637}, doi = {10.48550/ARXIV.2405.03637}, eprinttype = {arXiv}, eprint = {2405.03637}, timestamp = {Fri, 30 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2405-03637.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-07736, author = {Dojun Park and Jiwoo Lee and Seohyun Park and Hyeyun Jeong and Youngeun Koo and Soonha Hwang and Seonwoo Park and Sungeun Lee}, title = {MultiPragEval: Multilingual Pragmatic Evaluation of Large Language Models}, journal = {CoRR}, volume = {abs/2406.07736}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.07736}, doi = {10.48550/ARXIV.2406.07736}, eprinttype = {arXiv}, eprint = {2406.07736}, timestamp = {Mon, 08 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-07736.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2406-09827, author = {Heejun Lee and Geon Park and Youngwan Lee and Jina Kim and Wonyoung Jeong and Myeongjae Jeon and Sung Ju Hwang}, title = {HiP Attention: Sparse Sub-Quadratic Attention with Hierarchical Attention Pruning}, journal = {CoRR}, volume = {abs/2406.09827}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2406.09827}, doi = {10.48550/ARXIV.2406.09827}, eprinttype = {arXiv}, eprint = {2406.09827}, timestamp = {Wed, 10 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2406-09827.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-13942, author = {Kyumin Park and Myung Jae Baik and YeongJun Hwang and Yen Shin and HoJae Lee and Ruda Lee and Sang Min Lee and Je Young Hannah Sun and Ah Rah Lee and Si Yeun Yoon and Dong{-}Ho Lee and Jihyung Moon and JinYeong Bak and Kyunghyun Cho and Jong{-}Woo Paik and Sungjoon Park}, title = {Harmful Suicide Content Detection}, journal = {CoRR}, volume = {abs/2407.13942}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.13942}, doi = {10.48550/ARXIV.2407.13942}, eprinttype = {arXiv}, eprint = {2407.13942}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-13942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-20643, author = {Biagio Brattoli and Mohammad Mostafavi and Taebum Lee and Wonkyung Jung and Jeongun Ryu and Seonwook Park and Jongchan Park and S{\'{e}}rgio Pereira and Seunghwan Shin and Sangjoon Choi and Hyojin Kim and Donggeun Yoo and Siraj M. Ali and Kyunghyun Paeng and Chan{-}Young Ock and Soo Ick Cho and Seokhwi Kim}, title = {Generalizing AI-driven Assessment of Immunohistochemistry across Immunostains and Cancer Types: {A} Universal Immunohistochemistry Analyzer}, journal = {CoRR}, volume = {abs/2407.20643}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.20643}, doi = {10.48550/ARXIV.2407.20643}, eprinttype = {arXiv}, eprint = {2407.20643}, timestamp = {Fri, 23 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-20643.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2408-05917, author = {Min Woo Cho and Seok Hyeon Hwang and Jun{-}Young Jang and Jin Yeong Song and Sun{-}kwang Hwang and Kyoung Je Cha and Dong Yong Park and Kyungjun Song and Sang Min Park}, title = {Inverse design of Non-parameterized Ventilated Acoustic Resonator via Variational Autoencoder with Acoustic Response-encoded Latent Space}, journal = {CoRR}, volume = {abs/2408.05917}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2408.05917}, doi = {10.48550/ARXIV.2408.05917}, eprinttype = {arXiv}, eprint = {2408.05917}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2408-05917.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-08732, author = {Seonkyu Lim and Jeongwhan Choi and Noseong Park and Sang{-}Ha Yoon and ShinHyuck Kang and Young{-}Min Kim and Hyunjoong Kang}, title = {Bridging Dynamic Factor Models and Neural Controlled Differential Equations for Nowcasting {GDP}}, journal = {CoRR}, volume = {abs/2409.08732}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.08732}, doi = {10.48550/ARXIV.2409.08732}, eprinttype = {arXiv}, eprint = {2409.08732}, timestamp = {Sat, 12 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-08732.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2409-09662, author = {Inhwa Song and SoHyun Park and Sachin R. Pendse and Jessica Lee Schleider and Munmun De Choudhury and Young{-}Ho Kim}, title = {ExploreSelf: Fostering User-driven Exploration and Reflection on Personal Challenges with Adaptive Guidance by Large Language Models}, journal = {CoRR}, volume = {abs/2409.09662}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2409.09662}, doi = {10.48550/ARXIV.2409.09662}, eprinttype = {arXiv}, eprint = {2409.09662}, timestamp = {Sat, 12 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2409-09662.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCSJBCPLHY23, author = {Yifei Chen and Woojin Choi and Jaekyung Shin and Hyeongjin Jeon and Sooncheol Bae and Young Chan Choi and Cheon{-}Seok Park and Kang{-}Yoon Lee and Keum{-}Cheol Hwang and Youngoo Yang}, title = {New Load Modulation Combiner Having a Capability of Back-Off Control for Doherty Power Amplifiers}, journal = {{IEEE} Access}, volume = {11}, pages = {11479--11488}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3240649}, doi = {10.1109/ACCESS.2023.3240649}, timestamp = {Sat, 25 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChenCSJBCPLHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HanKJPHSJC23, author = {Kyunghoon Han and Heejoon Koo and Sunghee Jung and Hyung{-}Bok Park and Youngtaek Hong and Hackjoon Shim and Byunghwan Jeon and Hyuk{-}Jae Chang}, title = {Reconstruction of Partially Broken Vascular Structures in X-Ray Images via Vesselness-Loss-Based Multi-Scale Generative Adversarial Networks}, journal = {{IEEE} Access}, volume = {11}, pages = {86335--86350}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3301568}, doi = {10.1109/ACCESS.2023.3301568}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/HanKJPHSJC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimBBJLPSK23, author = {Changhyun Kim and Jinsoo Bae and Insung Baek and Jaeyoon Jeong and Young Jae Lee and Kiwoong Park and Sang Heun Shim and Seoung Bum Kim}, title = {{DESEM:} Depthwise Separable Convolution-Based Multimodal Deep Learning for In-Game Action Anticipation}, journal = {{IEEE} Access}, volume = {11}, pages = {46504--46512}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3271282}, doi = {10.1109/ACCESS.2023.3271282}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimBBJLPSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkJMK23, author = {Su{-}Seong Park and Myeong{-}Seok Jeon and Sung{-}Soo Min and Rae{-}Young Kim}, title = {High-Frequency Planar Transformer Based on Interleaved Serpentine Winding Method With Low Parasitic Capacitance for High-Current Input {LLC} Resonant Converter}, journal = {{IEEE} Access}, volume = {11}, pages = {84900--84911}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3303207}, doi = {10.1109/ACCESS.2023.3303207}, timestamp = {Thu, 31 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkJMK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SaqibHLPSJ23, author = {Najam Us Saqib and Muhammad Sajid Haroon and Hwi Young Lee and Kwanghyun Park and Hoon{-}Geun Song and Sang{-}Woon Jeon}, title = {THz Communications: {A} Key Enabler for Future Cellular Networks}, journal = {{IEEE} Access}, volume = {11}, pages = {117474--117493}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3326443}, doi = {10.1109/ACCESS.2023.3326443}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/SaqibHLPSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SonKLJDP23, author = {Seunghwan Son and Deok Kyu Kwon and Sangwoo Lee and Yongsung Jeon and Ashok Kumar Das and Youngho Park}, title = {Design of Secure and Lightweight Authentication Scheme for UAV-Enabled Intelligent Transportation Systems Using Blockchain and {PUF}}, journal = {{IEEE} Access}, volume = {11}, pages = {60240--60253}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3286016}, doi = {10.1109/ACCESS.2023.3286016}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SonKLJDP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/NagwadeKPJSCL23, author = {Pritish Nagwade and Minseok Kang and Jaeu Park and Jinwoong Jeong and Heejae Shin and Youngjun Cho and Sanghoon Lee}, title = {Development of a Chemically Driven Biomimetic Modular Artificial Muscle (BiMAM)}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {10}, year = {2023}, url = {https://doi.org/10.1002/aisy.202370048}, doi = {10.1002/AISY.202370048}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/NagwadeKPJSCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/NagwadeKPJSCL23a, author = {Pritish Nagwade and Minseok Kang and Jaeu Park and Jinwoong Jeong and Heejae Shin and Youngjun Cho and Sanghoon Lee}, title = {Development of a Chemically Driven Biomimetic Modular Artificial Muscle (BiMAM)}, journal = {Adv. Intell. Syst.}, volume = {5}, number = {10}, year = {2023}, url = {https://doi.org/10.1002/aisy.202300200}, doi = {10.1002/AISY.202300200}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/NagwadeKPJSCL23a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/artmed/HwangPKKJKK23, author = {Eugene Hwang and Hee{-}Sun Park and Hyun{-}Seok Kim and Jin{-}Young Kim and Hanseok Jeong and Junetae Kim and Sung{-}Hoon Kim}, title = {Development of a Bispectral index score prediction model based on an interpretable deep learning algorithm}, journal = {Artif. Intell. Medicine}, volume = {143}, pages = {102569}, year = {2023}, url = {https://doi.org/10.1016/j.artmed.2023.102569}, doi = {10.1016/J.ARTMED.2023.102569}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/artmed/HwangPKKJKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimKJPPCHKKKKSKLBK23, author = {In{-}Hwan Kim and Junsik Kim and Jiheon Jeong and Jae{-}Woo Park and Kanggil Park and Jin{-}Hyoung Cho and Mihee Hong and Kyung{-}Hwa Kang and Minji Kim and Su{-}Jung Kim and Yoon{-}Ji Kim and Sang{-}Jin Sung and Young Ho Kim and Sung{-}Hoon Lim and Seung{-}Hak Baek and Namkug Kim}, title = {Orthognathic surgical planning using graph {CNN} with dual embedding module: External validations with multi-hospital datasets}, journal = {Comput. Methods Programs Biomed.}, volume = {242}, pages = {107853}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107853}, doi = {10.1016/J.CMPB.2023.107853}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/KimKJPPCHKKKKSKLBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csda/SohnJCP23, author = {Jinwon Sohn and Seonghyun Jeong and Young Min Cho and Taeyoung Park}, title = {Functional clustering methods for binary longitudinal data with temporal heterogeneity}, journal = {Comput. Stat. Data Anal.}, volume = {185}, pages = {107766}, year = {2023}, url = {https://doi.org/10.1016/j.csda.2023.107766}, doi = {10.1016/J.CSDA.2023.107766}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csda/SohnJCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/ParkJMP23, author = {DaEun Park and Younghoon Jeong and YoungSu Moon and YungKyung Park}, title = {Observation of image quality for {HDR} micro {LED} display}, journal = {Displays}, volume = {79}, pages = {102498}, year = {2023}, url = {https://doi.org/10.1016/j.displa.2023.102498}, doi = {10.1016/J.DISPLA.2023.102498}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/displays/ParkJMP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eaai/SunMJKP23, author = {Zhichao Sun and Yinan Miao and Jun Young Jeon and Yeseul Kong and Gyuhae Park}, title = {Facial feature fusion convolutional neural network for driver fatigue detection}, journal = {Eng. Appl. Artif. Intell.}, volume = {126}, pages = {106981}, year = {2023}, url = {https://doi.org/10.1016/j.engappai.2023.106981}, doi = {10.1016/J.ENGAPPAI.2023.106981}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eaai/SunMJKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gpb/KwonBCJNGCKCLHB23, author = {Oh Kwang Kwon and In Hyuk Bang and So Young Choi and Ju Mi Jeon and Ann{-}Yae Na and Yan Gao and Sam Seok Cho and Sung Hwan Ki and Youngshik Choe and Jun Nyung Lee and Yun{-}Sok Ha and Eun Ju Bae and Tae Gyun Kwon and Byung{-}Hyun Park and Sangkyu Lee}, title = {{LDHA} Desuccinylase Sirtuin 5 as {A} Novel Cancer Metastatic Stimulator in Aggressive Prostate Cancer}, journal = {Genom. Proteom. Bioinform.}, volume = {21}, number = {1}, pages = {177--189}, year = {2023}, url = {https://doi.org/10.1016/j.gpb.2022.02.004}, doi = {10.1016/J.GPB.2022.02.004}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gpb/KwonBCJNGCKCLHB23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgi/KangWPLA23, author = {Jeon{-}Young Kang and Sandy Wong and Jinwoo Park and Jinhyung Lee and Jared Aldstadt}, title = {Exploring Spatial Mismatch between Primary Care and Older Populations in an Aging Country: {A} Case Study of South Korea}, journal = {{ISPRS} Int. J. Geo Inf.}, volume = {12}, number = {7}, pages = {255}, year = {2023}, url = {https://doi.org/10.3390/ijgi12070255}, doi = {10.3390/IJGI12070255}, timestamp = {Wed, 24 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgi/KangWPLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijis/HamJKPO23, author = {Ji{-}Wan Ham and Siheon Jeong and Min{-}Gwan Kim and Joon{-}Young Park and Ki{-}Yong Oh}, title = {Enhancing Structural Crack Detection through a Multiscale Multilevel Mask Deep Convolutional Neural Network and Line Similarity Index}, journal = {Int. J. Intell. Syst.}, volume = {2023}, pages = {1--22}, year = {2023}, url = {https://doi.org/10.1155/2023/8212790}, doi = {10.1155/2023/8212790}, timestamp = {Sun, 24 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijis/HamJKPO23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/KimLCSSLLHKPJKL23, author = {Jemin Kim and Changyoon Lee and Sungchul Choi and Da{-}In Sung and Jeonga Seo and Yun Na Lee and Joo Hee Lee and Eun Jin Han and Ah Young Kim and Hyun Suk Park and Hye Jeong Jung and Jong Hoon Kim and Ju Hee Lee}, title = {Augmented Decision-Making in wound Care: Evaluating the clinical utility of a Deep-Learning model for pressure injury staging}, journal = {Int. J. Medical Informatics}, volume = {180}, pages = {105266}, year = {2023}, url = {https://doi.org/10.1016/j.ijmedinf.2023.105266}, doi = {10.1016/J.IJMEDINF.2023.105266}, timestamp = {Sun, 31 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/KimLCSSLLHKPJKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/JeongBPJJ23, author = {Byeonghui Jeong and Seungyeon Baek and Sihyun Park and Jueun Jeon and Young{-}Sik Jeong}, title = {Stable and efficient resource management using deep neural network on cloud computing}, journal = {Neurocomputing}, volume = {521}, pages = {99--112}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2022.11.089}, doi = {10.1016/J.NEUCOM.2022.11.089}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/JeongBPJJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaiscr/LeePLLJ23, author = {Youn Kyu Lee and Seong Hee Park and Min Young Lim and Soo{-}Hyun Lee and Jongwook Jeong}, title = {Towards Ensuring Software Interoperability Between Deep Learning Frameworks}, journal = {J. Artif. Intell. Soft Comput. Res.}, volume = {13}, number = {4}, pages = {215--228}, year = {2023}, url = {https://doi.org/10.2478/jaiscr-2023-0016}, doi = {10.2478/JAISCR-2023-0016}, timestamp = {Sun, 10 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaiscr/LeePLLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhHPSJ23, author = {Jonghyun Oh and Young{-}Ha Hwang and Jun{-}Eun Park and Mingoo Seok and Deog{-}Kyoon Jeong}, title = {An Output-Capacitor-Free Synthesizable Digital {LDO} Using CMP-Triggered Oscillator and Droop Detector}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {6}, pages = {1769--1781}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3213778}, doi = {10.1109/JSSC.2022.3213778}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/OhHPSJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkCJKLC23, author = {Byungchoul Park and Hyun{-}Seung Choi and Jinwoong Jeong and Taewoo Kim and Myung{-}Jae Lee and Youngcheol Chae}, title = {A 113.3-dB Dynamic Range 600 Frames/s {SPAD} X-Ray Detector With Seamless Global Shutter and Time-Encoded Extrapolation Counter}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {11}, pages = {2965--2975}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2023.3302849}, doi = {10.1109/JSSC.2023.3302849}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ParkCJKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkLCPMLKOCCCY23, author = {Myeong{-}Jae Park and Jinhyung Lee and Kyungjun Cho and Ji Hwan Park and Junil Moon and Sung{-}Hak Lee and Tae{-}Kyun Kim and Sanghoon Oh and Seokwoo Choi and Yongsuk Choi and Ho Sung Cho and Tae{-}Sik Yun and Young Jun Koo and Jae{-}Seung Lee and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Kyo Yun Lee and Sang{-}Hoon Lee and Woo Sung We and Seungchan Kim and Seung Min Yang and Keonho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Seonyeol Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Junghyun Shin and Yeonho Lee and Jieun Jang and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} With a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {256--269}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3193354}, doi = {10.1109/JSSC.2022.3193354}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkLCPMLKOCCCY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkPKJKLLKKLPK23, author = {Jun{-}Seok Park and Changsoo Park and Suknam Kwon and Taeho Jeon and Yesung Kang and Heonsoo Lee and Dongwoo Lee and James Kim and Hyeong{-}Seok Kim and YoungJong Lee and Sangkyu Park and MinSeong Kim and Sanghyuck Ha and Jihoon Bang and Jinpyo Park and Sukhwan Lim and Inyup Kang}, title = {A Multi-Mode 8k-MAC HW-Utilization-Aware Neural Processing Unit With a Unified Multi-Precision Datapath in 4-nm Flagship Mobile SoC}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {189--202}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3205713}, doi = {10.1109/JSSC.2022.3205713}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkPKJKLLKKLPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/RyuALPKKSCCSLSA23, author = {Yesin Ryu and Sung{-}Gi Ahn and Jae Hoon Lee and Jaewon Park and Yong{-}Ki Kim and Hyochang Kim and Yeong Geol Song and Han{-}Won Cho and Sunghye Cho and Seung Ho Song and Haesuk Lee and Useung Shin and Jonghyun Ahn and Je{-}Min Ryu and Suk Han Lee and Kyounghwan Lim and Jungyu Lee and Jeong Hoan Park and Jae{-}Seung Jeong and Sunghwan Jo and Dajung Cho and Sooyoung Kim and Minsu Lee and Hyunho Kim and Minhwan Kim and Jae San Kim and Jinah Kim and Hyun Gil Kang and Myung{-}Kyu Lee and Sung{-}Rae Kim and Young{-}Cheon Kwon and Young{-}Yong Byun and Kijun Lee and Sangkil Park and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} With Source-Synchronized Bus Design and On-Die Error Control Scheme for Enhanced {RAS} Features}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {4}, pages = {1051--1061}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3232096}, doi = {10.1109/JSSC.2022.3232096}, timestamp = {Sun, 16 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/RyuALPKKSCCSLSA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/ChoKKPKYAOLSK23, author = {Kyungjin Cho and Jeeyoung Kim and Ki Duk Kim and Seungju Park and Junsik Kim and Jihye Yun and Yura Ahn and Sang Young Oh and Sang Min Lee and Joon Beom Seo and Namkug Kim}, title = {MuSiC-ViT: {A} multi-task Siamese convolutional vision transformer for differentiating change from no-change in follow-up chest radiographs}, journal = {Medical Image Anal.}, volume = {89}, pages = {102894}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102894}, doi = {10.1016/J.MEDIA.2023.102894}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/ChoKKPKYAOLSK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23, author = {Kyungmo Kim and Kyoungbun Lee and Sungduk Cho and Dong Un Kang and Seongkeun Park and Yunsook Kang and Hyunjeong Kim and Gheeyoung Choe and Kyung Chul Moon and Kyu Sang Lee and Jeong Hwan Park and Choyeon Hong and Ramin Nateghi and Fattaneh Pourakpour and Xiyue Wang and Sen Yang and Seyed Alireza Fatemi Jahromi and Aliasghar Khani and Hwa{-}Rang Kim and Doo{-}Hyun Choi and Chang Hee Han and Jin Tae Kwak and Fan Zhang and Bing Han and David Joon Ho and Gyeong Hoon Kang and Se Young Chun and Won{-}Ki Jeong and Peom Park and Jinwook Choi}, title = {{PAIP} 2020: Microsatellite instability prediction in colorectal cancer}, journal = {Medical Image Anal.}, volume = {89}, pages = {102886}, year = {2023}, url = {https://doi.org/10.1016/j.media.2023.102886}, doi = {10.1016/J.MEDIA.2023.102886}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mia/KimLCKPKKCMLPHNPWYJKKCHKZHHKCJPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaekPJK23, author = {Sung{-}Ha Baek and Ka{-}Hyun Park and Jun{-}Seo Jeon and Tae{-}Young Kwak}, title = {A Novel Method for Calibration of Digital Soil Images Captured under Irregular Lighting Conditions}, journal = {Sensors}, volume = {23}, number = {1}, pages = {296}, year = {2023}, url = {https://doi.org/10.3390/s23010296}, doi = {10.3390/S23010296}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/BaekPJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/HwangPJEMJK23, author = {Jeong{-}Eun Hwang and Jin{-}Young Park and Myoung Hoon Jung and Kunsun Eom and Hyun Seok Moon and Hyojee Joung and Yoon Jae Kim}, title = {Evaluation of a Commercial Device Based on Reflection Spectroscopy as an Alternative to Resonance Raman Spectroscopy in Measuring Skin Carotenoid Levels: Randomized Controlled Trial}, journal = {Sensors}, volume = {23}, number = {17}, pages = {7654}, year = {2023}, url = {https://doi.org/10.3390/s23177654}, doi = {10.3390/S23177654}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/HwangPJEMJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JangYKJJKKPC23, author = {Young Jae Jang and Tae Keun Yang and Jeong Hwan Kim and Hong Suk Jang and Jong Hwi Jeong and Kum Bae Kim and Geun{-}Beom Kim and Seong Hee Park and Sang Hyoun Choi}, title = {Development of a Real-Time Pixel Array-Type Detector for Ultrahigh Dose-Rate Beams}, journal = {Sensors}, volume = {23}, number = {10}, pages = {4596}, year = {2023}, url = {https://doi.org/10.3390/s23104596}, doi = {10.3390/S23104596}, timestamp = {Fri, 07 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JangYKJJKKPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SonPYJHF23, author = {Pyo{-}Woong Son and Jongmin Park and Jaewon Yu and Suhui Jeong and Younghoon Han and Tae Hyun Fang}, title = {Skywave Detection and Mitigation for the {MF} R-Mode Continuously Operating Reference Station}, journal = {Sensors}, volume = {23}, number = {11}, pages = {5046}, year = {2023}, url = {https://doi.org/10.3390/s23115046}, doi = {10.3390/S23115046}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SonPYJHF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23, author = {Dongsuk Yoo and Youngtae Jang and Youngchan Kim and Jihun Shin and Kangsun Lee and Seok{-}Yong Park and Seungho Shin and Hongsuk Lee and Seojoo Kim and Joongseok Park and Cheonho Park and Moosup Lim and Hyungjin Bae and Soeun Park and Minwook Jung and Sungkwan Kim and Shinyeol Choi and Sejun Kim and Jin{-}kyeong Heo and Hojoon Lee and KyungChoon Lee and Youngkyun Jeong and Youngsun Oh and Min{-}Sun Keel and Bumsuk Kim and Haechang Lee and JungChak Ahn}, title = {Automotive 2.1 {\(\mu\)}m Full-Depth Deep Trench Isolation {CMOS} Image Sensor with a 120 dB Single-Exposure Dynamic Range}, journal = {Sensors}, volume = {23}, number = {22}, pages = {9150}, year = {2023}, url = {https://doi.org/10.3390/s23229150}, doi = {10.3390/S23229150}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YooJKSLPSLKPPLBPJKCKHLLJOKKLA23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ParkJKLKPK23, author = {Jongkil Park and YeonJoo Jeong and Jaewook Kim and Suyoun Lee and Joon Young Kwak and Jong{-}Keuk Park and Inho Kim}, title = {High Dynamic Range Digital Neuron Core With Time-Embedded Floating-Point Arithmetic}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {70}, number = {1}, pages = {290--301}, year = {2023}, url = {https://doi.org/10.1109/TCSI.2022.3206238}, doi = {10.1109/TCSI.2022.3206238}, timestamp = {Fri, 10 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasI/ParkJKLKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KoKSPKJ23, author = {Yejun Ko and Sunghoon Kim and Kwanghyun Shin and Youngmin Park and Sundo Kim and Dongsuk Jeon}, title = {A 65 nm 12.92-nJ/Inference Mixed-Signal Neuromorphic Processor for Image Classification}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {8}, pages = {2804--2808}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3252501}, doi = {10.1109/TCSII.2023.3252501}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KoKSPKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/LeePLSRSSJHOCJ23, author = {Kwang{-}Hoon Lee and Jung{-}Hun Park and Yongjae Lee and Yeonggeun Song and Seungha Roh and Minkyo Shim and Yoonho Song and Woosong Jung and Young{-}Ha Hwang and Jonghyun Oh and Woo{-}Seok Choi and Deog{-}Kyoon Jeong}, title = {A 0.99-pJ/b 10-Gb/s Receiver With Fast Recovery From Sleep Mode Under Voltage Drift}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {70}, number = {11}, pages = {4003--4007}, year = {2023}, url = {https://doi.org/10.1109/TCSII.2023.3285934}, doi = {10.1109/TCSII.2023.3285934}, timestamp = {Thu, 09 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcasII/LeePLSRSSJHOCJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/HanPLPL23, author = {Donghwa Han and Jeonghun Park and Youngjoo Lee and H. Vincent Poor and Namyoon Lee}, title = {Block Orthogonal Sparse Superposition Codes for Ultra-Reliable Low-Latency Communications}, journal = {{IEEE} Trans. Commun.}, volume = {71}, number = {12}, pages = {6884--6897}, year = {2023}, url = {https://doi.org/10.1109/TCOMM.2023.3317912}, doi = {10.1109/TCOMM.2023.3317912}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcom/HanPLPL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgis/HanKLBPSW23, author = {Su Yeon Han and Jeon{-}Young Kang and Fangzheng Lyu and Furqan Baig and Jinwoo Park and Danielle Smilovsky and Shaowen Wang}, title = {A cyberGIS approach to exploring neighborhood-level social vulnerability for disaster risk management}, journal = {Trans. {GIS}}, volume = {27}, number = {7}, pages = {1942--1958}, year = {2023}, url = {https://doi.org/10.1111/tgis.13106}, doi = {10.1111/TGIS.13106}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgis/HanKLBPSW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KwonKKPK23, author = {Young{-}Joo Kwon and Hyun{-}Cheol Kim and Jong{-}Min Kim and Jeong{-}Won Park and Seung Hee Kim}, title = {Sensitivity of Passive Microwave Satellite Observations to Snow Density and Grain Size Over Arctic Sea Ice}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {61}, pages = {1--10}, year = {2023}, url = {https://doi.org/10.1109/TGRS.2023.3322401}, doi = {10.1109/TGRS.2023.3322401}, timestamp = {Tue, 28 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/KwonKKPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ChaeCPPKLBK23, author = {Hee Young Chae and Jeonghoon Cho and Rahul Purbia and Chan Sam Park and Hyunjoong Kim and Yoonsik Lee and Jeong Min Baik and Jae Joon Kim}, title = {Environment-Adaptable Edge-Computing Gas-Sensor Device With Analog-Assisted Continual Learning Scheme}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {70}, number = {10}, pages = {10720--10729}, year = {2023}, url = {https://doi.org/10.1109/TIE.2022.3220871}, doi = {10.1109/TIE.2022.3220871}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ChaeCPPKLBK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tis/ParkLND23, author = {Sora Park and Jee Young Lee and Tanya Notley and Michael Dezuanni}, title = {Exploring the relationship between media literacy, online interaction, and civic engagement}, journal = {Inf. Soc.}, volume = {39}, number = {4}, pages = {250--261}, year = {2023}, url = {https://doi.org/10.1080/01972243.2023.2211055}, doi = {10.1080/01972243.2023.2211055}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tis/ParkLND23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HwangWJP23, author = {Young{-}Ha Hwang and Jun Wang and Deog{-}Kyoon Jeong and Jun{-}Eun Park}, title = {An Area/Power-Efficient {\(\Delta\)}{\(\Sigma\)} Modulator Based on Dynamic-Boost Inverter for Multichannel Sensor Applications}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {31}, number = {9}, pages = {1403--1412}, year = {2023}, url = {https://doi.org/10.1109/TVLSI.2023.3294914}, doi = {10.1109/TVLSI.2023.3294914}, timestamp = {Thu, 14 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/HwangWJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcloud/NguyenJHBYKPJN23, author = {Tuan Anh Nguyen and Hyeongjun Jeon and Daegyu Han and Duck{-}Ho Bae and Youngjin Yu and Kyeungpyo Kim and Sungsoon Park and Jinkyu Jeong and Beomseok Nam}, title = {NVMe-Driven Lazy Cache Coherence for Immutable Data with NVMe over Fabrics}, booktitle = {16th {IEEE} International Conference on Cloud Computing, {CLOUD} 2023, Chicago, IL, USA, July 2-8, 2023}, pages = {394--400}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CLOUD60044.2023.00053}, doi = {10.1109/CLOUD60044.2023.00053}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/IEEEcloud/NguyenJHBYKPJN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/YoonJKPSY23, author = {Hyeong Min Yoon and Jae Woo Jung and Eun Kyu Kim and Jeong Min Park and Jong Min Sung and Jun Young Yoon}, title = {Design and Control of 3-DOF Reluctance-force-type Magnetic Levitator Module for Fine-positioning Short-stroke Stage}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2023, Seattle, WA, USA, June 28-30, 2023}, pages = {525--530}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIM46323.2023.10196140}, doi = {10.1109/AIM46323.2023.10196140}, timestamp = {Mon, 14 Aug 2023 15:14:40 +0200}, biburl = {https://dblp.org/rec/conf/aimech/YoonJKPSY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amcis/JeongLHPP23, author = {Yeasung Jeong and Kang{-}Bok Lee and Sumin Han and Young Woong Park and Junyoung Park}, editor = {Paul A. Pavlou and Vishal Midha and Animesh Animesh and Traci A. Carte and Alexandre R. Graeml and Alanah Mitchell}, title = {{CIO} Turnover and its Consequences for Competitors}, booktitle = {29th Americas Conference on Information Systems, {AMCIS} 2023, Panama City, Panama, August 10-12, 2023}, publisher = {Association for Information Systems}, year = {2023}, url = {https://aisel.aisnet.org/amcis2023/sig\_scuidt/sig\_scuidt/11}, timestamp = {Wed, 19 Jul 2023 08:55:10 +0200}, biburl = {https://dblp.org/rec/conf/amcis/JeongLHPP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/JeongPPK23, author = {Young{-}Min Jeong and Tae{-}Sung Park and Jeong{-}Hyeok Park and Jong{-}Ok Kim}, title = {Low-Light Image Enhancement via Distillation of NIR-to-RGB Conversion Knowledge}, booktitle = {Asia Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2023, Taipei, Taiwan, October 31 - Nov. 3, 2023}, pages = {714--718}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/APSIPAASC58517.2023.10317205}, doi = {10.1109/APSIPAASC58517.2023.10317205}, timestamp = {Sat, 02 Dec 2023 14:05:45 +0100}, biburl = {https://dblp.org/rec/conf/apsipa/JeongPPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/JungCRPLKJKYIYCCK23, author = {Jinook Jung and Jun{-}Han Choi and Kyoung{-}Jun Roh and Jaewoo Park and Won{-}Mook Lim and Tae{-}Sung Kim and Han{-}Ki Jeong and Myoungbo Kwak and Jaeyoun Youn and Jeong{-}Don Ihm and Changsik Yoo and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 4ns Settling Time FVF-Based Fast {LDO} Using Bandwidth Extension Techniques for {HBM3}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2023, Haikou, China, November 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/A-SSCC58667.2023.10348011}, doi = {10.1109/A-SSCC58667.2023.10348011}, timestamp = {Sat, 27 Jan 2024 20:22:56 +0100}, biburl = {https://dblp.org/rec/conf/asscc/JungCRPLKJKYIYCCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/GoKSKPAH23, author = {Youngbin Go and Hyeongseok Kim and Kwon Seol and Jeongchang Kim and Sung{-}Ik Park and Seok{-}Ki Ahn and Namho Hur}, title = {DNN-Based Calibration Factor Estimation for Effective {SINR} Mapping in {CQI} Selection of 5G {NR}}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2023, Beijing, China, June 14-16, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/BMSB58369.2023.10211174}, doi = {10.1109/BMSB58369.2023.10211174}, timestamp = {Tue, 29 Aug 2023 20:54:28 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/GoKSKPAH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChaWSLNP23, author = {Yoon Jeong Cha and Alice Wou and Arpita Saxena and Joyce M. Lee and Mark W. Newman and Sun Young Park}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters and Stefanie Mueller and Julie R. Williamson and Max L. Wilson}, title = {It's Like an Educated Guessing Game: Parents' Strategies for Collaborative Diabetes Management with Their Children}, booktitle = {Proceedings of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {471:1--471:15}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544548.3581522}, doi = {10.1145/3544548.3581522}, timestamp = {Sat, 29 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/ChaWSLNP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/Lee-SmithBDFOOP23, author = {Matthew Lee{-}Smith and Jesse Josua Benjamin and Audrey Desjardins and Mathias Funk and William Odom and Doenja Oogjes and Young{-}Woo Park and James Pierce and Pedro Sanches and Vasiliki Tsaknaki}, editor = {Albrecht Schmidt and Kaisa V{\"{a}}{\"{a}}n{\"{a}}nen and Tesh Goyal and Per Ola Kristensson and Anicia Peters}, title = {Data as a Material for Design: Alternative Narratives, Divergent Pathways, and Future Directions}, booktitle = {Extended Abstracts of the 2023 {CHI} Conference on Human Factors in Computing Systems, {CHI} {EA} 2023, Hamburg, Germany, April 23-28, 2023}, pages = {342:1--342:5}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3544549.3573817}, doi = {10.1145/3544549.3573817}, timestamp = {Sun, 20 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chi/Lee-SmithBDFOOP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cikm/LeeJPK23, author = {Youngjune Lee and Yeongjong Jeong and Keunchan Park and SeongKu Kang}, editor = {Ingo Frommholz and Frank Hopfgartner and Mark Lee and Michael Oakes and Mounia Lalmas and Min Zhang and Rodrygo L. T. Santos}, title = {MvFS: Multi-view Feature Selection for Recommender System}, booktitle = {Proceedings of the 32nd {ACM} International Conference on Information and Knowledge Management, {CIKM} 2023, Birmingham, United Kingdom, October 21-25, 2023}, pages = {4048--4052}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3583780.3615243}, doi = {10.1145/3583780.3615243}, timestamp = {Fri, 27 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cikm/LeeJPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/HanPYKPJ23, author = {Sang{-}Hun Han and Min{-}Gyu Park and Ju Hong Yoon and Ju{-}Mi Kang and Young{-}Jae Park and Hae{-}Gon Jeon}, title = {High-fidelity 3D Human Digitization from Single 2K Resolution Images}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {12869--12879}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01237}, doi = {10.1109/CVPR52729.2023.01237}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/HanPYKPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/JeonCP023, author = {Seungmin Jeon and Kwang Pyo Choi and Youngo Park and Chang{-}Su Kim}, title = {Context-Based Trit-Plane Coding for Progressive Image Compression}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {14348--14357}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.01379}, doi = {10.1109/CVPR52729.2023.01379}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/JeonCP023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/RyuPSPBLJCPOYP23, author = {Jeongun Ryu and Aaron Valero Puche and Jaewoong Shin and Seonwook Park and Biagio Brattoli and Jinhee Lee and Wonkyung Jung and Soo Ick Cho and Kyunghyun Paeng and Chan{-}Young Ock and Donggeun Yoo and S{\'{e}}rgio Pereira}, title = {{OCELOT:} Overlapped Cell on Tissue Dataset for Histopathology}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2023, Vancouver, BC, Canada, June 17-24, 2023}, pages = {23902--23912}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/CVPR52729.2023.02289}, doi = {10.1109/CVPR52729.2023.02289}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cvpr/RyuPSPBLJCPOYP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eacl/KoPJHACY23, author = {Jongwoo Ko and Seungjoon Park and Minchan Jeong and Sukjin Hong and Euijai Ahn and Du{-}Seong Chang and Se{-}Young Yun}, editor = {Andreas Vlachos and Isabelle Augenstein}, title = {Revisiting Intermediate Layer Distillation for Compressing Language Models: An Overfitting Perspective}, booktitle = {Findings of the Association for Computational Linguistics: {EACL} 2023, Dubrovnik, Croatia, May 2-6, 2023}, pages = {158--175}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-eacl.12}, doi = {10.18653/V1/2023.FINDINGS-EACL.12}, timestamp = {Thu, 05 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eacl/KoPJHACY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KwonHCKCCPKKKKJJCC23, author = {Youngsu Kwon and Jinho Han and Yong Cheol Peter Cho and Juyeob Kim and Jaehoon Chung and Jaewoong Choi and Sujin Park and Igyeong Kim and Hyunjeong Kwon and Jinkyu Kim and Hyunmi Kim and Won Jeon and Young{-}Deuk Jeon and Min{-}Hyung Cho and Minseok Choi}, title = {Chiplet Heterogeneous-Integration {AI} Processor}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049867}, doi = {10.1109/ICEIC57457.2023.10049867}, timestamp = {Tue, 21 Mar 2023 16:00:01 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/KwonHCKCCPKKKKJJCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkKJCHK23, author = {Sujin Park and Yi{-}Gyeong Kim and Young{-}Deuk Jeon and Min{-}Hyung Cho and Jinho Han and Youngsu Kwon}, title = {2.5D Large-Scale Interposer Bonding Process Verification using Daisy-Chain for {PIM} Heterogeneous Integration Platform}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2023, Singapore, February 5-8, 2023}, pages = {1--3}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICEIC57457.2023.10049851}, doi = {10.1109/ICEIC57457.2023.10049851}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkKJCHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/HeoJFCPYLK23, author = {Ung Heo and Hyunho Jeong and Jirou Feng and Junhwi Cho and Kyungseo Park and Youngsik Yoon and Dongyeon Lee and Jung Kim}, title = {Development of a Bioimpedance and sEMG Fusion Sensor for Gait Phase Detection: Validation with a Transtibial Amputee}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10340105}, doi = {10.1109/EMBC40787.2023.10340105}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/HeoJFCPYLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimCCJKKPLKP23, author = {Daeyoung Kim and Jihyeok Choi and Mingyu Cheon and YeonJoo Jeong and Jaewook Kim and Joon Young Kwak and Jong{-}Keuk Park and Suyoun Lee and Inho Kim and Jongkil Park}, title = {Real-time Neural Connectivity Inference with Presynaptic Spike-driven Spike Timing-Dependent Plasticity}, booktitle = {45th Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2023, Sydney, Australia, July 24-27, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/EMBC40787.2023.10341017}, doi = {10.1109/EMBC40787.2023.10341017}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/KimCCJKKPLKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/JeonLPK23, author = {Yongkweon Jeon and Chungman Lee and Kyungphil Park and Ho{-}Young Kim}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {A Frustratingly Easy Post-Training Quantization Scheme for LLMs}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {14446--14461}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.892}, doi = {10.18653/V1/2023.EMNLP-MAIN.892}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/JeonLPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/giscience/MichelsPLKW23, author = {Alexander Michels and Jinwoo Park and Bo Li and Jeon{-}Young Kang and Shaowen Wang}, editor = {Roger Beecham and Jed A. Long and Dianna Smith and Qunshan Zhao and Sarah Wise}, title = {Impacts of Catchments Derived from Fine-Grained Mobility Data on Spatial Accessibility (Short Paper)}, booktitle = {12th International Conference on Geographic Information Science, GIScience 2023, September 12-15, 2023, Leeds, {UK}}, series = {LIPIcs}, volume = {277}, pages = {52:1--52:6}, publisher = {Schloss Dagstuhl - Leibniz-Zentrum f{\"{u}}r Informatik}, year = {2023}, url = {https://doi.org/10.4230/LIPIcs.GIScience.2023.52}, doi = {10.4230/LIPICS.GISCIENCE.2023.52}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/giscience/MichelsPLKW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/ParkJKKS23, author = {Chaehun Park and Cheolmin Jeong and Chang Mook Kang and Wonhee Kim and Youngseop Son}, title = {Vehicle Lateral Motion Modeling Using Data-Driven Method}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2023, Bali, Indonesia, February 20-23, 2023}, pages = {767--770}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICAIIC57133.2023.10067099}, doi = {10.1109/ICAIIC57133.2023.10067099}, timestamp = {Fri, 31 Mar 2023 17:22:31 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/ParkJKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeSPJ23, author = {Jaewoo Lee and Kapje Sung and Daeul Park and Younghan Jeon}, title = {{KEPS-NET:} Robust Parking slot Detection based Keypoint estimation for High Localization Accuracy}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10094990}, doi = {10.1109/ICASSP49357.2023.10094990}, timestamp = {Sun, 05 Nov 2023 16:51:21 +0100}, biburl = {https://dblp.org/rec/conf/icassp/LeeSPJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ChoiKNMKP23, author = {Jee{-}Woo Choi and Jae{-}Woo Kim and Jahyun Nam and Jae{-}Young Maeng and Ka{-}Hyun Kim and Seung Park}, title = {Artificial Intelligence for Predicting Mortality Due to Sepsis}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--4}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043540}, doi = {10.1109/ICCE56470.2023.10043540}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ChoiKNMKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimPJL23, author = {Soohee Kim and Joungmin Park and Young Woo Jeong and Seung Eun Lee}, title = {Embedded Monitoring System for Preventing Lonely Death Based on Edge {AI}}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2023, Las Vegas, NV, USA, January 6-8, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCE56470.2023.10043431}, doi = {10.1109/ICCE56470.2023.10043431}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KimPJL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/LeePJKJRC23, author = {Haechang Lee and Dongwon Park and Wongi Jeong and Kijeong Kim and Hyunwoo Je and Dongil Ryu and Se Young Chun}, title = {Efficient Unified Demosaicing for Bayer and Non-Bayer Patterned Image Sensors}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023, Paris, France, October 1-6, 2023}, pages = {12704--12713}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCV51070.2023.01171}, doi = {10.1109/ICCV51070.2023.01171}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccv/LeePJKJRC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/TiwariCGMGGSYZW23, author = {Rishabh Tiwari and Arnav Chavan and Deepak K. Gupta and Gowreesh Mago and Animesh Gupta and Akash Gupta and Suraj Sharan and Yukun Yang and Shanwei Zhao and Shihao Wang and Youngjun Kwak and Seonghun Jeong and Yunseung Lee and Changick Kim and Subin Kim and Ganzorig Gankhuyag and Ho Jung and Junwhan Ryu and HaeMoon Kim and Byeong Hak Kim and Tu Vo and Sheir Zaheer and Alexander Holston and Chan Y. Park and Dheemant Dixit and Nahush Lele and Kushagra Bhushan and Debjani Bhowmick and Devanshu Arya and Sadaf Gulshad and Amirhossein Habibian and Amir Ghodrati and Babak Ehteshami Bejnordi and Jai Gupta and Zhuang Liu and Jiahui Yu and Dilip K. Prasad and Zhiqiang Shen}, title = {{RCV2023} Challenges: Benchmarking Model Training and Inference for Resource-Constrained Deep Learning}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1526--1535}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00168}, doi = {10.1109/ICCVW60793.2023.00168}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/TiwariCGMGGSYZW23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/KimPJKKK23, author = {Junetae Kim and Kyoungsuk Park and Hanseok Jeong and Youngwook Kim and Jeongseon Kim and Sun{-}Young Kim}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {SurProGenes: Survival Risk-Ordered Representation of Cancer Patients and Genes for the Identification of Prognostic Genes}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {16771--16786}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/kim23s.html}, timestamp = {Mon, 28 Aug 2023 17:23:08 +0200}, biburl = {https://dblp.org/rec/conf/icml/KimPJKKK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ParkAP23, author = {Junyoung Park and Jeongyoun Ahn and Cheolwoo Park}, editor = {Andreas Krause and Emma Brunskill and Kyunghyun Cho and Barbara Engelhardt and Sivan Sabato and Jonathan Scarlett}, title = {Kernel Sufficient Dimension Reduction and Variable Selection for Compositional Data via Amalgamation}, booktitle = {International Conference on Machine Learning, {ICML} 2023, 23-29 July 2023, Honolulu, Hawaii, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {202}, pages = {27034--27047}, publisher = {{PMLR}}, year = {2023}, url = {https://proceedings.mlr.press/v202/park23a.html}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icml/ParkAP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/JoSLHJKSJP23, author = {SeongHyeon Jo and Youngjo Song and Yechan Lee and Si{-}Hwan Heo and Sang Jin Jang and Yusung Kim and Joon{-}Ho Shin and Jaesung Jeong and Hyung{-}Soon Park}, title = {Functional {MRI} Assessment of Brain Activity During Hand Rehabilitation with an MR-Compatible Soft Glove in Chronic Stroke Patients: {A} Preliminary Study}, booktitle = {International Conference on Rehabilitation Robotics, {ICORR} 2023, Singapore, September 24-28, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICORR58425.2023.10304776}, doi = {10.1109/ICORR58425.2023.10304776}, timestamp = {Fri, 17 Nov 2023 08:57:23 +0100}, biburl = {https://dblp.org/rec/conf/icorr/JoSLHJKSJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ParkYHYPC23, author = {Jeongeun Park and Taerim Yoon and Jejoon Hong and Youngjae Yu and Matthew Pan and Sungjoon Choi}, title = {Zero-shot Active Visual Search {(ZAVIS):} Intelligent Object Search for Robotic Assistants}, booktitle = {{IEEE} International Conference on Robotics and Automation, {ICRA} 2023, London, UK, May 29 - June 2, 2023}, pages = {2004--2010}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICRA48891.2023.10161345}, doi = {10.1109/ICRA48891.2023.10161345}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icra/ParkYHYPC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/JeongYPHY23, author = {Joonhyun Jeong and Joonsang Yu and Geondo Park and Dongyoon Han and Youngjoon Yoo}, title = {GeNAS: Neural Architecture Search with Better Generalization}, booktitle = {Proceedings of the Thirty-Second International Joint Conference on Artificial Intelligence, {IJCAI} 2023, 19th-25th August 2023, Macao, SAR, China}, pages = {911--919}, publisher = {ijcai.org}, year = {2023}, url = {https://doi.org/10.24963/ijcai.2023/101}, doi = {10.24963/IJCAI.2023/101}, timestamp = {Tue, 15 Oct 2024 16:43:28 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/JeongYPHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/KwonSYPSJKYPBKS23, author = {Youngsun Kwon and Soyeon Shin and Kyonmo Yang and Seongah Park and Soomin Shin and Hwawoo Jeon and Kijung Kim and Guhnoo Yun and Sangyong Park and Jeewon Byun and Sang Hoon Kang and Kyoung{-}Ho Song and Doik Kim and Dong Hwan Kim and Kapho Seo and Sonya S. Kwak and Yoonseob Lim}, title = {Heterogeneous Robot-Assisted Services in Isolation Wards: {A} System Development and Usability Study}, booktitle = {{IROS}}, pages = {8069--8076}, year = {2023}, url = {https://doi.org/10.1109/IROS55552.2023.10341857}, doi = {10.1109/IROS55552.2023.10341857}, timestamp = {Fri, 05 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/KwonSYPSJKYPBKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkJKCHCCK23, author = {Sujin Park and Young{-}Deuk Jeon and Yi{-}Gyeong Kim and Min{-}Hyung Cho and Jinho Han and Jaehoon Chung and Jaewoong Choi and Youngsu Kwon}, title = {{DQ} and {DQS} Receiver for {HBM3} Memory Interface with {DFE} Offset Calibration}, booktitle = {20th International SoC Design Conference, {ISOCC} 2023, Jeju, Republic of Korea, October 25-28, 2023}, pages = {215--216}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISOCC59558.2023.10396021}, doi = {10.1109/ISOCC59558.2023.10396021}, timestamp = {Thu, 22 Feb 2024 20:44:54 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ParkJKCHCCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23, author = {Bvunarvul Kim and Seungpil Lee and Beomseok Hah and Kanawoo Park and Yongsoon Park and Kangwook Jo and Yujong Noh and Hyeon{-}Cheon Seol and Hyunsoo Lee and Jae{-}Hyeon Shin and Seongjin Choi and Youngdon Jung and Sungho Ahn and Yonghun Park and Sujeong Oh and Myungsu Kim and Seonauk Kim and Hyunwook Park and Taeho Lee and Haeun Won and Minsung Kim and Cheulhee Koo and Yeonjoo Choi and Suyoung Choi and Sechun Park and Dongkyu Youn and Junyoun Lim and Wonsun Park and Hwang Hur and Kichang Kwean and Hongsok Choi and Woopyo Jeong and Sungyong Chung and Jungdal Choi and Seonyong Cha}, title = {A High-Performance 1Tb 3b/Cell 3D-NAND Flash with a 194MB/s Write Throughput on over 300 Layers {\textdollar}{\textbackslash}mathsf\{i\}{\textdollar}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {402--403}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067666}, doi = {10.1109/ISSCC42615.2023.10067666}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkACJHKLC23, author = {Byungchoul Park and Byungwook Ahn and Hyun{-}Seung Choi and Jinwoong Jeong and Kangmin Hwang and Taewoo Kim and Myung{-}Jae Lee and Youngcheol Chae}, title = {A 400 {\texttimes} 200 600fps 117.7dB-DR {SPAD} X-Ray Detector with Seamless Global Shutter and Time-Encoded Extrapolation Counter}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {100--101}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067344}, doi = {10.1109/ISSCC42615.2023.10067344}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ParkACJHKLC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeongPBLSOLKLMJCYPRS23, author = {Kihwan Seong and Donguk Park and Gyeom{-}Je Bae and Hyunwoo Lee and Youngseob Suh and Wooseuk Oh and Hyemun Lee and Juyoung Kim and Takgun Lee and Geonhoo Mo and Sukhyun Jung and Dongcheol Choi and Byoung{-}Joo Yoo and Sanghune Park and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {A 4nm 32Gb/s 8Tb/s/mm Die-to-Die Chiplet Using {NRZ} Single-Ended Transceiver With Equalization Schemes And Training Techniques}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {114--115}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067477}, doi = {10.1109/ISSCC42615.2023.10067477}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SeongPBLSOLKLMJCYPRS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/YoonICJP23, author = {Kanghoon Yoon and Youngjun Im and Jingyu Choi and Taehwan Jeong and Jinkyoo Park}, editor = {Ambuj K. Singh and Yizhou Sun and Leman Akoglu and Dimitrios Gunopulos and Xifeng Yan and Ravi Kumar and Fatma Ozcan and Jieping Ye}, title = {Learning Multivariate Hawkes Process via Graph Recurrent Neural Network}, booktitle = {Proceedings of the 29th {ACM} {SIGKDD} Conference on Knowledge Discovery and Data Mining, {KDD} 2023, Long Beach, CA, USA, August 6-10, 2023}, pages = {5451--5462}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3580305.3599857}, doi = {10.1145/3580305.3599857}, timestamp = {Mon, 25 Sep 2023 08:29:22 +0200}, biburl = {https://dblp.org/rec/conf/kdd/YoonICJP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KoPOIJCKCC23, author = {Soo Jeong Ko and Sunghyeon Park and Seol Whan Oh and Yun Seon Im and Surin Jung and Bo Yeon Choi and Jaeyoon Kim and Wona Choi and In Young Choi}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Text Extraction and Standardization System Development for Pathological Records in the Korea Biobank Network}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {1440--1441}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI231234}, doi = {10.3233/SHTI231234}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KoPOIJCKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/OhKIJCKPCC23, author = {Seol Whan Oh and Soo Jeong Ko and Yun Seon Im and Surin Jung and Bo Yeon Choi and Jae Yoon Kim and Sunghyeon Park and Wona Choi and In Young Choi}, editor = {Jen Bichel{-}Findlay and Paula Otero and Philip Scott and Elaine Huesing}, title = {Development of Integrated Data Quality Management System for Observational Medical Outcomes Partnership Common Data Model}, booktitle = {{MEDINFO} 2023 - The Future Is Accessible - Proceedings of the 19th World Congress on Medical and Health Informatics, Sydney, NSW, Australia, 8-12 July 2023}, series = {Studies in Health Technology and Informatics}, volume = {310}, pages = {349--353}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI230985}, doi = {10.3233/SHTI230985}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/OhKIJCKPCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mie/KoPOIJCKCC23, author = {Soo Jeong Ko and Sunghyeon Park and Seol Whan Oh and Yun Seon Im and Surin Jung and Bo Yeon Choi and Jaeyoon Kim and Wona Choi and In Young Choi}, editor = {Maria H{\"{a}}gglund and Madeleine Blusi and Stefano Bonacina and Lina Nilsson and Inge Cort Madsen and Sylvia Pelayo and Anne Moen and Arriel Benis and Lars Lindsk{\"{o}}ld and Parisis Gallos}, title = {Text Extraction and Standardization System Development for Pathological Records in the Korea Biobank Network}, booktitle = {Caring is Sharing - Exploiting the Value in Data for Health and Innovation - Proceedings of {MIE} 2023, Gothenburg, Sweden, 22 - 25 May 2023}, series = {Studies in Health Technology and Informatics}, volume = {302}, pages = {392--393}, publisher = {{IOS} Press}, year = {2023}, url = {https://doi.org/10.3233/SHTI230156}, doi = {10.3233/SHTI230156}, timestamp = {Sun, 04 Aug 2024 19:37:05 +0200}, biburl = {https://dblp.org/rec/conf/mie/KoPOIJCKCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ppopp/LeeKRSJPBS23, author = {Jay Hwan Lee and Yeonsoo Kim and Younghyun Ryu and Wasuwee Sodsong and Hyunjun Jeon and Jinsik Park and Bernd Burgstaller and Bernhard Scholz}, editor = {Quan Chen and Zhiyi Huang and Min Si}, title = {Julia Cloud Matrix Machine: Dynamic Matrix Language Acceleration on Multicore Clusters in the Cloud}, booktitle = {Proceedings of the 14th International Workshop on Programming Models and Applications for Multicores and Manycores, PMAM@PPoPP 2023, Montreal, QC, Canada, 25 February 2023 - 1 March 2023}, pages = {1--10}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3582514.3582518}, doi = {10.1145/3582514.3582518}, timestamp = {Sat, 11 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ppopp/LeeKRSJPBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/BangKCKPLLJKJHK23, author = {Jun{-}Suk Bang and Dongsu Kim and Young{-}Hwan Choo and Ik{-}Hwan Kim and Seungchan Park and Jeongkwang Lee and Sang{-}Han Lee and Young{-}Ho Jung and Jae{-}Young Ko and Sung{-}Youb Jung and Jae{-}Yeol Han and Woosik Kim and Ji{-}Seon Paek and Jongwoo Lee}, title = {5G {NR} {RF} {PA} Supply Modulator Supporting 179ns 0.5-to-5.5V Symbol Power Tracking and Envelope Tracking}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185384}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185384}, timestamp = {Fri, 28 Jul 2023 10:40:41 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/BangKCKPLLJKJHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JeongKSLCPKKJPK23, author = {Jaeyong Jeong and Seong Kwang Kim and Yoon{-}Je Suh and Jisung Lee and Joonyoung Choi and Juhyuk Park and Joon Pyo Kim and Bong Ho Kim and Younjung Jo and Seung{-}Young Park and Jongmin Kim and Sanghyeon Kim}, title = {Cryogenic {RF} Transistors and Routing Circuits Based on 3D Stackable InGaAs HEMTs with Nb Superconductors for Large-Scale Quantum Signal Processing}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185262}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185262}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JeongKSLCPKKJPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JeongLMMLKMCSKC23, author = {Jaehun Jeong and Sanghyeon Lee and Sada{-}Aki Masuoka and Shincheol Min and Sanghoon Lee and Seungkwon Kim and Taehun Myung and Byungha Choi and Chang{-}Woo Sohn and Sung Won Kim and Jeongmin Choi and Jungmin Park and Hyungjong Lee and Taeyoung Kim and Seokhoon Kim and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {World's First {GAA} 3nm Foundry platform Technology {(SF3)} with Novel Multi-Bridge-Channel-FET (MBCFET{\texttrademark}) Process}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185353}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185353}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JeongLMMLKMCSKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KimSRLKSKKJCLJK23, author = {Kanguk Kim and Youngwoo Son and Hoin Ryu and Byunghyun Lee and Jooncheol Kim and Hyunsu Shin and Joonyoung Kang and Jihun Kim and Shinwoo Jeong and Kyosuk Chae and Dongkak Lee and Ilwoo Jung and Yongkwan Kim and Boyoung Song and Jeonghoon Oh and Jungwoo Song and Seguen Park and Keumjoo Lee and Hyodong Ban and Jiyoung Kim and Jooyoung Lee}, title = {14nm {DRAM} Development and Manufacturing}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185314}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185314}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/KimSRLKSKKJCLJK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/LeePCCJH23, author = {Chan{-}Ho Lee and Hyo{-}Jin Park and Joo{-}Mi Cho and Hyeon{-}Ji Choi and Young{-}Jun Jeon and Sung{-}Wan Hong}, title = {A 1V 20.7{\(\mu\)}W Four-Stage Amplifier Capable of Driving a 4-to-12nF Capacitive Load with {\textgreater}1.07MHz {GBW} with an Improved Active Zero}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185347}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185347}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/LeePCCJH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/SonPJKKCYKJMHJS23, author = {Kihwang Son and Seulki Park and Kyunghoon Jung and Jun{-}Gyu Kim and Younggun Ko and Keonyong Cheon and Changkeun Yoon and Jiho Kim and Jaehun Jeong and Taehun Myung and Changmin Hong and Weonwi Jang and Min{-}Chul Sun and Sungil Jo and Ju{-}Youn Kim and Byungmoo Song and Yuri Yasuda{-}Masuoka and Ja{-}Hum Ku and Gitae Jeong}, title = {Highly Reliable/Manufacturable 4nm FinFET Platform Technology {(SF4X)} for {HPC} Application with Dual-CPP/HP-HD Standard Cells}, booktitle = {2023 {IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits), Kyoto, Japan, June 11-16, 2023}, pages = {1--2}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.23919/VLSITechnologyandCir57934.2023.10185365}, doi = {10.23919/VLSITECHNOLOGYANDCIR57934.2023.10185365}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/SonPJKKCYKJMHJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/KoRHJKPHTK23, author = {Yun{-}Yong Ko and Seongeun Ryu and Soeun Han and Youngseung Jeon and Jaehoon Kim and Sohyun Park and Kyungsik Han and Hanghang Tong and Sang{-}Wook Kim}, editor = {Ying Ding and Jie Tang and Juan F. Sequeda and Lora Aroyo and Carlos Castillo and Geert{-}Jan Houben}, title = {{KHAN:} Knowledge-Aware Hierarchical Attention Networks for Accurate Political Stance Prediction}, booktitle = {Proceedings of the {ACM} Web Conference 2023, {WWW} 2023, Austin, TX, USA, 30 April 2023 - 4 May 2023}, pages = {1572--1583}, publisher = {{ACM}}, year = {2023}, url = {https://doi.org/10.1145/3543507.3583300}, doi = {10.1145/3543507.3583300}, timestamp = {Mon, 28 Aug 2023 21:17:10 +0200}, biburl = {https://dblp.org/rec/conf/www/KoRHJKPHTK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@misc{DBLP:data/10/SeoLPPHKKRBS23, author = {Yong{-}Sin Seo and Jae{-}Young Lee and Chanhun Park and Jongwoo Park and Byung{-}Kil Han and Je{-}Sung Koh and Uikyum Kim and Hugo Rodrigue and Jeongae Bak and Sung{-}Hyuk Song}, title = {Supplementary materials and video files}, publisher = {{IEEE} DataPort}, year = {2023}, month = feb, howpublished = {\url{https://doi.org/10.21227/xps8-wv71}}, note = {Accessed on YYYY-MM-DD.}, url = {https://doi.org/10.21227/xps8-wv71}, doi = {10.21227/XPS8-WV71}, timestamp = {Thu, 18 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/data/10/SeoLPPHKKRBS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-01530, author = {Jongwoo Ko and Seungjoon Park and Minchan Jeong and Sukjin Hong and Euijai Ahn and Du{-}Seong Chang and Se{-}Young Yun}, title = {Revisiting Intermediate Layer Distillation for Compressing Language Models: An Overfitting Perspective}, journal = {CoRR}, volume = {abs/2302.01530}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.01530}, doi = {10.48550/ARXIV.2302.01530}, eprinttype = {arXiv}, eprint = {2302.01530}, timestamp = {Thu, 09 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-01530.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2302-05942, author = {Moon Jeong Park and Youngbin Choi and Namhoon Lee and Dongwoo Kim}, title = {SpReME: Sparse Regression for Multi-Environment Dynamic Systems}, journal = {CoRR}, volume = {abs/2302.05942}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2302.05942}, doi = {10.48550/ARXIV.2302.05942}, eprinttype = {arXiv}, eprint = {2302.05942}, timestamp = {Mon, 16 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2302-05942.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-05715, author = {Seungmin Jeon and Kwang Pyo Choi and Youngo Park and Chang{-}Su Kim}, title = {Context-Based Trit-Plane Coding for Progressive Image Compression}, journal = {CoRR}, volume = {abs/2303.05715}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.05715}, doi = {10.48550/ARXIV.2303.05715}, eprinttype = {arXiv}, eprint = {2303.05715}, timestamp = {Thu, 16 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-05715.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-13110, author = {Jeongun Ryu and Aaron Valero Puche and Jaewoong Shin and Seonwook Park and Biagio Brattoli and Jinhee Lee and Wonkyung Jung and Soo Ick Cho and Kyunghyun Paeng and Chan{-}Young Ock and Donggeun Yoo and S{\'{e}}rgio Pereira}, title = {{OCELOT:} Overlapped Cell on Tissue Dataset for Histopathology}, journal = {CoRR}, volume = {abs/2303.13110}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.13110}, doi = {10.48550/ARXIV.2303.13110}, eprinttype = {arXiv}, eprint = {2303.13110}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-13110.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-15108, author = {Sang{-}Hun Han and Min{-}Gyu Park and Ju Hong Yoon and Ju{-}Mi Kang and Young{-}Jae Park and Hae{-}Gon Jeon}, title = {High-fidelity 3D Human Digitization from Single 2K Resolution Images}, journal = {CoRR}, volume = {abs/2303.15108}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.15108}, doi = {10.48550/ARXIV.2303.15108}, eprinttype = {arXiv}, eprint = {2303.15108}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-15108.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2303-16055, author = {Frank Regal and Young Soo Park and Jerry Nolan and Mitch Pryor}, title = {Augmented Reality Remote Operation of Dual Arm Manipulators in Hot Boxes}, journal = {CoRR}, volume = {abs/2303.16055}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2303.16055}, doi = {10.48550/ARXIV.2303.16055}, eprinttype = {arXiv}, eprint = {2303.16055}, timestamp = {Fri, 14 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2303-16055.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-08611, author = {Joonhyun Jeong and Joonsang Yu and Geondo Park and Dongyoon Han and Youngjoon Yoo}, title = {GeNAS: Neural Architecture Search with Better Generalization}, journal = {CoRR}, volume = {abs/2305.08611}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.08611}, doi = {10.48550/ARXIV.2305.08611}, eprinttype = {arXiv}, eprint = {2305.08611}, timestamp = {Wed, 17 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-08611.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-09986, author = {Hyoung Suk Park and Young Jin Jeong and Kiwan Jeon}, title = {A robust multi-domain network for short-scanning amyloid {PET} reconstruction}, journal = {CoRR}, volume = {abs/2305.09986}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.09986}, doi = {10.48550/ARXIV.2305.09986}, eprinttype = {arXiv}, eprint = {2305.09986}, timestamp = {Wed, 24 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-09986.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-10376, author = {Jeongeun Park and Seungwon Lim and Joonhyung Lee and Sangbeom Park and Minsuk Chang and Youngjae Yu and Sungjoon Choi}, title = {{CLARA:} Classifying and Disambiguating User Commands for Reliable Interactive Robotic Agents}, journal = {CoRR}, volume = {abs/2306.10376}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.10376}, doi = {10.48550/ARXIV.2306.10376}, eprinttype = {arXiv}, eprint = {2306.10376}, timestamp = {Tue, 27 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-10376.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2307-10667, author = {Haechang Lee and Dongwon Park and Wongi Jeong and Kijeong Kim and Hyunwoo Je and Dongil Ryu and Se Young Chun}, title = {Efficient Unified Demosaicing for Bayer and Non-Bayer Patterned Image Sensors}, journal = {CoRR}, volume = {abs/2307.10667}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2307.10667}, doi = {10.48550/ARXIV.2307.10667}, eprinttype = {arXiv}, eprint = {2307.10667}, timestamp = {Fri, 23 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2307-10667.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-00558, author = {Seongsik Park and Jeonghee Jo and Jongkil Park and Yeonjoo Jeong and Jaewook Kim and Suyoun Lee and Joon Young Kwak and Inho Kim and Jong{-}Keuk Park and Kyeong Seok Lee and Gye Weon Hwang and Hyun Jae Jang}, title = {Gradient Scaling on Deep Spiking Neural Networks with Spike-Dependent Local Information}, journal = {CoRR}, volume = {abs/2308.00558}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.00558}, doi = {10.48550/ARXIV.2308.00558}, eprinttype = {arXiv}, eprint = {2308.00558}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-00558.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2309-02064, author = {Youngjune Lee and Yeongjong Jeong and Keunchan Park and SeongKu Kang}, title = {MvFS: Multi-view Feature Selection for Recommender System}, journal = {CoRR}, volume = {abs/2309.02064}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2309.02064}, doi = {10.48550/ARXIV.2309.02064}, eprinttype = {arXiv}, eprint = {2309.02064}, timestamp = {Mon, 11 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2309-02064.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-02120, author = {Heungseok Park and Aeree Cho and Hyojun Jeon and Hayoung Lee and Youngil Yang and Sungjae Lee and Heungsub Lee and Jaegul Choo}, title = {HPCClusterScape: Increasing Transparency and Efficiency of Shared High-Performance Computing Clusters for Large-scale {AI} Models}, journal = {CoRR}, volume = {abs/2310.02120}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.02120}, doi = {10.48550/ARXIV.2310.02120}, eprinttype = {arXiv}, eprint = {2310.02120}, timestamp = {Thu, 19 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-02120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2311-10269, author = {Yu Ando and Nora Jee{-}Young Park and Gun Oh Chong and Seokhwan Ko and Donghyeon Lee and Junghwan Cho and Hyungsoo Han}, title = {Interpretable pap smear cell representation for cervical cancer screening}, journal = {CoRR}, volume = {abs/2311.10269}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2311.10269}, doi = {10.48550/ARXIV.2311.10269}, eprinttype = {arXiv}, eprint = {2311.10269}, timestamp = {Wed, 29 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2311-10269.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-06279, author = {JeongJun Park and Lusungu Josh Mwasinga and Huigyu Yang and Syed M. Raza and Duc Tai Le and Moonseong Kim and Min Young Chung and Hyunseung Choo}, title = {Regional Correlation Aided Mobile Traffic Prediction with Spatiotemporal Deep Learning}, journal = {CoRR}, volume = {abs/2312.06279}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.06279}, doi = {10.48550/ARXIV.2312.06279}, eprinttype = {arXiv}, eprint = {2312.06279}, timestamp = {Wed, 03 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-06279.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-11949, author = {Daeun Choi and Sumin Hong and Jeongeon Park and John Joon Young Chung and Juho Kim}, title = {CreativeConnect: Supporting Reference Recombination for Graphic Design Ideation with Generative {AI}}, journal = {CoRR}, volume = {abs/2312.11949}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.11949}, doi = {10.48550/ARXIV.2312.11949}, eprinttype = {arXiv}, eprint = {2312.11949}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-11949.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2312-12467, author = {Youn{-}Yeol Yu and Jeongwhan Choi and Woojin Cho and Kookjin Lee and Nayong Kim and Kiseok Chang and ChangSeung Woo and Ilho Kim and SeokWoo Lee and Joon{-}Young Yang and Sooyoung Yoon and Noseong Park}, title = {Learning Flexible Body Collision Dynamics with Hierarchical Contact Mesh Transformer}, journal = {CoRR}, volume = {abs/2312.12467}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2312.12467}, doi = {10.48550/ARXIV.2312.12467}, eprinttype = {arXiv}, eprint = {2312.12467}, timestamp = {Wed, 17 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2312-12467.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ByunPKJJ22, author = {Jin Young Byun and Jae Wan Park and Do Yeon Kim and Chang Young Jo and Jae Wook Jeon}, title = {Effective In-Vehicle Network Training Strategy for Automotive Engineers}, journal = {{IEEE} Access}, volume = {10}, pages = {29252--29266}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3158269}, doi = {10.1109/ACCESS.2022.3158269}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ByunPKJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HuKKLPKKKPKCJ22, author = {Suman Hu and Jaehyun Kang and Taeyoon Kim and Suyoun Lee and Jong{-}Keuk Park and Inho Kim and Jaewook Kim and Joon Young Kwak and Jongkil Park and Gyu{-}Tae Kim and Shinhyun Choi and YeonJoo Jeong}, title = {{SPICE} Study of {STDP} Characteristics in a Drift and Diffusive Memristor-Based Synapse for Neuromorphic Computing}, journal = {{IEEE} Access}, volume = {10}, pages = {6381--6392}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3140476}, doi = {10.1109/ACCESS.2022.3140476}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HuKKLPKKKPKCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/HwangJKPLK22, author = {Minyoung Hwang and Jeongju Jee and Joonhyuk Kang and Hyuncheol Park and Seonmin Lee and Jinyoung Kim}, title = {Dynamic Error Recovery Flow Prediction Based on Reusable Machine Learning for Low Latency {NAND} Flash Memory Under Process Variation}, journal = {{IEEE} Access}, volume = {10}, pages = {117715--117731}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3220337}, doi = {10.1109/ACCESS.2022.3220337}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/HwangJKPLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongSPOC22, author = {Young{-}Woon Jeong and Kwee{-}Bo Sim and Seungmin Park and Jeill Oh and Woo June Choi}, title = {Generation of {CNN} Architectures Using the Harmonic Search Algorithm and its Application to Classification of Damaged Sewer}, journal = {{IEEE} Access}, volume = {10}, pages = {32150--32160}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3160719}, doi = {10.1109/ACCESS.2022.3160719}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongSPOC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwonSPKPLJ22, author = {Deok Kyu Kwon and Seunghwan Son and Yohan Park and HyungPyo Kim and Youngho Park and Sangwoo Lee and Yongsung Jeon}, title = {Design of Secure Handover Authentication Scheme for Urban Air Mobility Environments}, journal = {{IEEE} Access}, volume = {10}, pages = {42529--42541}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3168843}, doi = {10.1109/ACCESS.2022.3168843}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KwonSPKPLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkLLKCDCLJYJJ22, author = {Se{-}Jun Park and In{-}Bok Lee and Sang{-}Yeon Lee and Jun{-}Gyu Kim and Jeonghwa Cho and Cristina Decano{-}Valentin and Young{-}Bae Choi and Min{-}Hyung Lee and Hyo{-}Hyeog Jeong and Uk{-}Hyeon Yeo and Woo{-}Sug Jung and Deuk{-}Young Jeong}, title = {Air Conditioning System Design to Reduce Condensation in an Underground Utility Tunnel Using {CFD}}, journal = {{IEEE} Access}, volume = {10}, pages = {116384--116401}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3219210}, doi = {10.1109/ACCESS.2022.3219210}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkLLKCDCLJYJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkSH22, author = {Jeonghoon Park and Youngjin Seo and Jun Heo}, title = {Applications of a Quantum Linear System Algorithm to Linear {MIMO} Detections}, journal = {{IEEE} Access}, volume = {10}, pages = {36233--36245}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3164071}, doi = {10.1109/ACCESS.2022.3164071}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkSH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkYJK22, author = {Jeong{-}Hoon Park and Young Jun Yoon and Jaehoon Jung and Seong{-}Cheol Kim}, title = {Novel Multiplexing Scheme for Resolving the Velocity Ambiguity Problem in {MIMO} {FMCW} Radar Using {MPSK} Code}, journal = {{IEEE} Access}, volume = {10}, pages = {75234--75244}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3188444}, doi = {10.1109/ACCESS.2022.3188444}, timestamp = {Mon, 08 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkYJK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChoLPLKPLS22, author = {Min{-}Young Cho and Jin{-}Woong Lee and Chaewon Park and Byung Do Lee and Joon Seok Kyeong and Eun Jeong Park and Kee Yang Lee and Kee{-}Sun Sohn}, title = {Large-Area Piezoresistive Tactile Sensor Developed by Training a Super-Simple Single-Layer Carbon Nanotube-Dispersed Polydimethylsiloxane Pad}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {1}, year = {2022}, url = {https://doi.org/10.1002/aisy.202270002}, doi = {10.1002/AISY.202270002}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChoLPLKPLS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/ChoLPLKPLS22a, author = {Min{-}Young Cho and Jin{-}Woong Lee and Chaewon Park and Byung Do Lee and Joon Seok Kyeong and Eun Jeong Park and Kee Yang Lee and Kee{-}Sun Sohn}, title = {Large-Area Piezoresistive Tactile Sensor Developed by Training a Super-Simple Single-Layer Carbon Nanotube-Dispersed Polydimethylsiloxane Pad}, journal = {Adv. Intell. Syst.}, volume = {4}, number = {1}, year = {2022}, url = {https://doi.org/10.1002/aisy.202100123}, doi = {10.1002/AISY.202100123}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/ChoLPLKPLS22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/amc/ShinJP22, author = {Dongwook Shin and Youngmok Jeon and Eun{-}Jae Park}, title = {A novel hybrid difference method for an elliptic equation}, journal = {Appl. Math. Comput.}, volume = {415}, pages = {126702}, year = {2022}, url = {https://doi.org/10.1016/j.amc.2021.126702}, doi = {10.1016/J.AMC.2021.126702}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/amc/ShinJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bib/JeonHPLM22, author = {Young{-}Jun Jeon and Md. Mehedi Hasan and Hyun Woo Park and Ki Wook Lee and Balachandran Manavalan}, title = {{TACOS:} a novel approach for accurate prediction of cell-specific long noncoding RNAs subcellular localization}, journal = {Briefings Bioinform.}, volume = {23}, number = {4}, year = {2022}, url = {https://doi.org/10.1093/bib/bbac243}, doi = {10.1093/BIB/BBAC243}, timestamp = {Sat, 13 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bib/JeonHPLM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/ParkGK22, author = {Jeongeun Park and Jinmo Gu and Ha Young Kim}, title = {"Do not deceive me anymore!" interpretation through model design and visualization for instagram counterfeit seller account detection}, journal = {Comput. Hum. Behav.}, volume = {137}, pages = {107418}, year = {2022}, url = {https://doi.org/10.1016/j.chb.2022.107418}, doi = {10.1016/J.CHB.2022.107418}, timestamp = {Mon, 01 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/ParkGK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkJCYK22, author = {Tae Young Park and Ji Hyeok Jeong and Yong An Chung and Sang Hoon Yeo and Hyungmin Kim}, title = {Application of subject-specific helmets for the study of human visuomotor behavior using transcranial focused ultrasound: a pilot study}, journal = {Comput. Methods Programs Biomed.}, volume = {226}, pages = {107127}, year = {2022}, url = {https://doi.org/10.1016/j.cmpb.2022.107127}, doi = {10.1016/J.CMPB.2022.107127}, timestamp = {Wed, 03 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ParkJCYK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compnet/KimPHHP22, author = {Tae Yoon Kim and Cheolyong Park and Jeongcheol Ha and Sun Young Hwang and Inho Park}, title = {Reformulating scale-free network via strong dependency}, journal = {J. Complex Networks}, volume = {10}, number = {6}, year = {2022}, url = {https://doi.org/10.1093/comnet/cnac052}, doi = {10.1093/COMNET/CNAC052}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compnet/KimPHHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cssc/SeoLP22, author = {Yun Am Seo and Youngsaeng Lee and Jeong{-}Soo Park}, title = {Iterative method for tuning complex simulation code}, journal = {Commun. Stat. Simul. Comput.}, volume = {51}, number = {7}, pages = {3975--3992}, year = {2022}, url = {https://doi.org/10.1080/03610918.2020.1728317}, doi = {10.1080/03610918.2020.1728317}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cssc/SeoLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eor/ParkSK22, author = {YoungSoo Park and Jeongeun Sim and Bosung Kim}, title = {Online retail operations with "Try-Before-You-Buy"}, journal = {Eur. J. Oper. Res.}, volume = {299}, number = {3}, pages = {987--1002}, year = {2022}, url = {https://doi.org/10.1016/j.ejor.2021.09.049}, doi = {10.1016/J.EJOR.2021.09.049}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eor/ParkSK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimPYSKRK22, author = {Sungsoo Kim and Jeongeun Park and Donguk Yang and Dongyup Shin and Jungyeon Kim and Hyunsurk Eric Ryu and Ha Young Kim}, title = {Ultra-lightweight face activation for dynamic vision sensor with convolutional filter-level fusion using facial landmarks}, journal = {Expert Syst. Appl.}, volume = {205}, pages = {117792}, year = {2022}, url = {https://doi.org/10.1016/j.eswa.2022.117792}, doi = {10.1016/J.ESWA.2022.117792}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimPYSKRK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gis/ParkKGH22, author = {Jinwoo Park and Jeon{-}Young Kang and Daniel W. Goldberg and Tracy Anne Hammond}, title = {Leveraging temporal changes of spatial accessibility measurements for better policy implications: a case study of electric vehicle {(EV)} charging stations in Seoul, South Korea}, journal = {Int. J. Geogr. Inf. Sci.}, volume = {36}, number = {6}, pages = {1185--1204}, year = {2022}, url = {https://doi.org/10.1080/13658816.2021.1978450}, doi = {10.1080/13658816.2021.1978450}, timestamp = {Tue, 06 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/gis/ParkKGH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijinfoman/DwivediHBRGADMB22, author = {Yogesh K. Dwivedi and David Laurie Hughes and Abdullah M. Baabdullah and Samuel Ribeiro{-}Navarrete and Mihalis Giannakis and Mutaz M. Al{-}Debei and Denis Dennehy and Bhimaraya A. Metri and Dimitrios Buhalis and Christy M. K. Cheung and Kieran Conboy and Ronan Doyle and Rameshwar Dubey and Vincent Dutot and Reto Felix and D. P. Goyal and Anders Gustafsson and Chris Hinsch and Ikram Jebabli and Marijn Janssen and Young{-}Gab Kim and Jooyoung Kim and Stefan Koos and David Kreps and Nir Kshetri and Vikram Kumar and Keng{-}Boon Ooi and Savvas Papagiannidis and Ilias O. Pappas and Ariana Polyviou and Sang{-}Min Park and Neeraj Pandey and Maciel Manoel Queiroz and Ramakrishnan Raman and Philipp A. Rauschnabel and Anuragini Shirish and Marianna Sigala and Konstantina Spanaki and Garry Wei{-}Han Tan and Manoj Kumar Tiwari and Giampaolo Viglia and Samuel Fosso Wamba}, title = {Metaverse beyond the hype: Multidisciplinary perspectives on emerging challenges, opportunities, and agenda for research, practice and policy}, journal = {Int. J. Inf. Manag.}, volume = {66}, pages = {102542}, year = {2022}, url = {https://doi.org/10.1016/j.ijinfomgt.2022.102542}, doi = {10.1016/J.IJINFOMGT.2022.102542}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijinfoman/DwivediHBRGADMB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ParkJCSHBK22, author = {Hyun Sang Park and Sungmoon Jeong and Ho Young Chung and Jae Young Soh and Young Ho Hyun and Seong Hwan Bang and Hwa Sun Kim}, title = {Use of video-based telehealth services using a mobile app for workers in underserved areas during the {COVID-19} pandemic: {A} prospective observational study}, journal = {Int. J. Medical Informatics}, volume = {166}, pages = {104844}, year = {2022}, url = {https://doi.org/10.1016/j.ijmedinf.2022.104844}, doi = {10.1016/J.IJMEDINF.2022.104844}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmi/ParkJCSHBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijpr/ParkBPS22, author = {Young Woong Park and Jennifer Blackhurst and Chinju Paul and Kevin P. Scheibe}, title = {An analysis of the ripple effect for disruptions occurring in circular flows of a supply chain network}, journal = {Int. J. Prod. Res.}, volume = {60}, number = {15}, pages = {4693--4711}, year = {2022}, url = {https://doi.org/10.1080/00207543.2021.1934745}, doi = {10.1080/00207543.2021.1934745}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijpr/ParkBPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HwangOCJP22, author = {Young{-}Ha Hwang and Jonghyun Oh and Woo{-}Seok Choi and Deog{-}Kyoon Jeong and Jun{-}Eun Park}, title = {A Residue-Current-Locked Hybrid Low-Dropout Regulator Supporting Ultralow Dropout of Sub-50 mV With Fast Settling Time Below 10 ns}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {7}, pages = {2236--2249}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3128815}, doi = {10.1109/JSSC.2021.3128815}, timestamp = {Mon, 25 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HwangOCJP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangYKCLBKKKCJJ22, author = {Jihyo Kang and Jaehyeok Yang and Kyunghoon Kim and Joo{-}Hyung Chae and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Boram Kim and Dong{-}Hyun Kim and Youngtaek Kim and Yeongmuk Cho and Junghwan Ji and Sera Jeong and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Sunho Kim and Hae{-}Kang Jung and Jieun Jang and Sangkwon Lee and Hyungsoo Kim and Joo{-}Hwan Cho and Junhyun Chun and Seon{-}Yong Cha}, title = {A 24-Gb/s/Pin 8-Gb {GDDR6} With a Half-Rate Daisy-Chain-Based Clocking Architecture and {I/O} Circuitry for Low-Noise Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {1}, pages = {212--223}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2021.3114205}, doi = {10.1109/JSSC.2021.3114205}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangYKCLBKKKCJJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SungSKLJJKYJLBP22, author = {Barosaim Sung and Hyun{-}Gi Seok and Jaekwon Kim and Jaehoon Lee and Taejin Jang and Ilhoon Jang and Youngmin Kim and Anna Yu and Jong{-}Hyun Jang and Jiyoung Lee and Jeongyeol Bae and Euiyoung Park and Sung{-}Jun Lee and Seokwon Lee and Joohan Kim and Beomkon Kim and Yong Lim and Seunghyun Oh and Jongwoo Lee}, title = {A Single Path Digital-IF Receiver Supporting Inter/Intra 5-CA With a Single Integer {LO-PLL} in 14-nm {CMOS} FinFET}, journal = {{IEEE} J. Solid State Circuits}, volume = {57}, number = {12}, pages = {3646--3655}, year = {2022}, url = {https://doi.org/10.1109/JSSC.2022.3212375}, doi = {10.1109/JSSC.2022.3212375}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SungSKLJJKYJLBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/YounKSJHPRPK22, author = {Young Chul Youn and Hye Ryoun Kim and Hae Won Shin and Hae{-}Bong Jeong and Sang{-}Won Han and Jung{-}Min Pyun and Nayoung Ryoo and Young Ho Park and Sangyun Kim}, title = {Prediction of amyloid {PET} positivity via machine learning algorithms trained with EDTA-based blood amyloid-{\(\beta\)} oligomerization data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {286}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-02024-z}, doi = {10.1186/S12911-022-02024-Z}, timestamp = {Wed, 16 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/YounKSJHPRPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimJPMCKLJ22, author = {Dongjin Kim and Wonbae Jeon and Jaehyeong Park and Jeonghyeok Mun and Hyunsik Choi and Cheol{-}Hee Kim and Hyo{-}Jung Lee and Hyun{-}Young Jo}, title = {A Numerical Analysis of the Changes in {O3} Concentration in a Wildfire Plume}, journal = {Remote. Sens.}, volume = {14}, number = {18}, pages = {4549}, year = {2022}, url = {https://doi.org/10.3390/rs14184549}, doi = {10.3390/RS14184549}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimJPMCKLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkKJJBJ22, author = {Minjae Park and Jihyung Kim and Sanggi Jeong and Arum Jang and Jaehoon Bae and Young K. Ju}, title = {Machine Learning-Based Concrete Crack Depth Prediction Using Thermal Images Taken under Daylight Conditions}, journal = {Remote. Sens.}, volume = {14}, number = {9}, pages = {2151}, year = {2022}, url = {https://doi.org/10.3390/rs14092151}, doi = {10.3390/RS14092151}, timestamp = {Mon, 13 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkKJJBJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePK22, author = {Jeong{-}Pyo Lee and Kyung{-}Eun Park and Youngok Kim}, title = {Deep Learning-Based Device-Free Localization Scheme for Simultaneous Estimation of Indoor Location and Posture Using {FMCW} Radars}, journal = {Sensors}, volume = {22}, number = {12}, pages = {4447}, year = {2022}, url = {https://doi.org/10.3390/s22124447}, doi = {10.3390/S22124447}, timestamp = {Mon, 26 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeePKKKJ22, author = {Sang{-}Heon Lee and Hae{-}Gwang Park and Ki{-}Hoon Kwon and Byeong Hak Kim and Min Young Kim and Seung{-}Hyun Jeong}, title = {Accurate Ship Detection Using Electro-Optical Image-Based Satellite on Enhanced Feature and Land Awareness}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9491}, year = {2022}, url = {https://doi.org/10.3390/s22239491}, doi = {10.3390/S22239491}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeePKKKJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PattipakaBJPH22, author = {Srinivas Pattipaka and Young Min Bae and Chang Kyu Jeong and Kwi{-}Il Park and Geon{-}Tae Hwang}, title = {Perovskite Piezoelectric-Based Flexible Energy Harvesters for Self-Powered Implantable and Wearable IoT Devices}, journal = {Sensors}, volume = {22}, number = {23}, pages = {9506}, year = {2022}, url = {https://doi.org/10.3390/s22239506}, doi = {10.3390/S22239506}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PattipakaBJPH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LimKAPLKHK22, author = {Bo{-}Mi Lim and Sunhyoung Kwon and Sungjun Ahn and Sung{-}Ik Park and Jae{-}Young Lee and Heung Mook Kim and Namho Hur and Jeongchang Kim}, title = {Field Evaluation of Transmit Diversity Code Filter Sets in {ATSC} 3.0 Single Frequency Networks}, journal = {{IEEE} Trans. Broadcast.}, volume = {68}, number = {1}, pages = {191--202}, year = {2022}, url = {https://doi.org/10.1109/TBC.2021.3118228}, doi = {10.1109/TBC.2021.3118228}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LimKAPLKHK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimHP22, author = {Jeonghee Kim and Youngjib Ham and Hangue Park}, title = {Underground Metal Pipeline Localization Using Low-Cost Wireless Magnetic Sensors Mounted on an Excavator}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {10}, pages = {10674--10683}, year = {2022}, url = {https://doi.org/10.1109/TIE.2022.3159953}, doi = {10.1109/TIE.2022.3159953}, timestamp = {Wed, 18 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimLHJKLKLSBCPK22, author = {Jayoung Kim and Han{-}Sol Lee and Manh Cuong Hoang and Seonghwan Jeong and Jae{-}Seung Kim and Cheong Lee and Byungjeon Kang and Jonghee Lee and Young{-}Don Son and Seungmin Bang and Eunpyo Choi and Jong{-}Oh Park and Chang{-}Sei Kim}, title = {Redundant Electromagnetic Control of an Endoscopic Magnetic Capsule Driven by Multiple Electromagnets Configuration}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {69}, number = {11}, pages = {11370--11382}, year = {2022}, url = {https://doi.org/10.1109/TIE.2021.3120443}, doi = {10.1109/TIE.2021.3120443}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimLHJKLKLSBCPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/JeonPLCKS22, author = {Jiyun Jeon and Chan Sam Park and Sangheon Lee and Hee Young Chae and Jae Joon Kim and Hungsun Son}, title = {Magnetic Induction Tomography Using Multi-Channel Phase-Domain Transceiver for Structural Health Monitoring}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {71}, pages = {1--9}, year = {2022}, url = {https://doi.org/10.1109/TIM.2022.3151951}, doi = {10.1109/TIM.2022.3151951}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/JeonPLCKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tog/JeonKHCKPL22, author = {Sang{-}Bin Jeon and Soon{-}Uk Kwon and June{-}Young Hwang and Yong{-}Hun Cho and Hayeon Kim and Jinhyung Park and In{-}Kwon Lee}, title = {Dynamic optimal space partitioning for redirected walking in multi-user environment}, journal = {{ACM} Trans. Graph.}, volume = {41}, number = {4}, pages = {90:1--90:14}, year = {2022}, url = {https://doi.org/10.1145/3528223.3530113}, doi = {10.1145/3528223.3530113}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tog/JeonKHCKPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/HwangSPJ22, author = {Young{-}Ha Hwang and Yoonho Song and Jun{-}Eun Park and Deog{-}Kyoon Jeong}, title = {A Fully Passive Noise-Shaping {SAR} {ADC} Utilizing Last-Bit Majority Voting and Cyclic Dynamic Element Matching Techniques}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {30}, number = {10}, pages = {1381--1390}, year = {2022}, url = {https://doi.org/10.1109/TVLSI.2022.3190927}, doi = {10.1109/TVLSI.2022.3190927}, timestamp = {Tue, 18 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/HwangSPJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ParkJ22, author = {Youngmin Park and Dongsuk Jeon}, title = {A 270-mA Self-Calibrating-Clocked Output-Capacitor-Free {LDO} With 0.15-1.15V Output Range and 0.183-fs FoM}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {30}, number = {9}, pages = {1269--1280}, year = {2022}, url = {https://doi.org/10.1109/TVLSI.2022.3180774}, doi = {10.1109/TVLSI.2022.3180774}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/ParkJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ParkPLJ22, author = {Jin{-}Hwi Park and Young{-}Jae Park and Junoh Lee and Hae{-}Gon Jeon}, title = {DevianceNet: Learning to Predict Deviance from a Large-Scale Geo-Tagged Dataset}, booktitle = {Thirty-Sixth {AAAI} Conference on Artificial Intelligence, {AAAI} 2022, Thirty-Fourth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2022, The Twelveth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2022 Virtual Event, February 22 - March 1, 2022}, pages = {12043--12052}, publisher = {{AAAI} Press}, year = {2022}, url = {https://doi.org/10.1609/aaai.v36i11.21463}, doi = {10.1609/AAAI.V36I11.21463}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ParkPLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/KimPK22, author = {Jungeun Kim and Jeongeun Park and Ha Young Kim}, editor = {Lei Wang and Juergen Gall and Tat{-}Jun Chin and Imari Sato and Rama Chellappa}, title = {{ADEL:} Adaptive Distribution Effective-Matching Method for Guiding Generators of GANs}, booktitle = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {VII}}, series = {Lecture Notes in Computer Science}, volume = {13847}, pages = {37--53}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26293-7\_3}, doi = {10.1007/978-3-031-26293-7\_3}, timestamp = {Tue, 28 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/accv/KimPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/acsac/HeoGJK0PKSL22, author = {Jeongyoon Heo and Sangwon Gil and Youngman Jung and Jinmok Kim and Donguk Kim and Woojin Park and Yongdae Kim and Kang G. Shin and Choong{-}Hoon Lee}, title = {Are There Wireless Hidden Cameras Spying on Me?}, booktitle = {Annual Computer Security Applications Conference, {ACSAC} 2022, Austin, TX, USA, December 5-9, 2022}, pages = {714--726}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3564625.3564632}, doi = {10.1145/3564625.3564632}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/acsac/HeoGJK0PKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apvis/ParkLKJJBS22, author = {Sebeom Park and Soohyun Lee and Youngtaek Kim and Hyeon Jeon and Seokweon Jung and Jinwook Bok and Jinwook Seo}, title = {{VANT:} {A} Visual Analytics System for Refining Parallel Corpora in Neural Machine Translation}, booktitle = {15th {IEEE} Pacific Visualization Symposium, PacificVis 2022, Tsukuba, Japan, April 11-14, 2022}, pages = {181--185}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/PacificVis53943.2022.00029}, doi = {10.1109/PACIFICVIS53943.2022.00029}, timestamp = {Mon, 13 Jun 2022 16:53:35 +0200}, biburl = {https://dblp.org/rec/conf/apvis/ParkLKJJBS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/ChaSWLNP22, author = {Yoon Jeong Cha and Arpita Saxena and Alice Wou and Joyce M. Lee and Mark W. Newman and Sun Young Park}, editor = {Simone D. J. Barbosa and Cliff Lampe and Caroline Appert and David A. Shamma and Steven Mark Drucker and Julie R. Williamson and Koji Yatani}, title = {Transitioning Toward Independence: Enhancing Collaborative Self-Management of Children with Type 1 Diabetes}, booktitle = {{CHI} '22: {CHI} Conference on Human Factors in Computing Systems, New Orleans, LA, USA, 29 April 2022 - 5 May 2022}, pages = {522:1--522:17}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3491102.3502055}, doi = {10.1145/3491102.3502055}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chi/ChaSWLNP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KimPBSYSCULJSRJ22, author = {Joohwan Kim and Junyoung Park and Jindo Byun and Changkyu Seol and Chang Soo Yoon and EunSeok Shin and Hyunyoon Cho and Youngdo Um and Sucheol Lee and Hyungmin Jin and Kwangseob Shin and Hyunsub Norbert Rie and Minsu Jung and Jin{-}Hee Park and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and Hyejeong So and Sungduk Kim and Wansoo Park and Tae Young Kim and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko and Sang{-}Hyun Lee}, title = {A 60-Gb/s/pin single-ended {PAM-4} transmitter with timing skew training and low power data encoding in mimicked 10nm class {DRAM} process}, booktitle = {{IEEE} Custom Integrated Circuits Conference, {CICC} 2022, Newport Beach, CA, USA, April 24-27, 2022}, pages = {1--2}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CICC53496.2022.9772814}, doi = {10.1109/CICC53496.2022.9772814}, timestamp = {Mon, 23 May 2022 16:36:20 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KimPBSYSCULJSRJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cinc/AlskafiKLPJ22, author = {Feryal A. Alskafi and Ahsan H. Khandoker and Uichin Lee and Cheul Young Park and Herbert F. Jelinek}, title = {Multimodal Analysis of Physiological Signals for Wearable-Based Emotion Recognition Using Machine Learning}, booktitle = {Computing in Cardiology, CinC 2022, Tampere, Finland, September 4-7, 2022}, pages = {1--4}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.22489/CinC.2022.328}, doi = {10.22489/CINC.2022.328}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cinc/AlskafiKLPJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/LeeJCP022, author = {Jae{-}Han Lee and Seungmin Jeon and Kwang Pyo Choi and Youngo Park and Chang{-}Su Kim}, title = {{DPICT:} Deep Progressive Image Compression Using Trit-Planes}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {16092--16101}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.01564}, doi = {10.1109/CVPR52688.2022.01564}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/LeeJCP022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ParkK22, author = {Jeeseung Park and Younggeun Kim}, title = {Styleformer: Transformer based Generative Adversarial Networks with Style Vector}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {8973--8982}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.00878}, doi = {10.1109/CVPR52688.2022.00878}, timestamp = {Wed, 05 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ParkK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22, author = {Andrey Ignatov and Radu Timofte and Maurizio Denna and Abdel Younes and Ganzorig Gankhuyag and Jingang Huh and Myeong Kyun Kim and Kihwan Yoon and Hyeon{-}Cheol Moon and Seungho Lee and Yoonsik Choe and Jinwoo Jeong and Sungjei Kim and Maciej Smyl and Tomasz Latkowski and Pawel Kubik and Michal Sokolski and Yujie Ma and Jiahao Chao and Zhou Zhou and Hongfan Gao and Zhengfeng Yang and Zhenbing Zeng and Zhengyang Zhuge and Chenghua Li and Dan Zhu and Mengdi Sun and Ran Duan and Yan Gao and Lingshun Kong and Long Sun and Xiang Li and Xingdong Zhang and Jiawei Zhang and Yaqi Wu and Jinshan Pan and Gaocheng Yu and Jin Zhang and Feng Zhang and Zhe Ma and Hongbin Wang and Hojin Cho and Steve Kim and Huaen Li and Yanbo Ma and Ziwei Luo and Youwei Li and Lei Yu and Zhihong Wen and Qi Wu and Haoqiang Fan and Shuaicheng Liu and Lize Zhang and Zhikai Zong and Jeremy Kwon and Junxi Zhang and Mengyuan Li and Nianxiang Fu and Guanchen Ding and Han Zhu and Zhenzhong Chen and Gen Li and Yuanfan Zhang and Lei Sun and Dafeng Zhang and Neo Yang and Fitz Liu and Jerry Zhao and Mustafa Ayazoglu and Bahri Batuhan Bilecen and Shota Hirose and Kasidis Arunruangsirilert and Luo Ao and Ho Chun Leung and Andrew Wei and Jie Liu and Qiang Liu and Dahai Yu and Ao Li and Lei Luo and Ce Zhu and Seongmin Hong and Dongwon Park and Joonhee Lee and Byeong Hyun Lee and Seunggyu Lee and Se Young Chun and Ruiyuan He and Xuhao Jiang and Haihang Ruan and Xinjian Zhang and Jing Liu and Garas Gendy and Nabil Sabor and Jingchao Hou and Guanghui He}, editor = {Leonid Karlinsky and Tomer Michaeli and Ko Nishino}, title = {Efficient and Accurate Quantized Image Super-Resolution on Mobile NPUs, Mobile {AI} {\&} {AIM} 2022 Challenge: Report}, booktitle = {Computer Vision - {ECCV} 2022 Workshops - Tel Aviv, Israel, October 23-27, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13803}, pages = {92--129}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-25066-8\_5}, doi = {10.1007/978-3-031-25066-8\_5}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/eccv/IgnatovTDYGHKYMLCJKSLKSMCZGYZZLZSDGKSL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/BaeKKLKJKLPS22, author = {Sanghwan Bae and Dong{-}Hyun Kwak and Soyoung Kang and Min Young Lee and Sungdong Kim and Yuin Jeong and Hyeri Kim and Sang{-}Woo Lee and Woo{-}Myoung Park and Nako Sung}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Keep Me Updated! Memory Management in Long-term Conversations}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {3769--3787}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-emnlp.276}, doi = {10.18653/V1/2022.FINDINGS-EMNLP.276}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/BaeKKLKJKLPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/JeongOLAMPO22, author = {Younghoon Jeong and Juhyun Oh and Jongwon Lee and Jaimeen Ahn and Jihyung Moon and Sungjoon Park and Alice Oh}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {{KOLD:} Korean Offensive Language Dataset}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {10818--10833}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.744}, doi = {10.18653/V1/2022.EMNLP-MAIN.744}, timestamp = {Thu, 10 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/JeongOLAMPO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimPCJK022, author = {Nayeon Kim and Jun{-}Hyung Park and Joon{-}Young Choi and Eojin Jeon and Youjin Kang and SangKeun Lee}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Break it Down into {BTS:} Basic, Tiniest Subword Units for Korean}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {7007--7024}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.472}, doi = {10.18653/V1/2022.EMNLP-MAIN.472}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimPCJK022.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/goodit/YuYCPLH22, author = {Youngeun Yu and HyunJung Yu and JeiHee Cho and JuEun Park and EunJung Lim and JuEun Ha}, title = {Human-AI Co-creation Practice to Reconfigure the Cultural Emotion : Han}, booktitle = {GoodIT 2022: {ACM} International Conference on Information Technology for Social Good, Limassol, Cyprus, September 7 - 9, 2022}, pages = {414--417}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3524458.3547127}, doi = {10.1145/3524458.3547127}, timestamp = {Thu, 25 Aug 2022 14:29:30 +0200}, biburl = {https://dblp.org/rec/conf/goodit/YuYCPLH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimYBBBCKKKLLSS22, author = {Hyunsung Kim and Sungyeob Yoo and Jaewan Bae and Kyeongryeol Bong and Yoonho Boo and Karim Charfi and Hyo{-}Eun Kim and Hyun Suk Kim and Jinseok Kim and Byungjae Lee and Jaehwan Lee and Myeongbo Shim and Sungho Shin and Jeong Seok Woo and Joo{-}Young Kim and Sunghyun Park and Jinwook Oh}, title = {LightTrader : World's first AI-enabled High-Frequency Trading Solution with 16 {TFLOPS} / 64 {TOPS} Deep Learning Inference Accelerators}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895619}, doi = {10.1109/HCS55958.2022.9895619}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimYBBBCKKKLLSS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hri/ParkKKKKCL22, author = {Jeyoung Park and Jeeyeon Kim and Da{-}Young Kim and Juhyun Kim and Min{-}Gyu Kim and Jihwan Choi and WonHyong Lee}, editor = {Daisuke Sakamoto and Astrid Weiss and Laura M. Hiatt and Masahiro Shiomi}, title = {User Perception on Personalized Explanation by Science Museum Docent Robot}, booktitle = {{ACM/IEEE} International Conference on Human-Robot Interaction, {HRI} 2022, Sapporo, Hokkaido, Japan, March 7 - 10, 2022}, pages = {973--975}, publisher = {{IEEE} / {ACM}}, year = {2022}, url = {https://doi.org/10.1109/HRI53351.2022.9889654}, doi = {10.1109/HRI53351.2022.9889654}, timestamp = {Thu, 02 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hri/ParkKKKKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iclr/JeonPK22, author = {Insu Jeon and Youngjin Park and Gunhee Kim}, title = {Neural Variational Dropout Processes}, booktitle = {The Tenth International Conference on Learning Representations, {ICLR} 2022, Virtual Event, April 25-29, 2022}, publisher = {OpenReview.net}, year = {2022}, url = {https://openreview.net/forum?id=lyLVzukXi08}, timestamp = {Sat, 20 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iclr/JeonPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icml/ParkYPA22, author = {Junyoung Park and Changwon Yoon and Cheolwoo Park and Jeongyoun Ahn}, editor = {Kamalika Chaudhuri and Stefanie Jegelka and Le Song and Csaba Szepesv{\'{a}}ri and Gang Niu and Sivan Sabato}, title = {Kernel Methods for Radial Transformed Compositional Data with Many Zeros}, booktitle = {International Conference on Machine Learning, {ICML} 2022, 17-23 July 2022, Baltimore, Maryland, {USA}}, series = {Proceedings of Machine Learning Research}, volume = {162}, pages = {17458--17472}, publisher = {{PMLR}}, year = {2022}, url = {https://proceedings.mlr.press/v162/park22d.html}, timestamp = {Tue, 12 Jul 2022 17:36:52 +0200}, biburl = {https://dblp.org/rec/conf/icml/ParkYPA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/ShinHWCSKKLHP22, author = {Young Ha Shin and Seungwoo Hong and Sangyoung Woo and Jonghun Choe and Harim Son and Gijeong Kim and Joon{-}Ha Kim and Kang Kyu Lee and Jemin Hwangbo and Hae{-}Won Park}, title = {Design of {KAIST} HOUND, a Quadruped Robot Platform for Fast and Efficient Locomotion with Mixed-Integer Nonlinear Optimization of a Gear Train}, booktitle = {2022 International Conference on Robotics and Automation, {ICRA} 2022, Philadelphia, PA, USA, May 23-27, 2022}, pages = {6614--6620}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICRA46639.2022.9811755}, doi = {10.1109/ICRA46639.2022.9811755}, timestamp = {Wed, 20 Jul 2022 18:22:23 +0200}, biburl = {https://dblp.org/rec/conf/icra/ShinHWCSKKLHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imw2/ParkLKSLJKLRKKL22, author = {Sangsu Park and Gyonhui Lee and Youngjae Kwon and Dong Ik Suh and Hanwool Lee and Sangeun Je and Dabin Kim and Dohan Lee and Seungwook Ryu and Seungbum Kim and Euiseok Kim and Sunghoon Lee and Kyoung Park and Seho Lee and Myung{-}Hee Na and Seonyong Cha}, title = {Recognition Accuracy Enhancement using Interface Control with Weight Variation-Lowering in Analog Computation-in-Memory}, booktitle = {{IEEE} International Memory Workshop, {IMW} 2022, Dresden, Germany, May 15-18, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IMW52921.2022.9779296}, doi = {10.1109/IMW52921.2022.9779296}, timestamp = {Fri, 16 Jun 2023 10:03:33 +0200}, biburl = {https://dblp.org/rec/conf/imw2/ParkLKSLJKLRKKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/BadiPKARB22, author = {Alzahra Badi and Chungho Park and Min{-}Seok Keum and Miguel Alba and Youngsuk Ryu and Jeongmin Bae}, editor = {Hanseok Ko and John H. L. Hansen}, title = {{SKYE:} More than a conversational {AI}}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {859--860}, publisher = {{ISCA}}, year = {2022}, url = {https://www.isca-speech.org/archive/interspeech\_2022/badi22\_interspeech.html}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/BadiPKARB22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkJL22, author = {Younghyo Park and Seunghun Jeon and Taeyoon Lee}, title = {Robot Learning to Paint from Demonstrations}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2022, Kyoto, Japan, October 23-27, 2022}, pages = {3053--3060}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IROS47612.2022.9981633}, doi = {10.1109/IROS47612.2022.9981633}, timestamp = {Tue, 03 Jan 2023 14:18:21 +0100}, biburl = {https://dblp.org/rec/conf/iros/ParkJL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/LeeKSJYPKKCKPS22, author = {Hyeokjae Lee and Sanggi Ko and Ho{-}Joon Suh and Gina Jeong and Jung{-}Han Yeo and Hye{-}Min Park and Hee{-}Kyeong Kim and Jong{-}Kwan Kim and Sung S. Chung and Youngboo Kim and Jisun Park and Hyungsoon Shin}, title = {Progressive Degradation Without Physical Failure During Mounting Due to Soft Overstress in Compound {HBT} for RF, Mobile, and Automotive Applications}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2022, Dallas, TX, USA, March 27-31, 2022}, pages = {10}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/IRPS48227.2022.9764410}, doi = {10.1109/IRPS48227.2022.9764410}, timestamp = {Mon, 09 May 2022 18:11:24 +0200}, biburl = {https://dblp.org/rec/conf/irps/LeeKSJYPKKCKPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/KwonJHCPL22, author = {Soon{-}Uk Kwon and Sang{-}Bin Jeon and June{-}Young Hwang and Yong{-}Hun Cho and Jinhyung Park and In{-}Kwon Lee}, editor = {Henry B. L. Duh and Ian Williams and Jens Grubert and J. Adam Jones and Jianmin Zheng}, title = {Infinite Virtual Space Exploration Using Space Tiling and Perceivable Reset at Fixed Positions}, booktitle = {{IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2022, Singapore, October 17-21, 2022}, pages = {758--767}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISMAR55827.2022.00094}, doi = {10.1109/ISMAR55827.2022.00094}, timestamp = {Mon, 02 Jan 2023 17:22:09 +0100}, biburl = {https://dblp.org/rec/conf/ismar/KwonJHCPL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BangKLJCPJKNBHL22, author = {Jun{-}Suk Bang and Dong{-}Su Kim and Jeongkwang Lee and Sung{-}Youb Jung and Young{-}Hwan Choo and Seungchan Park and Young{-}Ho Jung and Jae{-}Young Ko and Takahiro Norniyama and Jongbeom Baek and Jae{-}Yeol Han and Sang{-}Han Lee and Ik{-}Hwan Kim and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho}, title = {2-Tx Digital Envelope-Tracking Supply Modulator Achieving 200MHz Channel Bandwidth and 93.6{\%} Efficiency for 2G/3G/LTE/NR {RF} Power Amplifiers}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731655}, doi = {10.1109/ISSCC42614.2022.9731655}, timestamp = {Fri, 01 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BangKLJCPJKNBHL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoJKNLNKLCKLCJ22, author = {Wanik Cho and Jongseok Jung and Jongwoo Kim and Junghoon Nam and Sangkyu Lee and Yujong Noh and Dauni Kim and Wanseob Lee and Kayoung Cho and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Eunwoo Jo and Hanna Cho and Jong{-}Seok Kim and Chankeun Kwon and Cheolioona Park and Hveonsu Nam and Haeun Won and Taeho Kim and Kyeonghwan Park and Sanghoon Oh and Jinhyun Ban and Junyoung Park and Jae{-}Hyeon Shin and Taisik Shin and Junseo Jang and Jiseong Mun and Jehyun Choi and Hyunseung Choi and Sung{-}Wook Choi and Wonsun Park and Dongkvu Yoon and Minsu Kim and Junyoun Lim and Chiwook An and Hyunyoung Shirr and Haesoon Oh and Haechan Park and Sungbo Shim and Hwang Huh and Honasok Choi and Seungpil Lee and Jaesuna Sim and Kichan Gwon and Jumsoo Kim and Woopyo Jeong and Jungdal Choi and Kyowon Jin}, title = {A 1-Tb, 4b/Cell, 176-Stacked-WL 3D-NAND Flash Memory with Improved Read Latency and a 14.8Gb/mm2 Density}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {134--135}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731785}, doi = {10.1109/ISSCC42614.2022.9731785}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoJKNLNKLCKLCJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimSAKDCKOJPJKL22, author = {Dae{-}Hyun Kim and Byungkyu Song and Hyun{-}A. Ahn and Woongjoon Ko and Sung{-}Geun Do and Seokjin Cho and Kihan Kim and Seung{-}Hoon Oh and Hye{-}Yoon Joo and Geuntae Park and Jin{-}Hun Jang and Yong{-}Hun Kim and Donghun Lee and Jaehoon Jung and Yongmin Kwon and Youngjae Kim and Jaewoo Jung and Seongil O and Seoulmin Lee and Jaeseong Lim and Junho Son and Jisu Min and Haebin Do and Jaejun Yoon and Isak Hwang and Jinsol Park and Hong Shim and Seryeong Yoon and Dongyeong Choi and Jihoon Lee and Soohan Woo and Eunki Hong and Junha Choi and Jae{-}Sung Kim and Sangkeun Han and Jong{-}Min Bang and Bokgue Park and Jang{-}Hoo Kim and Seouk{-}Kyu Choi and Gong{-}Heum Han and Yoo{-}Chang Sung and Wonil Bae and Jeong{-}Don Lim and Seungjae Lee and Changsik Yoo and Sang Joon Hwang and Jooyoung Lee}, title = {A 16Gb 9.5Gb/S/pin {LPDDR5X} {SDRAM} With Low-Power Schemes Exploiting Dynamic Voltage-Frequency Scaling and Offset-Calibrated Readout Sense Amplifiers in a Fourth Generation 10nm {DRAM} Process}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {448--450}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731537}, doi = {10.1109/ISSCC42614.2022.9731537}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimSAKDCKOJPJKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimYPPLKNCSLYLJ22, author = {Moosung Kim and Sung{-}Won Yun and Jungjune Park and Hyun Kook Park and Jungyu Lee and Yeong Seon Kim and Dae{-}Hoon Na and Sara Choi and Youngsun Song and Jonghoon Lee and Hyun{-}Jun Yoon and Kangbin Lee and Byunghoon Jeong and Sanglok Kim and Junhong Park and Cheon An Lee and Jaeyun Lee and Ji{-}Sang Lee and Jin Young Chun and Joonsuc Jang and Younghwi Yang and Seung Hyun Moon and Myung{-}Hoon Choi and Wontae Kim and Jungsoo Kim and Seok{-}Min Yoon and Pansuk Kwak and Myunghun Lee and Raehyun Song and Sunghoon Kim and Chiweon Yoon and Dongku Kang and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 1Tb 3b/Cell 8th-Generation 3D-NAND Flash Memory with 164MB/s Write Throughput and a 2.4Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {136--137}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731640}, doi = {10.1109/ISSCC42614.2022.9731640}, timestamp = {Fri, 26 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimYPPLKNCSLYLJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkCYBKYLCPLCM22, author = {Myeong{-}Jae Park and Ho Sung Cho and Tae{-}Sik Yun and Sangjin Byeon and Young Jun Koo and Sang{-}Sic Yoon and Dong{-}Uk Lee and Seokwoo Choi and Ji Hwan Park and Jinhyung Lee and Kyungjun Cho and Junil Moon and Byung Kuk Yoon and Young Jun Park and Sangmuk Oh and Chang Kwon Lee and Tae{-}Kyun Kim and Seong{-}Hee Lee and Hyun{-}Woo Kim and Yucheon Ju and Seung{-}Kyun Lim and Seung Geun Baek and Kyo Yun Lee and Sang Hun Lee and Woo Sung We and Seungchan Kim and Yongseok Choi and Seong{-}Hak Lee and Seung Min Yang and Gunho Lee and In{-}Keun Kim and Younghyun Jeon and Jae{-}Hyung Park and Jong Chan Yun and Chanhee Park and Sun{-}Yeol Kim and Sungjin Kim and Dong{-}Yeol Lee and Su{-}Hyun Oh and Taejin Hwang and Junghyun Shin and Yunho Lee and Hyunsik Kim and Jaeseung Lee and Youngdo Hur and Sangkwon Lee and Jieun Jang and Junhyun Chun and Joohwan Cho}, title = {A 192-Gb 12-High 896-GB/s {HBM3} {DRAM} with a {TSV} Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {444--446}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731562}, doi = {10.1109/ISSCC42614.2022.9731562}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkCYBKYLCPLCM22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPKKJKLLKLPJ22, author = {Jun{-}Seok Park and Changsoo Park and Suknam Kwon and Hyeong{-}Seok Kim and Taeho Jeon and Yesung Kang and Heonsoo Lee and Dongwoo Lee and James Kim and YoungJong Lee and Sangkyu Park and Jun{-}Woo Jang and Sanghyuck Ha and MinSeong Kim and Jihoon Bang and Sukhwan Lim and Inyup Kang}, title = {A Multi-Mode 8K-MAC HW-Utilization-Aware Neural Processing Unit with a Unified Multi-Precision Datapath in 4nm Flagship Mobile SoC}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {246--248}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731639}, doi = {10.1109/ISSCC42614.2022.9731639}, timestamp = {Thu, 20 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPKKJKLLKLPJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SungSKLJJKYJLBP22, author = {Barosaim Sung and Hyun{-}Gi Seok and Jaekwon Kim and Jaehoon Lee and Taejin Jang and Ilhoon Jang and Youngmin Kim and Anna Yu and Jong{-}Hyun Jang and Jiyoung Lee and Jeongyeol Bae and Euiyoung Park and Sung{-}Jun Lee and Seokwon Lee and Joohan Kim and Beomkon Kim and Yong Lim and Seunghyun Oh and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A Single-Path Digital-IF Receiver Supporting Inter/Intra 5-CA with a Single Integer {LO-PLL} in 14nm {CMOS} FinFET}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {440--442}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731796}, doi = {10.1109/ISSCC42614.2022.9731796}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SungSKLJJKYJLBP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/McCulloughEPSR22, author = {Steven McCullough and Jessica A. Eisma and June Young Park and Mikila Salazar and Sarah F. Rose}, editor = {Jorge Ortiz}, title = {Inequity by inequity: community driven investigation of wheelchair user discomfort by infrastructure failures}, booktitle = {Proceedings of the 9th {ACM} International Conference on Systems for Energy-Efficient Buildings, Cities, and Transportation, BuildSys 2022, Boston, Massachusetts, November 9-10, 2022}, pages = {274--277}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3563357.3564081}, doi = {10.1145/3563357.3564081}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/McCulloughEPSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkPLPKHKNYC22, author = {In{-}Gyu Park and Jihyun Park and Hyojun Lee and Jeong{-}Woo Park and Hyogon Kim and Jeong{-}Hwan Hwang and Jong{-}Chan Kim and Kyoungseok Noh and Sung{-}Jo Yun and Young{-}Ho Choi}, title = {Estimation of Cylinders parameters from point clouds using Least Square Best Fit Method}, booktitle = {19th International Conference on Ubiquitous Robots, {UR} 2022, Jeju, South Korea, July 4-6, 2022}, pages = {200--205}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/UR55393.2022.9826270}, doi = {10.1109/UR55393.2022.9826270}, timestamp = {Tue, 19 Jul 2022 18:11:02 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkPLPKHKNYC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/JeongKKGLPK22, author = {Jaeyong Jeong and Seong Kwang Kim and Jongmin Kim and Dae{-}Myeong Geum and Jisung Lee and Seung{-}Young Park and Sanghyeon Kim}, title = {3D stackable cryogenic InGaAs HEMTs for heterogeneous and monolithic 3D integrated highly scalable quantum computing systems}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {328--329}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830449}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830449}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/JeongKKGLPK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/KwonWKSCJKJJKEP22, author = {Woohyun Kwon and Hyosup Won and Taeho Kim and Ha{-}Il Song and Hanho Choi and Sejun Jeon and Soon{-}Won Kwon and Huxian Jin and Jun{-}Gi Jo and Tai Young Kim and Jake Eu and Jinho Park and Hyeon{-}Min Bae}, title = {A 25.78125Gbps Bi-directional Transceiver with Framed-Pulsewidth Modulation {(FPWM)} for Extended Reach Optical Links in 28nm {CMOS}}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {156--157}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830361}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830361}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vlsit/KwonWKSCJKJJKEP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RieYBLKKPCUJSJC22, author = {Hyunsub Norbert Rie and Chang Soo Yoon and Jindo Byun and Sucheol Lee and Garam Kim and Joohwan Kim and Junyoung Park and Hyunyoon Cho and Youngdo Um and Hyungmin Jin and Kwangseob Shin and Minsu Jung and Go{-}Eun Cha and Minjae Lee and YoungMin Kim and Byeori Han and Yuseong Jeon and Jisun Lee and EunSeok Shin and Hyuk{-}Jun Kwon and Youngdon Choi and Jung{-}Hwan Choi and Hyungjong Ko}, title = {A 40-Gb/s/pin Low-Voltage {POD} Single-Ended {PAM-4} Transceiver with Timing Calibrated Reset-less Slicer and Bidirectional T-Coil for {GDDR7} Application}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {148--149}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830507}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830507}, timestamp = {Thu, 04 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RieYBLKKPCUJSJC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/RyuKLAPLCCK0LSR22, author = {Yesin Ryu and Young{-}Cheon Kwon and Jae Hoon Lee and Sung{-}Gi Ahn and Jaewon Park and Kijun Lee and Yu Ho Choi and Han{-}Won Cho and Jae San Kim and Jungyu Lee and Haesuk Lee and Seung Ho Song and Je{-}Min Ryu and Yeong Ho Yun and Useung Shin and Dajung Cho and Jeong Hoan Park and Jae{-}Seung Jeong and Suk Han Lee and Kyounghwan Lim and Tae{-}Sung Kim and Kyungmin Kim and Yu Jin Cha and Ik Joo Lee and Tae Kyu Byun and Han Sik Yoo and Yeong Geol Song and Myung{-}Kyu Lee and Sunghye Cho and Sung{-}Rae Kim and Ji{-}Min Choi and Hyoungmin Kim and Soo Young Kim and Jaeyoun Youn and Myeong{-}O. Kim and Kyomin Sohn and SangJoon Hwang and JooYoung Lee}, title = {A 16 {GB} 1024 GB/s {HBM3} {DRAM} with On-Die Error Control Scheme for Enhanced {RAS} Features}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {130--131}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830391}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830391}, timestamp = {Thu, 18 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/RyuKLAPLCCK0LSR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrml/ParkYMS22, author = {Moonsik Park and Byounghyun Yoo and Jee Young Moon and Ji Hyun Seo}, editor = {Christophe Mouton and Marius Preda and Indira Thouvenin}, title = {InstantXR: Instant {XR} Environment on the Web Using Hybrid Rendering of Cloud-based NeRF with 3D Assets}, booktitle = {The 27th International Conference on 3D Web Technology, Web3D 2022, Evry-Courcouronnes, France, November 2-4, 2022}, pages = {2:1--2:9}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3564533.3564565}, doi = {10.1145/3564533.3564565}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vrml/ParkYMS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-07421, author = {Jay Hwan Lee and Yeonsoo Kim and Younghyun Ryu and Wasuwee Sodsong and Hyunjun Jeon and Jinsik Park and Bernd Burgstaller and Bernhard Scholz}, title = {Cloud Matrix Machine for Julia and Implicit Parallelization for Matrix Languages}, journal = {CoRR}, volume = {abs/2205.07421}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.07421}, doi = {10.48550/ARXIV.2205.07421}, eprinttype = {arXiv}, eprint = {2205.07421}, timestamp = {Tue, 17 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-07421.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-11315, author = {Younghoon Jeong and Juhyun Oh and Jaimeen Ahn and Jongwon Lee and Jihyung Moon and Sungjoon Park and Alice Oh}, title = {{KOLD:} Korean Offensive Language Dataset}, journal = {CoRR}, volume = {abs/2205.11315}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.11315}, doi = {10.48550/ARXIV.2205.11315}, eprinttype = {arXiv}, eprint = {2205.11315}, timestamp = {Mon, 30 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-11315.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2208-06835, author = {Donghwa Han and Jeonghun Park and Youngjoo Lee and H. Vincent Poor and Namyoon Lee}, title = {Block Orthogonal Sparse Superposition Codes for Ultra-Reliable Low-Latency Communications}, journal = {CoRR}, volume = {abs/2208.06835}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2208.06835}, doi = {10.48550/ARXIV.2208.06835}, eprinttype = {arXiv}, eprint = {2208.06835}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2208-06835.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2209-08803, author = {Jeongeun Park and Taerim Yoon and Jejoon Hong and Youngjae Yu and Matthew Pan and Sungjoon Choi}, title = {Active Visual Search in the Wild}, journal = {CoRR}, volume = {abs/2209.08803}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2209.08803}, doi = {10.48550/ARXIV.2209.08803}, eprinttype = {arXiv}, eprint = {2209.08803}, timestamp = {Wed, 28 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2209-08803.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08750, author = {Sanghwan Bae and Dong{-}Hyun Kwak and Soyoung Kang and Min Young Lee and Sungdong Kim and Yuin Jeong and Hyeri Kim and Sang{-}Woo Lee and Woo{-}Myoung Park and Nako Sung}, title = {Keep Me Updated! Memory Management in Long-term Conversations}, journal = {CoRR}, volume = {abs/2210.08750}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08750}, doi = {10.48550/ARXIV.2210.08750}, eprinttype = {arXiv}, eprint = {2210.08750}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08750.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-14480, author = {Jiwoong Park and Jisu Jeong and Kyung{-}Min Kim and Jin Young Choi}, title = {Meta-node: {A} Concise Approach to Effectively Learn Complex Relationships in Heterogeneous Graphs}, journal = {CoRR}, volume = {abs/2210.14480}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.14480}, doi = {10.48550/ARXIV.2210.14480}, eprinttype = {arXiv}, eprint = {2210.14480}, timestamp = {Mon, 31 Oct 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-14480.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2211-02289, author = {Il{-}Young Jeong and Jeongsoo Park}, title = {CochlScene: Acquisition of acoustic scene data using crowdsourcing}, journal = {CoRR}, volume = {abs/2211.02289}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2211.02289}, doi = {10.48550/ARXIV.2211.02289}, eprinttype = {arXiv}, eprint = {2211.02289}, timestamp = {Thu, 10 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2211-02289.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/AhnKPC21, author = {Seyoung Ahn and Jeehyeong Kim and Soo Young Park and Sunghyun Cho}, title = {Explaining Deep Learning-Based Traffic Classification Using a Genetic Algorithm}, journal = {{IEEE} Access}, volume = {9}, pages = {4738--4751}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3048348}, doi = {10.1109/ACCESS.2020.3048348}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/AhnKPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoKKPLKK21, author = {Jeonghoon Cho and Myeong Woo Kim and Youngjin Kim and Jeong{-}Sun Park and Dohee Lee and Young{-}Sik Kim and Jae Joon Kim}, title = {Seawater Battery-Based Wireless Marine Buoy System With Battery Degradation Prediction and Multiple Power Optimization Capabilities}, journal = {{IEEE} Access}, volume = {9}, pages = {104104--104114}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3098846}, doi = {10.1109/ACCESS.2021.3098846}, timestamp = {Thu, 12 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoKKPLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongMP21, author = {Soyoung Jeong and Jihyeon Min and Youngil Park}, title = {Indoor Positioning Using Deep-Learning-Based Pedestrian Dead Reckoning and Optical Camera Communication}, journal = {{IEEE} Access}, volume = {9}, pages = {133725--133734}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3115808}, doi = {10.1109/ACCESS.2021.3115808}, timestamp = {Wed, 03 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeongMP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimCPLN21, author = {Jeong{-}Hun Kim and Jong{-}Hyeok Choi and Young{-}Ho Park and Carson Kai{-}Sang Leung and Aziz Nasridinov}, title = {{KNN-SC:} Novel Spectral Clustering Algorithm Using k-Nearest Neighbors}, journal = {{IEEE} Access}, volume = {9}, pages = {152616--152627}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3126854}, doi = {10.1109/ACCESS.2021.3126854}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimCPLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJPJKC21, author = {Kwangsu Kim and Byungha Joo and Young Min Park and Taeyang Jeong and Ki Tae Kim and Eui{-}Young Chung}, title = {Cross-Corner Delay Variation Model for Standard Cell Libraries}, journal = {{IEEE} Access}, volume = {9}, pages = {72299--72315}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3080294}, doi = {10.1109/ACCESS.2021.3080294}, timestamp = {Tue, 01 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KimJPJKC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonKLKPSKPBRM21, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep Reinforcement Learning for Guidewire Navigation in Coronary Artery Phantom}, journal = {{IEEE} Access}, volume = {9}, pages = {166409--166422}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3135277}, doi = {10.1109/ACCESS.2021.3135277}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KweonKLKPSKPBRM21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KweonYPPLLJHK21, author = {Soon{-}Jae Kweon and Woojin Yun and Hyunwoo Park and Jeong{-}Ho Park and Jung Hoon Lee and Jin Lee and Minkyu Je and Sohmyung Ha and Choul{-}Young Kim}, title = {A Precise Lesion Localization System Using a Magnetometer With Real-Time Baseline Cancellation for Laparoscopic Surgery}, journal = {{IEEE} Access}, volume = {9}, pages = {131648--131657}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3113566}, doi = {10.1109/ACCESS.2021.3113566}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KweonYPPLLJHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YunLJKJKCPHKKY21, author = {Hae{-}Ryong Yun and Gyubok Lee and Myeong Jun Jeon and Hyung Woo Kim and Young Su Joo and Hyoungnae Kim and Tae Ik Chang and Jung Tak Park and Seung Hyeok Han and Shin{-}Wook Kang and Wooju Kim and Tae{-}Hyun Yoo}, title = {Erythropoiesis stimulating agent recommendation model using recurrent neural networks for patient with kidney failure with replacement therapy}, journal = {Comput. Biol. Medicine}, volume = {137}, pages = {104718}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104718}, doi = {10.1016/J.COMPBIOMED.2021.104718}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YunLJKJKCPHKKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ficn/KimHKKPLKPJ21, author = {Taeyoon Kim and Suman Hu and Jaewook Kim and Joon Young Kwak and Jongkil Park and Suyoun Lee and Inho Kim and Jong{-}Keuk Park and YeonJoo Jeong}, title = {Spiking Neural Network {(SNN)} With Memristor Synapses Having Non-linear Weight Update}, journal = {Frontiers Comput. Neurosci.}, volume = {15}, pages = {646125}, year = {2021}, url = {https://doi.org/10.3389/fncom.2021.646125}, doi = {10.3389/FNCOM.2021.646125}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ficn/KimHKKPLKPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ChungKKPK21, author = {Hyeonjin Chung and Jeongwan Kang and Hyowon Kim and Young{-}Mi Park and Sunwoo Kim}, title = {Adaptive Beamwidth Control for mmWave Beam Tracking}, journal = {{IEEE} Commun. Lett.}, volume = {25}, number = {1}, pages = {137--141}, year = {2021}, url = {https://doi.org/10.1109/LCOMM.2020.3022877}, doi = {10.1109/LCOMM.2020.3022877}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/icl/ChungKKPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ChunSLHJHKYPCCP21, author = {June Young Chun and Kyoung{-}Ho Song and Dong{-}eun Lee and Joo{-}Hee Hwang and Hyun Gul Jung and Eunjeong Heo and Hyung{-}sook Kim and Seonghae Yoon and Jeong Su Park and Pyoeng Gyun Choe and Jae{-}Yong Chung and Wan Beom Park and Ji Hwan Bang and Hee Hwang and Kyoung Un Park and Sang Won Park and Nam Joong Kim and Myoung{-}don Oh and Eu Suk Kim and Hong Bin Kim}, title = {Impact of a computerised clinical decision support system on vancomycin loading and the risk of nephrotoxicity}, journal = {Int. J. Medical Informatics}, volume = {149}, pages = {104403}, year = {2021}, url = {https://doi.org/10.1016/j.ijmedinf.2021.104403}, doi = {10.1016/J.IJMEDINF.2021.104403}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ChunSLHJHKYPCCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmms/AhnPLN21, author = {Jisoo Ahn and Jeong{-}Min Park and Won{-}Ho Lee and Ghee{-}Young Noh}, title = {Website interactivity and processing: Menu customization and sense of agency are keys to better interaction design}, journal = {Int. J. Hum. Comput. Stud.}, volume = {147}, pages = {102581}, year = {2021}, url = {https://doi.org/10.1016/j.ijhcs.2020.102581}, doi = {10.1016/J.IJHCS.2020.102581}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmms/AhnPLN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/GuptaYMJKLPAKCY21, author = {Amar Prasad Gupta and Seung Jun Yeo and Mallory Mativenga and Jaeik Jung and WooSeob Kim and Jongmin Lim and Junyoung Park and Jeung Sun Ahn and Seung Hoon Kim and Moon Shik Chae and Yeong Heum Yeon and Namkug Kim and Beom{-}Seok Ko and Jehwang Ryu}, title = {A feasibility study of a portable intraoperative specimen imaging X-ray system based on carbon nanotube field emitters}, journal = {Int. J. Imaging Syst. Technol.}, volume = {31}, number = {3}, pages = {1128--1135}, year = {2021}, url = {https://doi.org/10.1002/ima.22606}, doi = {10.1002/IMA.22606}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/GuptaYMJKLPAKCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imwut/ParkLK21, author = {Hyeonjung Park and Youngki Lee and JeongGil Ko}, title = {Enabling Real-time Sign Language Translation on Mobile Platforms with On-board Depth Cameras}, journal = {Proc. {ACM} Interact. Mob. Wearable Ubiquitous Technol.}, volume = {5}, number = {2}, pages = {77:1--77:30}, year = {2021}, url = {https://doi.org/10.1145/3463498}, doi = {10.1145/3463498}, timestamp = {Mon, 26 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imwut/ParkLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/information/ParkLK21, author = {Kyung{-}Eun Park and Jeong{-}Pyo Lee and Youngok Kim}, title = {Deep Learning-Based Indoor Distance Estimation Scheme Using {FMCW} Radar}, journal = {Inf.}, volume = {12}, number = {2}, pages = {80}, year = {2021}, url = {https://doi.org/10.3390/info12020080}, doi = {10.3390/INFO12020080}, timestamp = {Tue, 23 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/information/ParkLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ParkBLGLK21, author = {Jee{-}Tae Park and Ui{-}Jun Baek and Min{-}Seong Lee and Young{-}Hoon Goo and Sung{-}Ho Lee and Myung{-}Sup Kim}, title = {Flow based Sequential Grouping System for Malicious Traffic Detection}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {15}, number = {10}, pages = {3771--3792}, year = {2021}, url = {https://doi.org/10.3837/tiis.2021.10.016}, doi = {10.3837/TIIS.2021.10.016}, timestamp = {Fri, 17 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/ParkBLGLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iwc/SeoJSP21, author = {Young{-}nam Seo and Younbo Jung and Jeremy R. H. Sng and Jongmin Park}, title = {Erratum to: Rational or Irrational Decision? Examination on Gamers' Intention to Purchase Probability-Type Items}, journal = {Interact. Comput.}, volume = {32}, number = {4}, pages = {433}, year = {2021}, url = {https://doi.org/10.1093/iwc/iwaa024}, doi = {10.1093/IWC/IWAA024}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iwc/SeoJSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ixda/ParkerYJL21, author = {Callum Parker and Soojeong Yoo and Waldemar Jenek and Youngho Lee}, title = {Preface}, journal = {IxD{\&}A}, volume = {48}, pages = {5--7}, year = {2021}, url = {http://ixdea.uniroma2.it/inevent/events/idea2010/index.php?s=10\&a=10\&link=ToC\_48\_P\&link=48preface}, timestamp = {Wed, 08 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ixda/ParkerYJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/ParkJ21, author = {Young{-}Sun Park and Young{-}Ju Jee}, title = {The Effects on Cognitive, Emotional, and Physical Functions of the Elderly at Local Senior Center from Dementia Prevention Program for the Dementia Safety Village}, journal = {J. Medical Imaging Health Informatics}, volume = {11}, number = {2}, pages = {508--512}, year = {2021}, url = {https://doi.org/10.1166/jmihi.2021.3286}, doi = {10.1166/JMIHI.2021.3286}, timestamp = {Tue, 16 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/ParkJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChunKRPOBKSLHPC21, author = {Ki Chul Chun and Yong{-}Ki Kim and Yesin Ryu and Jaewon Park and Chi Sung Oh and Young{-}Yong Byun and So{-}Young Kim and Dong{-}Hak Shin and Jun Gyu Lee and Byung{-}Kyu Ho and Min{-}Sang Park and Seong{-}Jin Cho and Seunghan Woo and Byoung{-}Mo Moon and Beomyong Kil and Sungoh Ahn and Jae Hoon Lee and Sooyoung Kim and Seouk{-}Kyu Choi and Jae{-}Seung Jeong and Sung{-}Gi Ahn and Jihye Kim and Jun Jin Kong and Kyomin Sohn and Nam Sung Kim and Jung{-}Bae Lee}, title = {A 16-GB 640-GB/s {HBM2E} {DRAM} With a Data-Bus Window Extension Technique and a Synergetic On-Die {ECC} Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {199--211}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3027360}, doi = {10.1109/JSSC.2020.3027360}, timestamp = {Mon, 11 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChunKRPOBKSLHPC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCHPJLJLKKKPL21, author = {Chang{-}Kyo Lee and Hyung{-}Joon Chi and Jin{-}Seok Heo and Junghwan Park and Jin{-}Hun Jang and Dongkeon Lee and Jaehoon Jung and Dong{-}Hun Lee and Dae{-}Hyun Kim and Kihan Kim and Sang{-}Yun Kim and Dukha Park and Youngil Lim and Geuntae Park and Seungjun Lee and Seungki Hong and Dae{-}Hyun Kwon and Isak Hwang and Byongwook Na and Kyungryun Kim and Seouk{-}Kyu Choi and Hye{-}In Choi and Hangi{-}Jung and Wonil Bae and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {An 8.5-Gb/s/Pin 12-Gb {LPDDR5} {SDRAM} With a Hybrid-Bank Architecture, Low Power, and Speed-Boosting Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {56}, number = {1}, pages = {212--224}, year = {2021}, url = {https://doi.org/10.1109/JSSC.2020.3017775}, doi = {10.1109/JSSC.2020.3017775}, timestamp = {Tue, 05 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/LeeCHPJLJLKKKPL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/AhnNKYPGKJYKJK21, author = {Imjin Ahn and Wonjun Na and OSung Kwon and Dong Hyun Yang and Gyung{-}Min Park and Hansle Gwon and Hee{-}Jun Kang and Yeon Uk Jeong and Jungsun Yoo and Yunha Kim and Tae Joon Jun and Young{-}Hak Kim}, title = {CardioNet: a manually curated database for artificial intelligence-based research on cardiovascular diseases}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {29}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01392-2}, doi = {10.1186/S12911-021-01392-2}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/midm/AhnNKYPGKJYKJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKPRHJCHKCLK21, author = {Yunsook Kang and Yoo Jung Kim and Seongkeun Park and Gun Ro and Choyeon Hong and Hyungjoon Jang and Sungduk Cho and Wonjae Hong and Dong Un Kang and Jonghoon Chun and Kyoungbun Lee and Gyeong Hoon Kang and Kyoung Chul Moon and Gheeyoung Choe and Kyu Sang Lee and Jeong Hwan Park and Won{-}Ki Jeong and Se Young Chun and Peom Park and Jinwook Choi}, title = {Development and operation of a digital platform for sharing pathology image data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {21}, number = {1}, pages = {114}, year = {2021}, url = {https://doi.org/10.1186/s12911-021-01466-1}, doi = {10.1186/S12911-021-01466-1}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKPRHJCHKCLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/HarrisonAAABBCF21, author = {Peter W. Harrison and Alisha Ahamed and Raheela Aslam and Blaise T. F. Alako and Josephine Burgin and Nicola Buso and M{\'{e}}lanie Courtot and Jun Fan and Dipayan Gupta and Muhammad Haseeb and Sam Holt and Talal Ibrahim and Eugene Ivanov and Suran Jayathilaka and Vishnukumar Balavenkataraman Kadhirvelu and Manish Kumar and Rodrigo Lopez and Simon Kay and Rasko Leinonen and Xin Liu and Colman O'Cathail and Amir Pakseresht and Young Mi Park and Stephane Pesant and Nadim Rahman and Jeena Rajan and Alexey Sokolov and Senthilnathan Vijayaraja and Zahra Waheed and Ahmad Zyoud and Tony Burdett and Guy Cochrane}, title = {The European Nucleotide Archive in 2020}, journal = {Nucleic Acids Res.}, volume = {49}, number = {Database-Issue}, pages = {D82--D85}, year = {2021}, url = {https://doi.org/10.1093/nar/gkaa1028}, doi = {10.1093/NAR/GKAA1028}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/HarrisonAAABBCF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KwonHPKKK21, author = {Young{-}Joo Kwon and Sungwook Hong and Jeong{-}Won Park and Seung Hee Kim and Jong{-}Min Kim and Hyun{-}Cheol Kim}, title = {Spatial and Temporal Variability of Minimum Brightness Temperature at the 6.925 GHz Band of {AMSR2} for the Arctic and Antarctic Oceans}, journal = {Remote. Sens.}, volume = {13}, number = {11}, pages = {2122}, year = {2021}, url = {https://doi.org/10.3390/rs13112122}, doi = {10.3390/RS13112122}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KwonHPKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkKKDZKKHH21, author = {Jeong{-}Won Park and Hyun{-}Cheol Kim and Anton A. Korosov and Denis Demchev and Stefano Zecchetto and Seung Hee Kim and Young{-}Joo Kwon and Hyangsun Han and Chang{-}Uk Hyun}, title = {Feasibility Study on Estimation of Sea Ice Drift from {KOMPSAT-5} and COSMO-SkyMed {SAR} Images}, journal = {Remote. Sens.}, volume = {13}, number = {20}, pages = {4038}, year = {2021}, url = {https://doi.org/10.3390/rs13204038}, doi = {10.3390/RS13204038}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkKKDZKKHH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChungJJLKCYPJ21, author = {Youngbeen Chung and Jie Jin and Hyun In Jo and Hyun Lee and Sang{-}Heon Kim and Sung Jun Chung and Ho Joo Yoon and Junhong Park and Jinyong Jeon}, title = {Diagnosis of Pneumonia by Cough Sounds Analyzed with Statistical Features and {AI}}, journal = {Sensors}, volume = {21}, number = {21}, pages = {7036}, year = {2021}, url = {https://doi.org/10.3390/s21217036}, doi = {10.3390/S21217036}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChungJJLKCYPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongHKCP21, author = {Changyoon Jeong and Sang{-}Ha Hwang and Byeong{-}Joo Kim and Han Gi Chae and Young{-}Bin Park}, title = {Multilayered Composites with Modulus Gradient for Enhanced Pressure - Temperature Sensing Performance}, journal = {Sensors}, volume = {21}, number = {14}, pages = {4752}, year = {2021}, url = {https://doi.org/10.3390/s21144752}, doi = {10.3390/S21144752}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongHKCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongKKWKCKP21, author = {Young{-}Seob Jeong and Juhyun Kim and Dahye Kim and Jiyoung Woo and Mun Gyu Kim and Hun Woo Choi and Ah Reum Kang and Sun Young Park}, title = {Prediction of Postoperative Complications for Patients of End Stage Renal Disease}, journal = {Sensors}, volume = {21}, number = {2}, pages = {544}, year = {2021}, url = {https://doi.org/10.3390/s21020544}, doi = {10.3390/S21020544}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongKKWKCKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JinCKHJHPJ21, author = {Jie Jin and Youngbeen Chung and Wanseung Kim and Yonggi Heo and Jinyong Jeon and Jeongkyu Hoh and Junhong Park and Jung Ki Jo}, title = {Classification of Bladder Emptying Patterns by {LSTM} Neural Network Trained Using Acoustic Signatures}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5328}, year = {2021}, url = {https://doi.org/10.3390/s21165328}, doi = {10.3390/S21165328}, timestamp = {Fri, 24 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JinCKHJHPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JoPJJHCP21, author = {Hyeong Geun Jo and Beom Hoon Park and Do Yeong Joung and Jung Ki Jo and Jeong{-}Kyu Hoh and Won Young Choi and Kwan Kyu Park}, title = {Forward-Looking Ultrasound Wearable Scanner System for Estimation of Urinary Bladder Volume}, journal = {Sensors}, volume = {21}, number = {16}, pages = {5445}, year = {2021}, url = {https://doi.org/10.3390/s21165445}, doi = {10.3390/S21165445}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JoPJJHCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungLKSPJ21, author = {Wongyu Jung and Seokbeen Lim and Youngjong Kwak and Jeongeun Sim and Jinsick Park and Dongpyo Jang}, title = {The Influence of Frequency Bands and Brain Region on ECoG-Based {BMI} Learning Performance}, journal = {Sensors}, volume = {21}, number = {20}, pages = {6729}, year = {2021}, url = {https://doi.org/10.3390/s21206729}, doi = {10.3390/S21206729}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JungLKSPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKBACPCSKKSKP21, author = {Hyung{-}Mo Kim and Jaehi Kim and Sungje Bock and Jaehyun An and Yun{-}Sik Choi and Xuan{-}Hung Pham and Myeong Geun Cha and Bomi Seong and Wooyeon Kim and Yoon{-}Hee Kim and Hobeom Song and Jung{-}Won Kim and Seung{-}min Park and Sang Hun Lee and Won{-}Yeop Rho and Sangchul Lee and Dae Hong Jeong and Ho{-}Young Lee and Bong{-}Hyun Jun}, title = {Silver-Assembled Silica Nanoparticles in Lateral Flow Immunoassay for Visual Inspection of Prostate-Specific Antigen}, journal = {Sensors}, volume = {21}, number = {12}, pages = {4099}, year = {2021}, url = {https://doi.org/10.3390/s21124099}, doi = {10.3390/S21124099}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKBACPCSKKSKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkWJPPLSY21, author = {Hwijin Park and Yeong Bae Won and Sehyeong Jeong and Joo Young Pyun and Kwan Kyu Park and Jeong{-}Min Lee and Hee{-}Seon Seo and Hak Yi}, title = {Reflected Wave Reduction Based on Time-Delay Separation for the Plane Array of Multilayer Acoustic Absorbers}, journal = {Sensors}, volume = {21}, number = {24}, pages = {8432}, year = {2021}, url = {https://doi.org/10.3390/s21248432}, doi = {10.3390/S21248432}, timestamp = {Fri, 21 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkWJPPLSY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/PyunPKWYLSP21, author = {Joo Young Pyun and Beom Hoon Park and Young Hun Kim and Yeong Bae Won and Hak Yi and Jeong{-}Min Lee and Hee{-}Seon Seo and Kwan Kyu Park}, title = {Design and Analysis of an Active Reflection Controller That Can Reduce Acoustic Signal Refer to the Angle of Incidence}, journal = {Sensors}, volume = {21}, number = {17}, pages = {5793}, year = {2021}, url = {https://doi.org/10.3390/s21175793}, doi = {10.3390/S21175793}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/PyunPKWYLSP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/SongCKPOJ21, author = {Young{-}Suk Song and Byung{-}Gon Chae and Kyeong{-}Su Kim and Joon{-}Young Park and Hyun{-}Joo Oh and Sueng{-}Won Jeong}, title = {A Landslide Monitoring System for Natural Terrain in Korea: Development and Application in Hazard Evaluations}, journal = {Sensors}, volume = {21}, number = {9}, pages = {3040}, year = {2021}, url = {https://doi.org/10.3390/s21093040}, doi = {10.3390/S21093040}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/SongCKPOJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/staeors/ParkYKJ21, author = {Hae Gwang Park and Jong Pil Yun and Min Young Kim and Seung Hyun Jeong}, title = {Multichannel Object Detection for Detecting Suspected Trees With Pine Wilt Disease Using Multispectral Drone Imagery}, journal = {{IEEE} J. Sel. Top. Appl. Earth Obs. Remote. Sens.}, volume = {14}, pages = {8350--8358}, year = {2021}, url = {https://doi.org/10.1109/JSTARS.2021.3102218}, doi = {10.1109/JSTARS.2021.3102218}, timestamp = {Wed, 06 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/staeors/ParkYKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/KimKPLKH21, author = {Hyeongseok Kim and Jeongchang Kim and Sung{-}Ik Park and Jae{-}Young Lee and Sunhyoung Kwon and Namho Hur}, title = {Capacity Analysis and Improvement of LDM-Based Multiple-PLP Configurations in {ATSC} 3.0}, journal = {{IEEE} Trans. Broadcast.}, volume = {67}, number = {2}, pages = {450--462}, year = {2021}, url = {https://doi.org/10.1109/TBC.2020.3044494}, doi = {10.1109/TBC.2020.3044494}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/KimKPLKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/KimAKSPKK21, author = {Evgenii Kim and Eloise Anguluan and Jeungeun Kum and Jorge Sanchez{-}Casanova and Tae Young Park and Jae Gwan Kim and Hyungmin Kim}, title = {Wearable Transcranial Ultrasound System for Remote Stimulation of Freely Moving Animal}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {7}, pages = {2195--2202}, year = {2021}, url = {https://doi.org/10.1109/TBME.2020.3038018}, doi = {10.1109/TBME.2020.3038018}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/KimAKSPKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasI/ChoiPCOLKBSK21, author = {Subin Choi and Chan Sam Park and Hee Young Chae and Byungjoo Oh and Jongmin Lee and Yeong Min Kwon and Jeong Min Baik and Heungjoo Shin and Jae Joon Kim}, title = {A Wide Dynamic Range Multi-Sensor {ROIC} for Portable Environmental Monitoring Systems With Two-Step Self-Optimization Schemes}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {68}, number = {6}, pages = {2432--2443}, year = {2021}, url = {https://doi.org/10.1109/TCSI.2021.3065503}, doi = {10.1109/TCSI.2021.3065503}, timestamp = {Tue, 15 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasI/ChoiPCOLKBSK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/LeeSPKKPRKS21, author = {Jae{-}Young Lee and Yong{-}Sin Seo and Chanhun Park and Je{-}Sung Koh and Uikyum Kim and Jongwoo Park and Hugo Rodrigue and Byeungin Kim and Sung{-}Hyuk Song}, title = {Shape-Adaptive Universal Soft Parallel Gripper for Delicate Grasping Using a Stiffness-Variable Composite Structure}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {12}, pages = {12441--12451}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.3044811}, doi = {10.1109/TIE.2020.3044811}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tie/LeeSPKKPRKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/HongPKLK21, author = {Young{-}Pyo Hong and Jeong{-}Il Park and Tae{-}Weon Kang and Joo{-}Gwang Lee and No{-}Weon Kang}, title = {Ka-band Electric-Field Probe Calibration System With Rotating and Linear Motion}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--7}, year = {2021}, url = {https://doi.org/10.1109/TIM.2020.3048536}, doi = {10.1109/TIM.2020.3048536}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tim/HongPKLK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/WonPLSCKKSSRCL21, author = {Jun Yeon Won and Haewook Park and Seung{-}Eun Lee and Jeong{-}Whan Son and Yina Chung and Guen Bae Ko and Kyeong Yun Kim and Junghyun Song and Seongho Seo and Yeunchul Ryu and Jun{-}Young Chung and Jae Sung Lee}, title = {Development and Initial Results of a Brain {PET} Insert for Simultaneous 7-Tesla {PET/MRI} Using an FPGA-Only Signal Digitization Method}, journal = {{IEEE} Trans. Medical Imaging}, volume = {40}, number = {6}, pages = {1579--1590}, year = {2021}, url = {https://doi.org/10.1109/TMI.2021.3062066}, doi = {10.1109/TMI.2021.3062066}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/WonPLSCKKSSRCL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/YoonKJLHPK21, author = {Sangwoong Yoon and Woo{-}Young Kang and Sungwook Jeon and SeongEun Lee and Changjin Han and Jonghun Park and Eun{-}Sol Kim}, title = {Image-to-Image Retrieval by Learning Similarity between Scene Graphs}, booktitle = {Thirty-Fifth {AAAI} Conference on Artificial Intelligence, {AAAI} 2021, Thirty-Third Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2021, The Eleventh Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2021, Virtual Event, February 2-9, 2021}, pages = {10718--10726}, publisher = {{AAAI} Press}, year = {2021}, url = {https://doi.org/10.1609/aaai.v35i12.17281}, doi = {10.1609/AAAI.V35I12.17281}, timestamp = {Mon, 04 Sep 2023 12:29:24 +0200}, biburl = {https://dblp.org/rec/conf/aaai/YoonKJLHPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimKKJPKCSJJYLS21, author = {Tongsung Kim and Anil Kavala and Hyunsuk Kang and Youngmin Jo and Jungjune Park and Kyoungtae Kang and Byung{-}Kwan Chun and Dong{-}Ho Shin and Dong{-}Su Jang and Byunghoon Jeong and Chiweon Yoon and Jinyub Lee and Jai Hyuk Song}, title = {A Hybrid {ZQ} Calibration Design for High-Density Flash Memory Toggle 5.0 High-speed Interface}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634722}, doi = {10.1109/A-SSCC53895.2021.9634722}, timestamp = {Tue, 21 Dec 2021 17:54:16 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimKKJPKCSJJYLS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/KimRCKPKL21, author = {Changhyeon Kim and Dongyoung Rim and Jeongwon Choe and Dongyun Kam and Giyoon Park and Seokki Kim and Youngjoo Lee}, title = {FPGA-Based Ordered Statistic Decoding Architecture for {B5G/6G} {URLLC} {IIOT} Networks}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2021, Busan, Korea, Republic of, November 7-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/A-SSCC53895.2021.9634714}, doi = {10.1109/A-SSCC53895.2021.9634714}, timestamp = {Tue, 21 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/KimRCKPKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ParkKJKK21, author = {Eun{-}Ju Park and Hoyoung Kim and Seonghwan Jeong and Byungkon Kang and YoungMin Kwon}, title = {Keyword-Based Vehicle Retrieval}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2021, virtual, June 19-25, 2021}, pages = {4220--4227}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2021}, url = {https://openaccess.thecvf.com/content/CVPR2021W/AICity/html/Park\_Keyword-Based\_Vehicle\_Retrieval\_CVPRW\_2021\_paper.html}, doi = {10.1109/CVPRW53098.2021.00477}, timestamp = {Mon, 18 Jul 2022 16:47:41 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ParkKJKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcase/ParkJL21, author = {Sooyoung Park and Youngho Jeong and Taejin Lee}, editor = {Frederic Font and Annamaria Mesaros and Daniel P. W. Ellis and Eduardo Fonseca and Magdalena Fuentes and Benjamin Elizalde}, title = {Many-to-Many Audio Spectrogram Tansformer: Transformer for Sound Event Localization and Detection}, booktitle = {Proceedings of the 6th Workshop on Detection and Classification of Acoustic Scenes and Events 2021 {(DCASE} 2021), Online, November 15-19, 2021}, pages = {105--109}, year = {2021}, url = {http://dcase.community/documents/workshop2021/proceedings/DCASE2021Workshop\_Park\_39.pdf}, timestamp = {Sat, 09 Apr 2022 12:45:44 +0200}, biburl = {https://dblp.org/rec/conf/dcase/ParkJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimKLLKJ0KKSLJL21, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {3405--3424}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.274}, doi = {10.18653/V1/2021.EMNLP-MAIN.274}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/KimKLLKJ0KKSLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKLKSRLWSPCSC21, author = {Jin Hyun Kim and Shinhaeng Kang and Sukhan Lee and Hyeonsu Kim and Woongjae Song and Yuhwan Ro and Seungwon Lee and David Wang and Hyunsung Shin and BengSeng Phuah and Jihyun Choi and Jinin So and YeonGon Cho and Joon{-}Ho Song and Jangseok Choi and Jeonghyeon Cho and Kyomin Sohn and Young{-}Soo Sohn and Kwang{-}Il Park and Nam Sung Kim}, title = {Aquabolt-XL: Samsung {HBM2-PIM} with in-memory processing for {ML} accelerators and beyond}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--26}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567191}, doi = {10.1109/HCS52781.2021.9567191}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKLKSRLWSPCSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/ParkLJ021, author = {Seulki Park and Jongin Lim and Younghan Jeon and Jin Young Choi}, title = {Influence-Balanced Loss for Imbalanced Visual Classification}, booktitle = {2021 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2021, Montreal, QC, Canada, October 10-17, 2021}, pages = {715--724}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICCV48922.2021.00077}, doi = {10.1109/ICCV48922.2021.00077}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/ParkLJ021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icons2/ParsaSRZRMJKY021, author = {Maryam Parsa and Catherine D. Schuman and Nitin Rathi and Amirkoushyar Ziabari and Derek C. Rose and J. Parker Mitchell and J. Travis Johnston and Bill Kay and Steven R. Young and Kaushik Roy}, editor = {Thomas E. Potok and Melika Payvand and Catherine D. Schuman and Prasanna Date and Mutsumi Kimura and Cory E. Merkel and Brad Aimone and Sonia M. Buckley and Yiran Chen and Gregory Cohen and Todd Hylton and Robert M. Patton and Robinson E. Pino and Garrett S. Rose}, title = {Accurate and Accelerated Neuromorphic Network Design Leveraging {A} Bayesian Hyperparameter Pareto Optimization Approach}, booktitle = {{ICONS} 2021: International Conference on Neuromorphic Systems 2021, Knoxville, TN, USA, July 27-29, 2021}, pages = {14:1--14:8}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3477145.3477160}, doi = {10.1145/3477145.3477160}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icons2/ParsaSRZRMJKY021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icphm/GaoJPS21, author = {Qiang Gao and Jun Young Jeon and Gyuhae Park and Yunde Shen}, title = {Source localization using beamforming and double L-shape sensors arrays}, booktitle = {International {IEEE} Conference on Prognostics and Health Management, {ICPHM} 2021, Detroit, MI, USA, June 7-9, 2021}, pages = {1--4}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICPHM51084.2021.9486518}, doi = {10.1109/ICPHM51084.2021.9486518}, timestamp = {Mon, 02 Aug 2021 08:40:31 +0200}, biburl = {https://dblp.org/rec/conf/icphm/GaoJPS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/JeongCP21, author = {Beom Young Jeong and Seungman Choi and Kyudong Park}, title = {Heuristic Evaluation for Augmentative and Alternative Communication Application: {A} Case Study}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1240--1243}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621032}, doi = {10.1109/ICTC52510.2021.9621032}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/JeongCP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimYPJSH21, author = {Young{-}Il Kim and Geon{-}Min Yeo and Seong{-}Hee Park and Wun{-}Cheol Jeong and Soonyong Song and Tae{-}Wook Heo}, title = {The analysis of {UAV} detection performance using rotating cameras}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1262--1265}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621076}, doi = {10.1109/ICTC52510.2021.9621076}, timestamp = {Fri, 10 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimYPJSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SongPPKSJ21, author = {Hoon{-}Geun Song and Kwanghyun Park and Ji{-}Young Park and Tae{-}Hoon Kwon and Jun{-}Seok Seo and Sang{-}Woon Jeon}, title = {5G {NR} Performance Evaluation Under Phase Noise Distortion for 52.6 GHz to 71 GHz}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {607--610}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9620842}, doi = {10.1109/ICTC52510.2021.9620842}, timestamp = {Fri, 22 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SongPPKSJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/LeeKLC0JHKJYO21, author = {Moonyoung Lee and Youngsun Kwon and Sebin Lee and Jonghun Choe and Junyong Park and Hyobin Jeong and Yujin Heo and Min{-}Su Kim and Sungho Jo and Sung{-}Eui Yoon and Jun{-}Ho Oh}, title = {Dynamic Humanoid Locomotion Over Rough Terrain With Streamlined Perception-Control Pipeline}, booktitle = {{IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2021, Prague, Czech Republic, September 27 - Oct. 1, 2021}, pages = {4111--4117}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/IROS51168.2021.9636218}, doi = {10.1109/IROS51168.2021.9636218}, timestamp = {Wed, 22 Dec 2021 12:36:19 +0100}, biburl = {https://dblp.org/rec/conf/iros/LeeKLC0JHKJYO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ChoiRLPLHJ21, author = {Hong{-}Seok Choi and Seungha Roh and Sanghee Lee and Jung{-}Hoon Park and Kwanghoon Lee and Young{-}Ha Hwang and Deog{-}Kyoon Jeong}, title = {A 6b 48-GS/s Asynchronous 2b/cycle Time-Interleaved {ADC} in 28-nm {CMOS}}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {127--128}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613967}, doi = {10.1109/ISOCC53507.2021.9613967}, timestamp = {Mon, 06 Dec 2021 17:33:24 +0100}, biburl = {https://dblp.org/rec/conf/isocc/ChoiRLPLHJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoiPOKHHKJ21, author = {Jaesuk Choi and Yechan Park and Jung Bum Oh and Jun{-}Young Kim and Jae Youn Hwang and Sohmyung Ha and Chul Kim and Minkyu Je}, title = {34.4 An Energy-Replenishing Ultrasound Pulser with 0.25CV\({}^{\mbox{2}}\) f Dynamic Power Consumption}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {486--488}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365826}, doi = {10.1109/ISSCC42613.2021.9365826}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChoiPOKHHKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCYKLBKKKCCPJ21, author = {Kyunghoon Kim and Joo{-}Hyung Chae and Jaehyeok Yang and Jihyo Kang and Gang{-}Sik Lee and Sang{-}Yeon Byeon and Youngtaek Kim and Boram Kim and Dong{-}Hyun Kim and Yeongmuk Cho and Kangmoo Choi and Hyeongyeol Park and Junghwan Ji and Sera Jeong and Yongsuk Joo and Jaehoon Cha and Minsoo Park and Hongdeuk Kim and Sijun Park and Kyubong Kong and Sunho Kim and Sangkwon Lee and Junhyun Chun and Hyungsoo Kim and Seon{-}Yong Cha}, title = {A 24Gb/s/pin 8Gb {GDDR6} with a Half-Rate Daisy-Chain-Based Clocking Architecture and {IO} Circuitry for Low-Noise Operation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {344--346}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365844}, doi = {10.1109/ISSCC42613.2021.9365844}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCYKLBKKKCCPJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCALCPPJKCYJ21, author = {Yong{-}Hun Kim and Hyung{-}Jin Kim and Jaemin Choi and Min{-}Su Ahn and Dongkeon Lee and Seung{-}Hyun Cho and Dong{-}Yeon Park and Young{-}Jae Park and Min{-}Soo Jang and Yong{-}Jun Kim and Jinyong Choi and Sung{-}Woo Yoon and Jae{-}Woo Jung and Jae{-}Koo Park and Jae{-}Woo Lee and Dae{-}Hyun Kwon and Hyung{-}Seok Cha and Si{-}Hyeong Cho and Seong{-}Hoon Kim and Jihwa You and Kyoung{-}Ho Kim and Dae{-}Hyun Kim and Byung{-}Cheol Kim and Young{-}Kwan Kim and Jun{-}Ho Kim and Seouk{-}Kyu Choi and Chanyoung Kim and Byongwook Na and Hye{-}In Choi and Reum Oh and Jeong{-}Don Ihm and Seung{-}Jun Bae and Nam Sung Kim and Jung{-}Bae Lee}, title = {25.2 {A} 16Gb Sub-1V 7.14Gb/s/pin {LPDDR5} {SDRAM} Applying a Mosaic Architecture with a Short-Feedback 1-Tap DFE, an {FSS} Bus with Low-Level Swing and an Adaptively Controlled Body Biasing in a 3\({}^{\mbox{rd}}\)-Generation 10nm {DRAM}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {346--348}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366050}, doi = {10.1109/ISSCC42613.2021.9366050}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCALCPPJKCYJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKOPKLLJCKPY21, author = {Jae{-}Woo Park and Doogon Kim and Sunghwa Ok and Jaebeom Park and Taeheui Kwon and Hyunsoo Lee and Sungmook Lim and Sun{-}Young Jung and Hyeong{-}Jin Choi and Taikyu Kang and Gwan Park and Chul{-}Woo Yang and Jeong{-}Gil Choi and Gwihan Ko and Jae{-}Hyeon Shin and Ingon Yang and Junghoon Nam and Hyeokchan Sohn and Seok{-}in Hong and Yohan Jeong and Sung{-}Wook Choi and Changwoon Choi and Hyun{-}Soo Shin and Junyoun Lim and Dongkyu Youn and Sanghyuk Nam and Juyeab Lee and Myungkyu Ahn and Hoseok Lee and Seungpil Lee and Jongmin Park and Kichang Gwon and Woopyo Jeong and Jungdal Choi and Jinkook Kim and Kyowon Jin}, title = {A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm\({}^{\mbox{2}}\) Density with a Peripheral Circuit Under Cell Array Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {422--423}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365809}, doi = {10.1109/ISSCC42613.2021.9365809}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKOPKLLJCKPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkCJKH21, author = {Dae Young Park and Moon{-}Hyun Cha and Changwook Jeong and Daesin Kim and Bohyung Han}, editor = {Marc'Aurelio Ranzato and Alina Beygelzimer and Yann N. Dauphin and Percy Liang and Jennifer Wortman Vaughan}, title = {Learning Student-Friendly Teacher Networks for Knowledge Distillation}, booktitle = {Advances in Neural Information Processing Systems 34: Annual Conference on Neural Information Processing Systems 2021, NeurIPS 2021, December 6-14, 2021, virtual}, pages = {13292--13303}, year = {2021}, url = {https://proceedings.neurips.cc/paper/2021/hash/6e7d2da6d3953058db75714ac400b584-Abstract.html}, timestamp = {Tue, 03 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkCJKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/ParkMKCHPSKSOLO21, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Youngsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, editor = {Joaquin Vanschoren and Sai{-}Kit Yeung}, title = {{KLUE:} Korean Language Understanding Evaluation}, booktitle = {Proceedings of the Neural Information Processing Systems Track on Datasets and Benchmarks 1, NeurIPS Datasets and Benchmarks 2021, December 2021, virtual}, year = {2021}, url = {https://datasets-benchmarks-proceedings.neurips.cc/paper/2021/hash/98dce83da57b0395e163467c9dae521b-Abstract-round2.html}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/nips/ParkMKCHPSKSOLO21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimHKPTJPHQYH21, author = {Dong U. Kim and Myung S. Hong and Do Y. Kim and Young J. Park and Alain Y. Takabayashi and Youngjae Jeong and Jongwoo Park and Seungjun Han and Niels Quack and Kyoungsik Yu and Sangyoon Han}, title = {8{\texttimes}8 Programmable Multi-Beam Pattern Projection Based on Multicast Silicon Photonic {MEMS} Switches}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2021, San Francisco, CA, USA, June 6-10, 2021}, pages = {1--3}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9489753}, timestamp = {Tue, 03 Aug 2021 11:38:19 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimHKPTJPHQYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/JungPJKKKK21, author = {Seungjae Jung and Young{-}Jin Park and Jisu Jeong and Kyung{-}Min Kim and Hiun Kim and Minkyu Kim and Hanock Kwak}, editor = {Humberto Jes{\'{u}}s Corona Pamp{\'{\i}}n and Martha A. Larson and Martijn C. Willemsen and Joseph A. Konstan and Julian J. McAuley and Jean Garcia{-}Gathright and Bouke Huurnink and Even Oldridge}, title = {Global-Local Item Embedding for Temporal Set Prediction}, booktitle = {RecSys '21: Fifteenth {ACM} Conference on Recommender Systems, Amsterdam, The Netherlands, 27 September 2021 - 1 October 2021}, pages = {674--679}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3460231.3478844}, doi = {10.1145/3460231.3478844}, timestamp = {Mon, 20 Sep 2021 17:35:14 +0200}, biburl = {https://dblp.org/rec/conf/recsys/JungPJKKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/ParkCY21, author = {Min Young Park and Hye Soo Choi and Jeong Chul Yoon}, title = {Determinants of Technology Innovation Performance}, booktitle = {21st {ACIS} International Winter Conference on Software Engineering, Artificial Intelligence, Networking and Parallel/Distributed Computing, {SNPD} Winter 2021, Ho Chi Minh City, Vietnam, January 28-30, 2021}, pages = {119--121}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/SNPDWinter52325.2021.00034}, doi = {10.1109/SNPDWINTER52325.2021.00034}, timestamp = {Thu, 22 Apr 2021 17:15:35 +0200}, biburl = {https://dblp.org/rec/conf/snpd/ParkCY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimKSJP21, author = {Mi{-}sook Kim and SangGyu Kim and ByoungYoul Song and Young{-}sook Jeong and Hong Seong Park}, title = {Study on Requirements of Cloud-based Environments for Easy Development of {ROS} Modules}, booktitle = {18th International Conference on Ubiquitous Robots, {UR} 2021, Gangneung, South Korea), July 12-14, 2021}, pages = {48--51}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/UR52253.2021.9494693}, doi = {10.1109/UR52253.2021.9494693}, timestamp = {Tue, 19 Jul 2022 18:21:01 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimKSJP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/ChungKYHPLYLLKL21, author = {Taeyoung Chung and Sooa Kim and Jehyung Yoon and Heeseok Han and Kyung Soo Park and Hokyu Lee and Ho{-}Young Yoon and Sun{-}Kyu Lee and Jongyoon Lim and Yongjin Kwon and Jungbong Lee and Sung{-}Ung Kwak}, title = {A 10A/{\(\mu\)}s Fast Transient {AOT} Voltage Regulator on {DDR5} {DIMM} with Dithered Pseudo-Constant Switching Frequency Achieving -6dB Harmonic Suppression}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492332}, doi = {10.23919/VLSICIRCUITS52068.2021.9492332}, timestamp = {Mon, 02 Aug 2021 16:52:31 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/ChungKYHPLYLLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wacv/OhJPK21, author = {Youngrock Oh and Hyungsik Jung and Jeonghyung Park and Min Soo Kim}, title = {{EVET:} Enhancing Visual Explanations of Deep Neural Networks Using Image Transformations}, booktitle = {{IEEE} Winter Conference on Applications of Computer Vision, {WACV} 2021, Waikoloa, HI, USA, January 3-8, 2021}, pages = {3578--3586}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/WACV48630.2021.00362}, doi = {10.1109/WACV48630.2021.00362}, timestamp = {Fri, 18 Jun 2021 10:51:54 +0200}, biburl = {https://dblp.org/rec/conf/wacv/OhJPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2102-07650, author = {Dae Young Park and Moon{-}Hyun Cha and Changwook Jeong and Daesin Kim and Bohyung Han}, title = {Learning Student-Friendly Teacher Networks for Knowledge Distillation}, journal = {CoRR}, volume = {abs/2102.07650}, year = {2021}, url = {https://arxiv.org/abs/2102.07650}, eprinttype = {arXiv}, eprint = {2102.07650}, timestamp = {Thu, 18 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2102-07650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-00641, author = {Jonathan Larson and Tiona Zuzul and Emily Cox Pahnke and Neha Parikh Shah and Patrick Bourke and Nicholas Caurvina and Fereshteh Amini and Youngser Park and Joshua T. Vogelstein and Jeffrey Weston and Christopher M. White and Carey E. Priebe}, title = {Dynamic Silos: Modularity in intra-organizational communication networks before and during the Covid-19 pandemic}, journal = {CoRR}, volume = {abs/2104.00641}, year = {2021}, url = {https://arxiv.org/abs/2104.00641}, eprinttype = {arXiv}, eprint = {2104.00641}, timestamp = {Tue, 13 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-00641.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2104-13119, author = {Young Gon Jo and Seok Hyeon Hong and Sung Soo Hwang and Jeong Mok Ha}, title = {Fisheye Lens Camera based Autonomous Valet Parking System}, journal = {CoRR}, volume = {abs/2104.13119}, year = {2021}, url = {https://arxiv.org/abs/2104.13119}, eprinttype = {arXiv}, eprint = {2104.13119}, timestamp = {Mon, 03 May 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2104-13119.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2105-09680, author = {Sungjoon Park and Jihyung Moon and Sungdong Kim and Won{-}Ik Cho and Jiyoon Han and Jangwon Park and Chisung Song and Junseong Kim and Yongsook Song and Tae Hwan Oh and Joohong Lee and Juhyun Oh and Sungwon Lyu and Younghoon Jeong and Inkwon Lee and Sangwoo Seo and Dongjun Lee and Hyunwoo Kim and Myeonghwa Lee and Seongbo Jang and Seungwon Do and Sunkyoung Kim and Kyungtae Lim and Jongwon Lee and Kyumin Park and Jamin Shin and Seonghyun Kim and Eunjeong Lucy Park and Alice Oh and Jung{-}Woo Ha and Kyunghyun Cho}, title = {{KLUE:} Korean Language Understanding Evaluation}, journal = {CoRR}, volume = {abs/2105.09680}, year = {2021}, url = {https://arxiv.org/abs/2105.09680}, eprinttype = {arXiv}, eprint = {2105.09680}, timestamp = {Fri, 04 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2105-09680.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-00573, author = {Kyuyong Shin and Hanock Kwak and Kyung{-}Min Kim and Minkyu Kim and Young{-}Jin Park and Jisu Jeong and Seungjae Jung}, title = {One4all User Representation for Recommender Systems in E-commerce}, journal = {CoRR}, volume = {abs/2106.00573}, year = {2021}, url = {https://arxiv.org/abs/2106.00573}, eprinttype = {arXiv}, eprint = {2106.00573}, timestamp = {Wed, 09 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-00573.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07023, author = {Jeeseung Park and Younggeun Kim}, title = {Styleformer: Transformer based Generative Adversarial Networks with Style Vector}, journal = {CoRR}, volume = {abs/2106.07023}, year = {2021}, url = {https://arxiv.org/abs/2106.07023}, eprinttype = {arXiv}, eprint = {2106.07023}, timestamp = {Wed, 16 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07023.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-02074, author = {Seungjae Jung and Young{-}Jin Park and Jisu Jeong and Kyung{-}Min Kim and Hiun Kim and Minkyu Kim and Hanock Kwak}, title = {Global-Local Item Embedding for Temporal Set Prediction}, journal = {CoRR}, volume = {abs/2109.02074}, year = {2021}, url = {https://arxiv.org/abs/2109.02074}, eprinttype = {arXiv}, eprint = {2109.02074}, timestamp = {Mon, 20 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-02074.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-04650, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, journal = {CoRR}, volume = {abs/2109.04650}, year = {2021}, url = {https://arxiv.org/abs/2109.04650}, eprinttype = {arXiv}, eprint = {2109.04650}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-04650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-01840, author = {Jihoon Kweon and Kyunghwan Kim and Chaehyuk Lee and Hwi Kwon and Jinwoo Park and Kyoseok Song and Young In Kim and Jeeone Park and Inwook Back and Jae{-}Hyung Roh and Youngjin Moon and Jaesoon Choi and Young{-}Hak Kim}, title = {Deep reinforcement learning for guidewire navigation in coronary artery phantom}, journal = {CoRR}, volume = {abs/2110.01840}, year = {2021}, url = {https://arxiv.org/abs/2110.01840}, eprinttype = {arXiv}, eprint = {2110.01840}, timestamp = {Fri, 08 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-01840.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2110-02444, author = {Seulki Park and Jongin Lim and Younghan Jeon and Jin Young Choi}, title = {Influence-Balanced Loss for Imbalanced Visual Classification}, journal = {CoRR}, volume = {abs/2110.02444}, year = {2021}, url = {https://arxiv.org/abs/2110.02444}, eprinttype = {arXiv}, eprint = {2110.02444}, timestamp = {Thu, 17 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2110-02444.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-06334, author = {Jae{-}Han Lee and Seungmin Jeon and Kwang Pyo Choi and Youngo Park and Chang{-}Su Kim}, title = {{DPICT:} Deep Progressive Image Compression Using Trit-Planes}, journal = {CoRR}, volume = {abs/2112.06334}, year = {2021}, url = {https://arxiv.org/abs/2112.06334}, eprinttype = {arXiv}, eprint = {2112.06334}, timestamp = {Fri, 14 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-06334.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeonJPKHJWRLHJK20, author = {Deokmin Jeon and Unsang Jung and Kibeom Park and Pilun Kim and Sangyeob Han and Hyosang Jeong and Ruchire Eranga Wijesinghe and Naresh Kumar Ravichandran and Jaeyul Lee and Youngmin Han and Mansik Jeon and Jeehyun Kim}, title = {Vision-Inspection-Synchronized Dual Optical Coherence Tomography for High-Resolution Real-Time Multidimensional Defect Tracking in Optical Thin Film Industry}, journal = {{IEEE} Access}, volume = {8}, pages = {190700--190709}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3031361}, doi = {10.1109/ACCESS.2020.3031361}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/JeonJPKHJWRLHJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeonPJ20, author = {Jueun Jeon and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Dynamic Analysis for IoT Malware Detection With Convolution Neural Network Model}, journal = {{IEEE} Access}, volume = {8}, pages = {96899--96911}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2995887}, doi = {10.1109/ACCESS.2020.2995887}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeonPJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJKSCLJKLLLP20, author = {Youngil Kim and Jinwoo Jeong and Wang Kexin and Yong Ho Song and Seungdo Choi and Daeyong Lee and Joonyong Jeong and Jaewook Kwak and Jungkeol Lee and Gyeongyong Lee and Sangjin Lee and Kibin Park}, title = {Low-Overhead Compressibility Prediction for High-Performance Lossless Data Compression}, journal = {{IEEE} Access}, volume = {8}, pages = {37105--37123}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2975929}, doi = {10.1109/ACCESS.2020.2975929}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJKSCLJKLLLP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimJYPP20, author = {Young{-}Jin Kim and Young{-}Kyung Jeong and Dong{-}Gi Youn and Hyun Ho Park and Yong Bae Park}, title = {Design of a Small {NEMP} Simulator for the Immunity Test of Core Electronic Components in {HEMP} Environments}, journal = {{IEEE} Access}, volume = {8}, pages = {217773--217780}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3042262}, doi = {10.1109/ACCESS.2020.3042262}, timestamp = {Mon, 04 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimJYPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkKKCPSKK20, author = {Minkyung Park and Jeongnyeo Kim and Youngho Kim and Eunsang Cho and Soobin Park and Sungmin Sohn and Minhyeok Kang and Ted Taekyoung Kwon}, title = {An SGX-Based Key Management Framework for Data Centric Networking}, journal = {{IEEE} Access}, volume = {8}, pages = {45198--45210}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2978346}, doi = {10.1109/ACCESS.2020.2978346}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkKKCPSKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/adhoc/AhnJPJS20, author = {Hyeongtae Ahn and Hyeongwoo Jo and Young Deok Park and Seung{-}Hyun Jeong and Young{-}Joo Suh}, title = {Collision decoding and reporting: {A} new collision resolution approach using full-duplex radio in WLANs}, journal = {Ad Hoc Networks}, volume = {106}, pages = {102238}, year = {2020}, url = {https://doi.org/10.1016/j.adhoc.2020.102238}, doi = {10.1016/J.ADHOC.2020.102238}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/adhoc/AhnJPJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/KimSSPAJKSK20, author = {Young Seok Kim and Myeong Won Son and Hanchan Song and Juseong Park and Jangho An and Jae Bum Jeon and Geun Young Kim and Seoil Son and Kyung Min Kim}, title = {Stateful In-Memory Logic System and Its Practical Implementation in a TaO x -Based Bipolar-Type Memristive Crossbar Array}, journal = {Adv. Intell. Syst.}, volume = {2}, number = {3}, pages = {1900156}, year = {2020}, url = {https://doi.org/10.1002/aisy.201900156}, doi = {10.1002/AISY.201900156}, timestamp = {Tue, 08 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/aisy/KimSSPAJKSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aisy/SongASKPJKK20, author = {Hanchan Song and Jangho An and Seoil Son and Young Seok Kim and Juseong Park and Jae Bum Jeon and Geunyoung Kim and Kyung Min Kim}, title = {Parallel Operation of Self-Limited Analog Programming for Fast Array-Level Weight Programming and Update}, journal = {Adv. Intell. Syst.}, volume = {2}, number = {7}, pages = {2000014}, year = {2020}, url = {https://doi.org/10.1002/aisy.202000014}, doi = {10.1002/AISY.202000014}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aisy/SongASKPJKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HaselimashhadiM20, author = {Hamed Haselimashhadi and Jeremy C. Mason and Violeta Mu{\~{n}}oz{-}Fuentes and Federico L{\'{o}}pez{-}G{\'{o}}mez and Kolawole O. Babalola and Elif F. Acar and Vivek Kumar and Jacqui White and Ann M. Flenniken and Ruairidh King and Ewan Straiton and John Richard Seavitt and Angelina Gaspero and Arturo Garza and Audrey E. Christianson and Chih{-}Wei Hsu and Corey L. Reynolds and Denise G. Lanza and Isabel Lorenzo and Jennie R. Green and Juan J. Gallegos and Ritu Bohat and Rodney C. Samaco and Surabi Veeraragavan and Jong Kyoung Kim and Gregor Miller and Helmut Fuchs and Lillian Garrett and Lore Becker and Yeon Kyung Kang and David Clary and Soo Young Cho and Masaru Tamura and Nobuhiko Tanaka and Kyung Dong Soo and Alexandr Bezginov and Ghina Bou About and Marie{-}France Champy and Laurent Vasseur and Sophie Leblanc and Hamid Meziane and Mohammed Selloum and Patrick T. Reilly and Nadine Spielmann and Holger Maier and Val{\'{e}}rie Gailus{-}Durner and Tania Sorg and Hiroshi Masuya and Yuichi Obata and Jason D. Heaney and Mary E. Dickinson and Wolfgang Wurst and Glauco P. Tocchini{-}Valentini and Kevin C. Kent Lloyd and Colin McKerlie and Je Kyung Seong and Yann Herault and Martin Hrab{\'{e}} de Angelis and Steve D. M. Brown and Damian Smedley and Paul Flicek and Ann{-}Marie Mallon and Helen E. Parkinson and Terrence F. Meehan}, title = {Soft windowing application to improve analysis of high-throughput phenotyping data}, journal = {Bioinform.}, volume = {36}, number = {5}, pages = {1492--1500}, year = {2020}, url = {https://doi.org/10.1093/bioinformatics/btz744}, doi = {10.1093/BIOINFORMATICS/BTZ744}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/HaselimashhadiM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/WooSK0P20, author = {Sangyeon Woo and Jeho Song and Sanghyeok Kim and Youngjae Kim and Sungyong Park}, title = {{GARET:} improving throughput using gas consumption-aware relocation in Ethereum sharding environments}, journal = {Clust. Comput.}, volume = {23}, number = {3}, pages = {2235--2247}, year = {2020}, url = {https://doi.org/10.1007/s10586-020-03087-1}, doi = {10.1007/S10586-020-03087-1}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/WooSK0P20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/MiaoJPPH20, author = {Yinan Miao and Jun Young Jeon and Gyuhae Park and Sang Woo Park and Hwan Heo}, title = {Virtual reality-based measurement of ocular deviation in strabismus}, journal = {Comput. Methods Programs Biomed.}, volume = {185}, pages = {105132}, year = {2020}, url = {https://doi.org/10.1016/j.cmpb.2019.105132}, doi = {10.1016/J.CMPB.2019.105132}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/MiaoJPPH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/comcom/SungJJP20, author = {Yunsick Sung and Sejun Jang and Young{-}Sik Jeong and James J. Park}, title = {Malware classification algorithm using advanced Word2vec-based Bi-LSTM for ground control stations}, journal = {Comput. Commun.}, volume = {153}, pages = {342--348}, year = {2020}, url = {https://doi.org/10.1016/j.comcom.2020.02.005}, doi = {10.1016/J.COMCOM.2020.02.005}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/comcom/SungJJP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/LeeP20, author = {Youngsaeng Lee and Jeong{-}Soo Park}, title = {Generalized Nonlinear Least Squares Method for the Calibration of Complex Computer Code Using a Gaussian Process Surrogate}, journal = {Entropy}, volume = {22}, number = {9}, pages = {985}, year = {2020}, url = {https://doi.org/10.3390/e22090985}, doi = {10.3390/E22090985}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/LeeP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/LeeKKLCCSPK20, author = {Sangmin Lee and Younghoon Kim and Hyungu Kahng and Soonkyo Lee and Seokhyun Chung and Taesu Cheong and Keeyong Shin and Jeehyuk Park and Seoung Bum Kim}, title = {Intelligent traffic control for autonomous vehicle systems based on machine learning}, journal = {Expert Syst. Appl.}, volume = {144}, pages = {113074}, year = {2020}, url = {https://doi.org/10.1016/j.eswa.2019.113074}, doi = {10.1016/J.ESWA.2019.113074}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/LeeKKLCCSPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KimYPJ20, author = {Hyun{-}Woo Kim and Gangman Yi and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Adaptive resource management using many-core processing for fault tolerance based on cyber-physical cloud systems}, journal = {Future Gener. Comput. Syst.}, volume = {105}, pages = {884--893}, year = {2020}, url = {https://doi.org/10.1016/j.future.2017.07.010}, doi = {10.1016/J.FUTURE.2017.07.010}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/KimYPJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijac/LeePPY20, author = {Younggi Lee and Jeehoon Park and Junyeong Park and Jaehyun Yim}, title = {An algorithm for a lifted Massey triple product of a smooth projective plane curve}, journal = {Int. J. Algebra Comput.}, volume = {30}, number = {08}, pages = {1651--1669}, year = {2020}, url = {https://doi.org/10.1142/s0218196720500587}, doi = {10.1142/S0218196720500587}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijac/LeePPY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/ParkJM20, author = {Sejin Park and Woojin Jeong and Young Shik Moon}, title = {X-ray Image Segmentation using Multi-task Learning}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {14}, number = {3}, pages = {1104--1120}, year = {2020}, url = {https://doi.org/10.3837/tiis.2020.03.011}, doi = {10.3837/TIIS.2020.03.011}, timestamp = {Thu, 16 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/ParkJM20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaihc/KimMPSJ20, author = {Hyun{-}Woo Kim and He Mu and Jong Hyuk Park and Arun Kumar Sangaiah and Young{-}Sik Jeong}, title = {Video transcoding scheme of multimedia data-hiding for multiform resources based on intra-cloud}, journal = {J. Ambient Intell. Humaniz. Comput.}, volume = {11}, number = {5}, pages = {1809--1819}, year = {2020}, url = {https://doi.org/10.1007/s12652-019-01279-1}, doi = {10.1007/S12652-019-01279-1}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jaihc/KimMPSJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jetc/KimMSPN20, author = {J. Hyun Kim and Young Je Moon and Hyunsub Song and Jay H. Park and Sam H. Noh}, title = {On Providing {OS} Support to Allow Transparent Use of Traditional Programming Models for Persistent Memory}, journal = {{ACM} J. Emerg. Technol. Comput. Syst.}, volume = {16}, number = {3}, pages = {33:1--33:24}, year = {2020}, url = {https://doi.org/10.1145/3388637}, doi = {10.1145/3388637}, timestamp = {Sat, 18 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jetc/KimMSPN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP20, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Security, Privacy, and Efficiency of Sustainable Computing for Future Smart Cities}, journal = {J. Inf. Process. Syst.}, volume = {16}, number = {1}, pages = {1--5}, year = {2020}, url = {https://doi.org/10.3745/JIPS.03.0133}, doi = {10.3745/JIPS.03.0133}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/JeongP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP20a, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Algorithms, Processes, and Services for Future {ICT}}, journal = {J. Inf. Process. Syst.}, volume = {16}, number = {6}, pages = {1231--1237}, year = {2020}, url = {https://doi.org/10.3745/JIPS.01.0061}, doi = {10.3745/JIPS.01.0061}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/JeongP20a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ParkJK20, author = {Sung Ho Park and Seol{-}Young Jeong and Soon Ju Kang}, title = {Group system: An efficient dynamic memory management scheme for real-time systems}, journal = {J. Syst. Archit.}, volume = {107}, pages = {101726}, year = {2020}, url = {https://doi.org/10.1016/j.sysarc.2020.101726}, doi = {10.1016/J.SYSARC.2020.101726}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ParkJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeJJPKLAHC20, author = {Changuk Lee and Taejune Jeon and Moon Hyung Jang and Sanggeon Park and Jejung Kim and Jeongsik Lim and Jong{-}Hyun Ahn and Yeowool Huh and Youngcheol Chae}, title = {A 6.5-{\(\mu\)}W 10-kHz {BW} 80.4-dB {SNDR} G\({}_{\mbox{m}}\)-C-Based {CT} {\unicode{8710}}{\(\sum\)} Modulator With a Feedback-Assisted G\({}_{\mbox{m}}\) Linearization for Artifact-Tolerant Neural Recording}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2889--2901}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3018478}, doi = {10.1109/JSSC.2020.3018478}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeJJPKLAHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeJKPKC20, author = {Sangwoo Lee and Jinwoong Jeong and Taewoong Kim and Chanmin Park and Taewoo Kim and Youngcheol Chae}, title = {A 5.2-Mpixel 88.4-dB {DR} 12-in {CMOS} X-Ray Detector With 16-bit Column-Parallel Continuous-Time Incremental {\(\Delta\)}{\(\Sigma\)} ADCs}, journal = {{IEEE} J. Solid State Circuits}, volume = {55}, number = {11}, pages = {2878--2888}, year = {2020}, url = {https://doi.org/10.1109/JSSC.2020.3011967}, doi = {10.1109/JSSC.2020.3011967}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeJKPKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/JunJSSCCPKYYLK20, author = {Heeyeon Jun and Yena Jeon and Sook Jin Seong and Jung Ju Seo and Ji{-}Young Choi and Janghee Cho and Sun{-}Hee Park and Chan{-}Duck Kim and Young{-}Ran Yoon and Se{-}Hee Yoon and Jong Soo Lee and Yong{-}Lim Kim}, title = {ICT-based adherence monitoring in kidney transplant recipients: a randomized controlled trial}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {105}, year = {2020}, url = {https://doi.org/10.1186/s12911-020-01146-6}, doi = {10.1186/S12911-020-01146-6}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/JunJSSCCPKYYLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/ParkBSLL20, author = {Jin{-}Hyeok Park and Jeong{-}Heum Baek and Sunjin Sym and Kang Yoon Lee and Youngho Lee}, title = {A data-driven approach to a chemotherapy recommendation model based on deep learning for patients with colorectal cancer in Korea}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {20}, number = {1}, pages = {241}, year = {2020}, url = {https://doi.org/10.1186/s12911-020-01265-0}, doi = {10.1186/S12911-020-01265-0}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/ParkBSLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/CollinsBKZAFKLG20, author = {Ryan L. Collins and Harrison Brand and Konrad J. Karczewski and Xuefang Zhao and Jessica Alf{\"{o}}ldi and Laurent C. Francioli and Amit V. Khera and Chelsea Lowther and Laura D. Gauthier and Harold Wang and Nicholas A. Watts and Matthew Solomonson and Alexander Baumann and Ruchi Munshi and Mark Walker and Christopher W. Whelan and Yongqing Huang and Ted Brookings and Ted Sharpe and Matthew R. Stone and Elise Valkanas and Jack Fu and Grace Tiao and Kristen M. Laricchia and Valent{\'{\i}}n Ruano{-}Rubio and Christine Stevens and Namrata Gupta and Caroline Cusick and Lauren Margolin and Irina M. Armean and Eric Banks and Louis Bergelson and Kristian Cibulskis and Kristen M. Connolly and Miguel Covarrubias and Beryl B. Cummings and Mark J. Daly and Stacey Donnelly and Yossi Farjoun and Steven Ferriera and Stacey Gabriel and Jeff Gentry and Thibault Jeandet and Diane Kaplan and Christopher Llanwarne and Eric V. Minikel and Benjamin M. Neale and Sam Novod and Anne H. O'Donnell{-}Luria and Nikelle Petrillo and Timothy Poterba and David Roazen and Andrea Saltzman and Kaitlin E. Samocha and Molly Schleicher and Cotton Seed and Jos{\'{e}} Soto and Kathleen Tibbetts and Charlotte Tolonen and Christopher Vittal and Gordon Wade and Arcturus Wang and Qingbo Wang and James S. Ware and Ben Weisburd and Nicola Whiffin and Carlos A. Aguilar Salinas and Tariq Ahmad and Christine M. Albert and Diego Ardissino and Gil Atzmon and John Barnard and Laurent Beaugerie and Emelia J. Benjamin and Michael Boehnke and Lori L. Bonnycastle and Erwin P. Bottinger and Donald W. Bowden and Matthew J. Bown and John C. Chambers and Juliana C. Chan and Daniel Chasman and Judy Cho and Mina K. Chung and Bruce Cohen and Adolfo Correa and Dana Dabelea and Dawood Darbar and Ravindranath Duggirala and Jos{\'{e}}e Dupuis and Patrick T. Ellinor and Roberto Elosua and Jeanette Erdmann and T{\~{o}}nu Esko and Martti F{\"{a}}rkkil{\"{a}} and Jose Florez and Andre Franke and Gad Getz and Benjamin Glaser and Stephen J. Glatt and David Goldstein and Clicerio Gonzalez and Leif Groop and Christopher A. Haiman and Craig Hanis and Matthew Harms and Mikko Hiltunen and Matti M. Holi and Christina M. Hultman and Mikko Kallela and Jaakko Kaprio and Sekar Kathiresan and Bong{-}Jo Kim and Young Jin Kim and George Kirov and Jaspal Kooner and Seppo Koskinen and Harlan M. Krumholz and Subra Kugathasan and Soo Heon Kwak and Markku Laakso and Terho Lehtim{\"{a}}ki and Ruth J. F. Loos and Steven A. Lubitz and Ronald C. W. Ma and Daniel G. MacArthur and Jaume Marrugat and Kari M. Mattila and Steven A. McCarroll and Mark I. McCarthy and Dermot McGovern and Ruth McPherson and James B. Meigs and Olle Melander and Andres Metspalu and Peter M. Nilsson and Michael C. O'Donovan and Dost {\"{O}}ng{\"{u}}r and Lorena Orozco and Michael J. Owen and Colin N. A. Palmer and Aarno Palotie and Kyong Soo Park and Carlos Pato and Ann E. Pulver and Nazneen Rahman and Anne M. Remes and John D. Rioux and Samuli Ripatti and Dan M. Roden and Danish Saleheen and Veikko Salomaa and Nilesh J. Samani and Jeremiah Scharf and Heribert Schunkert and Moore B. Shoemaker and Pamela Sklar and Hilkka Soininen and Harry Sokol and Tim Spector and Patrick F. Sullivan and Jaana Suvisaari and E. Shyong Tai and Yik Ying Teo and Tuomi Tiinamaija and Ming Tsuang and Dan Turner and Teresa Tusie{-}Luna and Erkki Vartiainen and Hugh Watkins and Rinse K. Weersma and Maija Wessman and James G. Wilson and Ramnik J. Xavier and Kent D. Taylor and Henry J. Lin and Stephen S. Rich and Wendy S. Post and Yii{-}Der Ida Chen and Jerome I. Rotter and Chad Nusbaum and Anthony A. Philippakis and Eric S. Lander and Michael E. Talkowski}, title = {A structural variation reference for medical and population genetics}, journal = {Nat.}, volume = {581}, number = {7809}, pages = {444--451}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2287-8}, doi = {10.1038/S41586-020-2287-8}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/CollinsBKZAFKLG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/RheinbayNAWSTHH20, author = {Esther Rheinbay and Morten Muhlig Nielsen and Federico Abascal and Jeremiah Wala and Ofer Shapira and Grace Tiao and Henrik Hornsh{\o}j and Julian M. Hess and Randi Istrup Juul and Ziao Lin and Lars Feuerbach and Radhakrishnan Sabarinathan and Tobias Madsen and Jaegil Kim and Loris Mularoni and Shimin Shuai and Andr{\'{e}}s Lanz{\'{o}}s and Carl Herrmann and Yosef E. Maruvka and Ciyue Shen and Samirkumar B. Amin and Pratiti Bandopadhayay and Johanna Bertl and Keith A. Boroevich and John Busanovich and Joana Carlevaro{-}Fita and Dimple Chakravarty and Calvin Wing Yiu Chan and David Craft and Priyanka Dhingra and Klev Diamanti and Nuno A. Fonseca and Abel Gonzalez{-}Perez and Qianyun Guo and Mark P. Hamilton and Nicholas J. Haradhvala and Chen Hong and Keren Isaev and Todd A. Johnson and Malene Juul and Andr{\'{e}} Kahles and Abdullah Kahraman and Youngwook Kim and Jan Komorowski and Kiran Kumar and Sushant Kumar and Donghoon Lee and Kjong{-}Van Lehmann and Yilong Li and Eric Minwei Liu and Lucas Lochovsky and Keunchil Park and Oriol Pich and Nicola D. Roberts and Gordon Saksena and Steven E. Schumacher and Nikos Sidiropoulos and Lina Sieverling and Nasa Sinnott{-}Armstrong and Chip Stewart and David Tamborero and Jose M. C. Tubio and Husen M. Umer and Liis Uusk{\"{u}}la{-}Reimand and Claes Wadelius and Lina Wadi and Xiaotong Yao and Cheng{-}Zhong Zhang and Jing Zhang and James E. Haber and Asger Hobolth and Marcin Imielinski and Manolis Kellis and Michael S. Lawrence and Christian von Mering and Hidewaki Nakagawa and Benjamin J. Raphael and Mark A. Rubin and Chris Sander and Lincoln D. Stein and Joshua M. Stuart and Tatsuhiko Tsunoda and David A. Wheeler and Rory Johnson and J{\"{u}}ri Reimand and Mark Gerstein and Ekta Khurana and Peter J. Campbell and N{\'{u}}ria L{\'{o}}pez{-}Bigas and Gary D. Bader and Jonathan Barenboim and Rameen Beroukhim and S{\o}ren Brunak and Ken Chen and Jung Kyoon Choi and Jordi Deu{-}Pons and J. Lynn Fink and Joan Frigola and Carlo Gambacorti Passerini and Dale W. Garsed and Gad Getz and Ivo Glynne Gut and David Haan and Arif Ozgun Harmanci and Mohamed Helmy and Ermin Hodzic and Jos{\'{e}} M. G. Izarzugaza and Jong K. Kim and Jan O. Korbel and Erik Larsson and Shantao Li and Xiaotong Li and Shaoke Lou and Kathleen Marchal and I{\~{n}}igo Martincorena and Alexander Mart{\'{\i}}nez{-}Fundichely and Patrick D. McGillivray and William Meyerson and Ferran Mui{\~{n}}os and Marta Paczkowska and Kiejung Park and Jakob Skou Pedersen and Tirso Pons and Sergio Pulido{-}Tamayo and Iker Reyes{-}Salazar and Matthew A. Reyna and Carlota Rubio{-}Perez and S{\"{u}}leyman Cenk Sahinalp and Leonidas Salichos and Mark Shackleton and Raunak Shrestha and Alfonso Valencia and Miguel Vazquez and Lieven P. C. Verbeke and Jiayin Wang and Jonathan Warrell and Sebastian M. Waszak and Joachim Weischenfeldt and Guanming Wu and Jun Yu and Xuanping Zhang and Yan Zhang and Zhongming Zhao and Lihua Zou and Kadir C. Akdemir and Eva G. Alvarez and Adrian Baez{-}Ortega and Paul C. Boutros and David D. L. Bowtell and Benedikt Brors and Kathleen H. Burns and Kin Chan and Isidro Cort{\'{e}}s{-}Ciriano and Ana Dueso{-}Barroso and Andrew J. Dunford and Paul A. Edwards and Xavier Estivill and Dariush Etemadmoghadam and Milana Frenkel{-}Morgenstern and Dmitry A. Gordenin and Barbara Hutter and David T. W. Jones and Young Seok Ju and Marat D. Kazanov and Leszek J. Klimczak and Youngil Koh and Eunjung Alice Lee and Jake June{-}Koo Lee and Andy G. Lynch and Geoff MacIntyre and Florian Markowetz and Matthew Meyerson and Satoru Miyano and Fabio C. P. Navarro and Stephan Ossowski and Peter J. Park and John V. Pearson and Montserrat Puiggr{\`{o}}s and Karsten Rippe and Steven A. Roberts and Bernardo Rodriguez{-}Martin and Ralph Scully and David Torrents and Izar Villasante and Nicola Waddell and Jeremiah A. Wala and Lixing Yang and Sung{-}Soo Yoon and Jorge Zamora}, title = {Analyses of non-coding somatic drivers in 2,658 cancer whole genomes}, journal = {Nat.}, volume = {578}, number = {7793}, pages = {102--111}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-1965-x}, doi = {10.1038/S41586-020-1965-X}, timestamp = {Thu, 11 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/RheinbayNAWSTHH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/TurroAMGGSASFTS20, author = {Ernest Turro and William J. Astle and Karyn Megy and Stefan Gr{\"{a}}f and Daniel Greene and Olga Shamardina and Hana Lango Allen and Alba Sanchis{-}Juan and Mattia Frontini and Chantal Thys and Jonathan Stephens and Rutendo Mapeta and Oliver S. Burren and Kate Downes and Matthias Haimel and Salih Tuna and Sri V. V. Deevi and Timothy J. Aitman and David L. H. Bennett and Paul Calleja and Keren Carss and Mark J. Caulfield and Patrick F. Chinnery and Peter H. Dixon and Daniel P. Gale and Roger James and Ania Koziell and Michael A. Laffan and Adam P. Levine and Eamonn R. Maher and Hugh S. Markus and Joannella Morales and Nicholas W. Morrell and Andrew D. Mumford and Elizabeth Ormondroyd and Stuart Rankin and Augusto Rendon and Sylvia Richardson and Irene Roberts and Noemi B. A. Roy and Moin A. Saleem and Kenneth G. C. Smith and Hannah Stark and Rhea Y. Y. Tan and Andreas C. Themistocleous and Adrian J. Thrasher and Hugh Watkins and Andrew R. Webster and Martin R. Wilkins and Catherine Williamson and James Whitworth and Sean Humphray and David R. Bentley and Stephen Abbs and Lara Abulhoul and Julian Adlard and Munaza Ahmed and Hana Alachkar and David J. Allsup and Jeff Almeida{-}King and Philip Ancliff and Richard Antrobus and Ruth Armstrong and Gavin Arno and Sofie Ashford and Anthony Attwood and Paul Aurora and Christian Babbs and Chiara Bacchelli and Tamam Bakchoul and Siddharth Banka and Tadbir Bariana and Julian Barwell and Joana Batista and Helen E. Baxendale and Phil L. Beales and Agnieszka Bierzynska and Tina Biss and Maria A. K. Bitner{-}Glindzicz and Graeme C. M. Black and Marta Bleda and Iulia Blesneac and Detlef Bockenhauer and Harm Bogaard and Christian J. Bourne and Sara Boyce and John R. Bradley and Eugene Bragin and Gerome Breen and Paul Brennan and Carole Brewer and Matthew Brown and Andrew C. Browning and Michael J. Browning and Rachel J. Buchan and Matthew S. Buckland and Teofila Bueser and Carmen Bugarin Diz and John Burn and Siobhan O. Burns and Nigel Burrows and Carolyn Campbell and Gerald Carr{-}White and Ruth Casey and Jenny Chambers and John Chambers and Melanie M. Y. Chan and Calvin Cheah and Floria Cheng and Manali Chitre and Martin T. Christian and Colin Church and Jill Clayton{-}Smith and Maureen Cleary and Naomi Clements Brod and Gerry Coghlan and Elizabeth Colby and Trevor R. P. Cole and Janine Collins and Peter W. Collins and Camilla Colombo and Cecilia J. Compton and Robin Condliffe and Stuart A. Cook and H. Terence Cook and Nichola Cooper and Paul A. Corris and Abigail Furnell and Fiona Cunningham and Nicola S. Curry and Antony J. Cutler and Matthew J. Daniels and Mehul Dattani and Louise C. Daugherty and John Davis and Anthony De Soyza and Timothy Dent and Charu Deshpande and Eleanor F. Dewhurst and Sofia Douzgou and Anna M. Drazyk and Elizabeth Drewe and Daniel Duarte and Tina Dutt and J. David M. Edgar and Karen Edwards and William Egner and Melanie N. Ekani and Perry Elliott and Wendy N. Erber and Marie Erwood and Maria C. Estiu and Dafydd Gareth Evans and Gillian Evans and Tamara Everington and M{\'{e}}lanie Eyries and Hiva Fassihi and Remi Favier and Jack Findhammer and Debra Fletcher and Frances A. Flinter and R. Andres Floto and Tom Fowler and James Fox and Amy J. Frary and Courtney E. French and Kathleen Freson and Henning Gall and Vijeya Ganesan and Michael Gattens and Claire Geoghegan and Terence S. A. Gerighty and Ali G. Gharavi and Stefano Ghio and Hossein{-}Ardeschir Ghofrani and J. Simon R. Gibbs and Kate Gibson and Kimberly C. Gilmour and Barbara Girerd and Nicholas S. Gleadall and Sarah Goddard and David B. Goldstein and Keith Gomez and Pavels Gordins and David Gosal and Jodie Graham and Luigi Grassi and Lynn Greenhalgh and Andreas Greinacher and Paolo Gresele and Philip Griffiths and Sofia Grigoriadou and Russell J. Grocock and Detelina Grozeva and Mark Gurnell and Scott Hackett and Charaka Hadinnapola and William M. Hague and Rosie Hague and Matthew Hall and Helen L. Hanson and Eshika Haque and Kirsty Harkness and Andrew R. Harper and Claire L. Harris and Daniel Hart and Ahamad Hassan and Grant Hayman and Alex Henderson and Archana Herwadkar and Jonathan Hoffman and Simon Holden and Rita Horvath and Henry Houlden and Arjan C. Houweling and Luke S. G. E. Howard and Fengyuan Hu and Gavin Hudson and Joseph Hughes and Aarnoud P. Huissoon and Marc Humbert and Sarah Hunter and Matthew E. Hurles and Melita Irving and Louise Izatt and Sally A. Johnson and Stephen Jolles and Jennifer Jolley and Dragana Josifova and Neringa Jurkute and Tim Karten and Johannes Karten and Mary A. Kasanicki and Hanadi Kazkaz and Rashid Kazmi and Peter Kelleher and Anne M. Kelly and Wilf Kelsall and Carly Kempster and David G. Kiely and Nathalie Kingston and Robert Klima and Nils Koelling and Myrto Kostadima and Gabor Kovacs and Roman Kreuzhuber and Taco W. Kuijpers and Ajith Kumar and Dinakantha Kumararatne and Manju A. Kurian and Fiona Lalloo and Michele Lambert and Allan Lawrie and D. Mark Layton and Nick Lench and Claire Lentaigne and Tracy Lester and Rachel Linger and Hilary Longhurst and Lorena E. Lorenzo and Eleni Louka and Paul A. Lyons and Rajiv D. Machado and Robert V. MacKenzie Ross and Bella Madan and Jesmeen Maimaris and Samantha Malka and Sarah Mangles and Kevin J. Marchbank and Stephen Marks and Hanns{-}Ulrich Marschall and Andrew G. Marshall and Jennifer Martin and Mary Mathias and Emma Matthews and Heather Maxwell and Paul McAlinden and Mark I. McCarthy and Harriet McKinney and Aoife McMahon and Stuart Meacham and Adam J. Mead and Ignacio Medina Castello and Sarju G. Mehta and Michel Michaelides and Carolyn Millar and Shehla N. Mohammed and Shahin Moledina and David Montani and Anthony T. Moore and Monika Mozere and Keith W. Muir and Andrea H. Nemeth and William G. Newman and Michael Newnham and Sadia Noorani and Paquita Nurden and Jennifer O'Sullivan and Samya Obaji and Chris Odhams and Steven Okoli and Andrea Olschewski and Horst Olschewski and Kai Ren Ong and S. Helen Oram and Willem H. Ouwehand and Claire Palles and Sofia Papadia and Soo{-}Mi Park and David Parry and Smita Patel and Joan Paterson and Andrew Peacock and Simon H. Pearce and John Peden and Kathelijne Peerlinck and Christopher J. Penkett and Joanna Pepke{-}Zaba and Romina Petersen and Clarissa Pilkington and Kenneth E. S. Poole and Radhika Prathalingam and Bethan Psaila and Angela Pyle and Richard Quinton and Shamima Rahman and Anupama Rao and F. Lucy Raymond and Paula J. Rayner{-}Matthews and Christine Rees and Tara Renton and Christopher J. Rhodes and Andrew S. C. Rice and Alex Richter and Leema Robert and Anthony Rogers and Sarah J. Rose and Robert Ross{-}Russell and Catherine Roughley and Deborah M. Ruddy and Omid Sadeghi{-}Alavijeh and Nilesh J. Samani and Crina Samarghitean and Ravishankar B. Sargur and Robert N. Sarkany and Simon Satchell and Sinisa Savic and John A. Sayer and Genevieve Sayer and Laura Scelsi and Andrew M. Schaefer and Sol Schulman and Richard Scott and Marie Scully and Claire Searle and Werner Seeger and Arjune Sen and W. A. Carrock Sewell and Denis Seyres and Neil Shah and Susan E. Shapiro and Adam C. Shaw and Patrick J. Short and Keith Sibson and Lucy Side and Ilenia Simeoni and Michael A. Simpson and Matthew C. Sims and Suthesh Sivapalaratnam and Damian Smedley and Katherine R. Smith and Katie Snape and Nicole Soranzo and Florent Soubrier and Laura Southgate and Olivera Spasic{-}Boskovic and Simon Staines and Emily Staples and Charles A. Steward and Kathleen E. Stirrups and Alex Stuckey and Jay Suntharalingam and Emilia M. Swietlik and Petros Syrris and R. Campbell Tait and Kate Talks and Katie Tate and John M. Taylor and Jenny C. Taylor and James E. Thaventhiran and Ellen Thomas and David Thomas and Moira J. Thomas and Patrick Thomas and Kate Thomson and Glen Threadgold and Tobias Tilly and Marc Tischkowitz and Catherine Titterton and John A. Todd and Cheng{-}Hock Toh and Bas Tolhuis and Ian P. Tomlinson and Mark Toshner and Matthew Traylor and Carmen Treacy and Paul Treadaway and Richard Trembath and Wojciech Turek and Philip Twiss and Tom Vale and Chris Van Geet and Natalie van Zuydam and Maarten Vandekuilen and Anthony M. Vandersteen and Marta Vazquez{-}Lopez and Julie von Ziegenweidt and Anton Vonk{-}Noordegraaf and Annette Wagner and Quinten Waisfisz and Suellen M. Walker and Neil Walker and Klaudia Walter and James S. Ware and Christopher Watt and Lucy Wedderburn and Wei Wei and Steven B. Welch and Julie Wessels and Sarah K. Westbury and John{-}Paul Westwood and John Wharton and Deborah Whitehorn and Andrew O. M. Wilkie and Brian T. Wilson and Edwin K. S. Wong and Nicholas W. Wood and Yvette Wood and Christopher Geoffrey Woods and Emma R. Woodward and Stephen J. Wort and Austen Worth and Michael Wright and Katherine Yates and Patrick F. K. Yong and Timothy Young and Ping Yu and Patrick Yu{-}Wai{-}Man and Eliska Zlamalova}, title = {Whole-genome sequencing of patients with rare diseases in a national health system}, journal = {Nat.}, volume = {583}, number = {7814}, pages = {96--102}, year = {2020}, url = {https://doi.org/10.1038/s41586-020-2434-2}, doi = {10.1038/S41586-020-2434-2}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/TurroAMGGSASFTS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AhnP20, author = {Jae{-}Hyun Ahn and Young{-}Je Park}, title = {Estimating Water Reflectance at Near-Infrared Wavelengths for Turbid Water Atmospheric Correction: {A} Preliminary Study for {GOCI-II}}, journal = {Remote. Sens.}, volume = {12}, number = {22}, pages = {3791}, year = {2020}, url = {https://doi.org/10.3390/rs12223791}, doi = {10.3390/RS12223791}, timestamp = {Thu, 17 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/remotesensing/AhnP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimKHLHKKPHJK20, author = {Seung Hee Kim and Hyun{-}Cheol Kim and Chang{-}Uk Hyun and Sungjae Lee and Jung{-}Seok Ha and Joo{-}Hong Kim and Young{-}Joo Kwon and Jeong{-}Won Park and Hyangsun Han and Seong{-}Yeob Jeong and Duk{-}jin Kim}, title = {Evolution of Backscattering Coefficients of Drifting Multi-Year Sea Ice during End of Melting and Onset of Freeze-up in the Western Beaufort Sea}, journal = {Remote. Sens.}, volume = {12}, number = {9}, pages = {1378}, year = {2020}, url = {https://doi.org/10.3390/rs12091378}, doi = {10.3390/RS12091378}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimKHLHKKPHJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPJJ20, author = {Dae{-}Won Kim and Young{-}Je Park and Jin{-}Yong Jeong and Young{-}Heon Jo}, title = {Estimation of Hourly Sea Surface Salinity in the East China Sea Using Geostationary Ocean Color Imager Measurements}, journal = {Remote. Sens.}, volume = {12}, number = {5}, pages = {755}, year = {2020}, url = {https://doi.org/10.3390/rs12050755}, doi = {10.3390/RS12050755}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPJJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimPPK20, author = {Donghee Kim and Myung{-}Sook Park and Young{-}Je Park and Wonkook Kim}, title = {Geostationary Ocean Color Imager {(GOCI)} Marine Fog Detection in Combination with Himawari-8 Based on the Decision Tree}, journal = {Remote. Sens.}, volume = {12}, number = {1}, pages = {149}, year = {2020}, url = {https://doi.org/10.3390/rs12010149}, doi = {10.3390/RS12010149}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimPPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JoPP20, author = {Younggeun Jo and Juhwan Park and Je{-}Kyun Park}, title = {Colorimetric Detection of Escherichia coli {O157:} {H7} with Signal Enhancement Using Size-Based Filtration on a Finger-Powered Microfluidic Device}, journal = {Sensors}, volume = {20}, number = {8}, pages = {2267}, year = {2020}, url = {https://doi.org/10.3390/s20082267}, doi = {10.3390/S20082267}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JoPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJ20, author = {Younghyeon Park and Byeungwoo Jeon}, title = {An Acquisition Method for Visible and Near Infrared Images from Single {CMYG} Color Filter Array-Based Sensor}, journal = {Sensors}, volume = {20}, number = {19}, pages = {5578}, year = {2020}, url = {https://doi.org/10.3390/s20195578}, doi = {10.3390/S20195578}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkLLKKLKHKPLL20, author = {Seho Park and Kunyoung Lee and Jae{-}A. Lim and Hyunwoong Ko and Taehoon Kim and Jung{-}In Lee and Hakrim Kim and Seong{-}Jae Han and Jeong{-}Shim Kim and Soowon Park and Jun{-}Young Lee and Eui Chul Lee}, title = {Differences in Facial Expressions between Spontaneous and Posed Smiles: Automated Method by Action Units and Three-Dimensional Facial Landmarks}, journal = {Sensors}, volume = {20}, number = {4}, pages = {1199}, year = {2020}, url = {https://doi.org/10.3390/s20041199}, doi = {10.3390/S20041199}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkLLKKLKHKPLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkSJLJ20, author = {Chan Yuk Park and Jin Ho Sung and Eun Young Jeong and Hee Su Lee and Jong Seob Jeong}, title = {Interleaved Array Transducer with Polarization Inversion Technique to Implement Ultrasound Tissue Harmonic Imaging}, journal = {Sensors}, volume = {20}, number = {14}, pages = {3915}, year = {2020}, url = {https://doi.org/10.3390/s20143915}, doi = {10.3390/S20143915}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkSJLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YangHKPLPLKJ20, author = {WonSeok Yang and Jun{-}Yong Hong and Jeong{-}Youn Kim and Seung{-}ho Paik and Seung Hyun Lee and Ji{-}Su Park and Gihyoun Lee and Beop Min Kim and Young{-}Jin Jung}, title = {A Novel Singular Value Decomposition-Based Denoising Method in 4-Dimensional Computed Tomography of the Brain in Stroke Patients with Statistical Evaluation}, journal = {Sensors}, volume = {20}, number = {11}, pages = {3063}, year = {2020}, url = {https://doi.org/10.3390/s20113063}, doi = {10.3390/S20113063}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YangHKPLPLKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/AhnPLHWZLK20, author = {Sungjun Ahn and Sung{-}Ik Park and Jae{-}Young Lee and Namho Hur and Yiyan Wu and Liang Zhang and Wei Li and Jeongchang Kim}, title = {Large-Scale Network Analysis on NOMA-Aided Broadcast/Unicast Joint Transmission Scenarios Considering Content Popularity}, journal = {{IEEE} Trans. Broadcast.}, volume = {66}, number = {4}, pages = {770--785}, year = {2020}, url = {https://doi.org/10.1109/TBC.2020.2965062}, doi = {10.1109/TBC.2020.2965062}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/AhnPLHWZLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/AhnPLLKHWZLK20, author = {Sungjun Ahn and Sung{-}Ik Park and Jae{-}Young Lee and Bo{-}Mi Lim and Sunhyoung Kwon and Namho Hur and Yiyan Wu and Liang Zhang and Wei Li and Jeongchang Kim}, title = {Mobile Performance Evaluation for {ATSC} 3.0 Physical Layer Modulation and Code Combinations Under {TU-6} Channel}, journal = {{IEEE} Trans. Broadcast.}, volume = {66}, number = {4}, pages = {752--769}, year = {2020}, url = {https://doi.org/10.1109/TBC.2019.2954065}, doi = {10.1109/TBC.2019.2954065}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/AhnPLLKHWZLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/KimKPLHSAG20, author = {Hyeongseok Kim and Jeongchang Kim and Sung{-}Ik Park and Jae{-}Young Lee and Namho Hur and Michael Simon and Mark Aitken and Kevin Gage}, title = {An Improved Decoding Scheme for Emergency Alert Wake-Up Bits in {ATSC} 3.0}, journal = {{IEEE} Trans. Broadcast.}, volume = {66}, number = {1}, pages = {1--8}, year = {2020}, url = {https://doi.org/10.1109/TBC.2019.2933798}, doi = {10.1109/TBC.2019.2933798}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/KimKPLHSAG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ParkJJS20, author = {Young Deok Park and Seokseong Jeon and Jae{-}Pil Jeong and Young{-}Joo Suh}, title = {FlexVi: {PHY} Aided Flexible Multicast for Video Streaming over {IEEE} 802.11 WLANs}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {19}, number = {10}, pages = {2299--2315}, year = {2020}, url = {https://doi.org/10.1109/TMC.2019.2923995}, doi = {10.1109/TMC.2019.2923995}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ParkJJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ParkJJS20, author = {Young Deok Park and Seung{-}Hyun Jeong and Seokseong Jeon and Young{-}Joo Suh}, title = {WiderCast: Enabling Wider Bandwidth for Wireless Multicast Over {IEEE} 802.11ac WLANs}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {19}, number = {6}, pages = {3854--3866}, year = {2020}, url = {https://doi.org/10.1109/TWC.2020.2978834}, doi = {10.1109/TWC.2020.2978834}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ParkJJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ShafinCNHPZRL20, author = {Rubayet Shafin Bradley Shafin and Hao Chen and Young{-}Han Nam and Sooyoung Hur and Jeongho Park and Jianzhong Zhang and Jeffrey H. Reed and Lingjia Liu}, title = {Self-Tuning Sectorization: Deep Reinforcement Learning Meets Broadcast Beam Optimization}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {19}, number = {6}, pages = {4038--4053}, year = {2020}, url = {https://doi.org/10.1109/TWC.2020.2979446}, doi = {10.1109/TWC.2020.2979446}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/twc/ShafinCNHPZRL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KwonCMYLKKPKH20, author = {Soonho Kwon and Yongtae Choi and Sangmi Moon and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Intae Hwang}, title = {Performance Enhancement of Hybrid {TDOA/AOA} Using Multipath Delay Estimation}, journal = {Wirel. Pers. Commun.}, volume = {115}, number = {3}, pages = {2551--2568}, year = {2020}, url = {https://doi.org/10.1007/s11277-020-07696-2}, doi = {10.1007/S11277-020-07696-2}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KwonCMYLKKPKH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/GimLK0N20, author = {U{-}Ju Gim and Jae{-}Jun Lee and Jeong{-}Hun Kim and Young{-}Ho Park and Aziz Nasridinov}, title = {An Automatic Shoplifting Detection from Surveillance Videos (Student Abstract)}, booktitle = {The Thirty-Fourth {AAAI} Conference on Artificial Intelligence, {AAAI} 2020, The Thirty-Second Innovative Applications of Artificial Intelligence Conference, {IAAI} 2020, The Tenth {AAAI} Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2020, New York, NY, USA, February 7-12, 2020}, pages = {13795--13796}, publisher = {{AAAI} Press}, year = {2020}, url = {https://doi.org/10.1609/aaai.v34i10.7169}, doi = {10.1609/AAAI.V34I10.7169}, timestamp = {Fri, 08 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/GimLK0N20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/JeongCKPHPMG20, author = {Yonghyun Jeong and Jongwon Choi and Doyeon Kim and Sehyeon Park and Minki Hong and Changhyun Park and Seungjai Min and Youngjune Gwon}, editor = {Hiroshi Ishikawa and Cheng{-}Lin Liu and Tom{\'{a}}s Pajdla and Jianbo Shi}, title = {DoFNet: Depth of Field Difference Learning for Detecting Image Forgery}, booktitle = {Computer Vision - {ACCV} 2020 - 15th Asian Conference on Computer Vision, Kyoto, Japan, November 30 - December 4, 2020, Revised Selected Papers, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {12627}, pages = {83--100}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-69544-6\_6}, doi = {10.1007/978-3-030-69544-6\_6}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/accv/JeongCKPHPMG20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/GooSBPSK20, author = {Young{-}Hoon Goo and Kyu{-}Seok Shim and Ui{-}Jun Baek and Jee{-}Tae Park and Mu{-}Gon Shin and Myung{-}Sup Kim}, title = {An Automatic Protocol Reverse Engineering Approach from the Viewpoint of the {TCP/IP} Reference Model}, booktitle = {21st Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2020, Daegu, South Korea, September 22-25, 2020}, pages = {43--48}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/APNOMS50412.2020.9236959}, doi = {10.23919/APNOMS50412.2020.9236959}, timestamp = {Thu, 29 Oct 2020 12:28:14 +0100}, biburl = {https://dblp.org/rec/conf/apnoms/GooSBPSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeGKY0N20, author = {Jae{-}Jun Lee and U{-}Ju Gim and Jeong{-}Hun Kim and Kwan{-}Hee Yoo and Young{-}Ho Park and Aziz Nasridinov}, editor = {Wookey Lee and Luonan Chen and Yang{-}Sae Moon and Julien Bourgeois and Mehdi Bennis and Yu{-}Feng Li and Young{-}Guk Ha and Hyuk{-}Yoon Kwon and Alfredo Cuzzocrea}, title = {Identifying Customer Interest from Surveillance Camera Based on Deep Learning}, booktitle = {2020 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2020, Busan, Korea (South), February 19-22, 2020}, pages = {19--20}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigComp48618.2020.0-105}, doi = {10.1109/BIGCOMP48618.2020.0-105}, timestamp = {Thu, 25 Mar 2021 15:26:20 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeGKY0N20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/LeeJPJKL20, author = {Ryong Lee and Rae{-}young Jang and Minwoo Park and Ga{-}ye Jeon and Jae{-}kwang Kim and Sang{-}Hwan Lee}, editor = {Wookey Lee and Luonan Chen and Yang{-}Sae Moon and Julien Bourgeois and Mehdi Bennis and Yu{-}Feng Li and Young{-}Guk Ha and Hyuk{-}Yoon Kwon and Alfredo Cuzzocrea}, title = {Making IoT Data Ready for Smart City Applications}, booktitle = {2020 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2020, Busan, Korea (South), February 19-22, 2020}, pages = {605--608}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigComp48618.2020.00020}, doi = {10.1109/BIGCOMP48618.2020.00020}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/LeeJPJKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/OhPE20, author = {Kwonje Oh and Jonggyu Park and Young Ik Eom}, editor = {Wookey Lee and Luonan Chen and Yang{-}Sae Moon and Julien Bourgeois and Mehdi Bennis and Yu{-}Feng Li and Young{-}Guk Ha and Hyuk{-}Yoon Kwon and Alfredo Cuzzocrea}, title = {{H-BFQ:} Supporting Multi-Level Hierarchical Cgroup in {BFQ} Scheduler}, booktitle = {2020 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2020, Busan, Korea (South), February 19-22, 2020}, pages = {366--369}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BigComp48618.2020.00-48}, doi = {10.1109/BIGCOMP48618.2020.00-48}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/OhPE20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/AhnPLLKHK20, author = {Sungjun Ahn and Sung{-}Ik Park and Jae Young Lee and Bo{-}Mi Lim and Sunhyoung Kwon and Namho Hur and Jeongchang Kim}, title = {Mobile Performance Enhancement via Tracking Inter-Carrier Interference Power for {ATSC} 3.0 Receivers}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2020, Paris, France, October 27-29, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BMSB49480.2020.9379757}, doi = {10.1109/BMSB49480.2020.9379757}, timestamp = {Wed, 14 Apr 2021 10:16:48 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/AhnPLLKHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/JungPLHKSA20, author = {Hoiyoon Jung and Sung{-}Ik Park and Jae Young Lee and Namho Hur and Jeongchang Kim and Michael Simon and Mark Aitken}, title = {Implementation of Terrestrial 8K Broadcast System Using {ATSC} 3.0 Channel Bonding}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2020, Paris, France, October 27-29, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BMSB49480.2020.9379909}, doi = {10.1109/BMSB49480.2020.9379909}, timestamp = {Wed, 14 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/JungPLHKSA20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/JeongPKKJY20, author = {Eunseon Jeong and Junyoung Park and Minseong Kim and Chanmin Kim and Soyoung Jung and Kangbin Yim}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hsing{-}Chung Chen and Keita Matsuo}, title = {{ICS} Testbed Implementation Considering Dataset Collection Environment}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 15th International Conference on Broad-Band and Wireless Computing, Communication and Applications (BWCCA-2020), Yonago, Japan, 28-30 October, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {159}, pages = {397--406}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61108-8\_39}, doi = {10.1007/978-3-030-61108-8\_39}, timestamp = {Thu, 12 Aug 2021 13:48:35 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/JeongPKKJY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/OhJPJPY20, author = {Insu Oh and Eunseon Jeong and Junyoung Park and Taeyoung Jeong and Junghoon Park and Kangbin Yim}, editor = {Leonard Barolli and Makoto Takizawa and Tomoya Enokido and Hsing{-}Chung Chen and Keita Matsuo}, title = {Cyber Attack Scenarios in Cooperative Automated Driving}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications - Proceedings of the 15th International Conference on Broad-Band and Wireless Computing, Communication and Applications (BWCCA-2020), Yonago, Japan, 28-30 October, 2020}, series = {Lecture Notes in Networks and Systems}, volume = {159}, pages = {416--425}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-61108-8\_41}, doi = {10.1007/978-3-030-61108-8\_41}, timestamp = {Mon, 12 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/OhJPJPY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/MurnaneJKPSSVXY20, author = {Elizabeth L. Murnane and Xin Jiang and Anna Kong and Michelle Park and Weili Shi and Connor Soohoo and Luke Vink and Iris Xia and Xin Yu and John Yang{-}Sammataro and Grace Young and Jenny Zhi and Paula Moya and James A. Landay}, editor = {Regina Bernhaupt and Florian 'Floyd' Mueller and David Verweij and Josh Andres and Joanna McGrenere and Andy Cockburn and Ignacio Avellino and Alix Goguey and Pernille Bj{\o}n and Shengdong Zhao and Briane Paul Samson and Rafal Kocielnik}, title = {Designing Ambient Narrative-Based Interfaces to Reflect and Motivate Physical Activity}, booktitle = {{CHI} '20: {CHI} Conference on Human Factors in Computing Systems, Honolulu, HI, USA, April 25-30, 2020}, pages = {1--14}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3313831.3376478}, doi = {10.1145/3313831.3376478}, timestamp = {Wed, 12 Jun 2024 07:39:18 +0200}, biburl = {https://dblp.org/rec/conf/chi/MurnaneJKPSSVXY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedATBCZ20, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yue Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2077--2088}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Abdelhamed\_NTIRE\_2020\_Challenge\_on\_Real\_Image\_Denoising\_Dataset\_Methods\_and\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00256}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedATBCZ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/BaekNPLSBLL20, author = {Youngmin Baek and Daehyun Nam and Sungrae Park and Junyeop Lee and Seung Shin and Jeonghun Baek and Chae Young Lee and Hwalsuk Lee}, title = {CLEval: Character-Level Evaluation for Text Detection and Recognition Tasks}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2404--2412}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w34/Baek\_CLEval\_Character-Level\_Evaluation\_for\_Text\_Detection\_and\_Recognition\_Tasks\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00290}, timestamp = {Mon, 30 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/BaekNPLSBLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/ZhangGTSDZYGJYK20, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, booktitle = {2020 {IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} Workshops 2020, Seattle, WA, USA, June 14-19, 2020}, pages = {2045--2057}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2020}, url = {https://openaccess.thecvf.com/content\_CVPRW\_2020/html/w31/Zhang\_NTIRE\_2020\_Challenge\_on\_Perceptual\_Extreme\_Super-Resolution\_Methods\_and\_Results\_CVPRW\_2020\_paper.html}, doi = {10.1109/CVPRW50498.2020.00254}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/ZhangGTSDZYGJYK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eccv/BaekSBPLNL20, author = {Youngmin Baek and Seung Shin and Jeonghun Baek and Sungrae Park and Junyeop Lee and Daehyun Nam and Hwalsuk Lee}, editor = {Andrea Vedaldi and Horst Bischof and Thomas Brox and Jan{-}Michael Frahm}, title = {Character Region Attention for Text Spotting}, booktitle = {Computer Vision - {ECCV} 2020 - 16th European Conference, Glasgow, UK, August 23-28, 2020, Proceedings, Part {XXIX}}, series = {Lecture Notes in Computer Science}, volume = {12374}, pages = {504--521}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-58526-6\_30}, doi = {10.1007/978-3-030-58526-6\_30}, timestamp = {Wed, 07 Oct 2020 19:50:12 +0200}, biburl = {https://dblp.org/rec/conf/eccv/BaekSBPLNL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-mobmu/ChoiPJ20, author = {Eunbin Choi and Younghyeon Park and Byeungwoo Jeon}, editor = {David Akopian and Reiner Creutzburg}, title = {An Implementation of Drone-Projector: Stabilization of Projected Image}, booktitle = {Mobile Devices and Multimedia: Enabling Technologies, Algorithms, and Applications 2020, Burlingame, CA, USA, January 26-30, 2020}, pages = {1--6}, publisher = {Society for Imaging Science and Technology}, year = {2020}, url = {https://doi.org/10.2352/ISSN.2470-1173.2020.3.MOBMU-332}, doi = {10.2352/ISSN.2470-1173.2020.3.MOBMU-332}, timestamp = {Sun, 06 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ei-mobmu/ChoiPJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/green/SchumanYMJRMK20, author = {Catherine D. Schuman and Steven R. Young and J. Parker Mitchell and J. Travis Johnston and Derek C. Rose and Bryan P. Maldonado and Brian C. Kaul}, title = {Low Size, Weight, and Power Neuromorphic Computing to Improve Combustion Engine Efficiency}, booktitle = {11th International Green and Sustainable Computing Workshops, {IGSC} 2020 Workshops, Pullman, WA, USA, October 19-22, 2020}, pages = {1--8}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGSC51522.2020.9291228}, doi = {10.1109/IGSC51522.2020.9291228}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/green/SchumanYMJRMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotstorage/JeongLPP0NCP20, author = {Min{-}Gyo Jeong and Chang{-}Gyu Lee and DongGyu Park and Sungyong Park and Youngjae Kim and Jungki Noh and Woosuk Chung and Kyoung Park}, editor = {Anirudh Badam and Vijay Chidambaram}, title = {Position: {GPUKV:} Towards a GPU-Driven Computing on Key-Value {SSD}}, booktitle = {12th {USENIX} Workshop on Hot Topics in Storage and File Systems, HotStorage 2020, July 13-14, 2020}, publisher = {{USENIX} Association}, year = {2020}, url = {https://www.usenix.org/conference/hotstorage20/presentation/jeong}, timestamp = {Thu, 14 Oct 2021 09:47:55 +0200}, biburl = {https://dblp.org/rec/conf/hotstorage/JeongLPP0NCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/HamJKOPSPLPLJ20, author = {Tae Jun Ham and Sungjun Jung and Seonghak Kim and Young H. Oh and Yeonhong Park and Yoonho Song and Jung{-}Hun Park and Sanghee Lee and Kyoung Park and Jae W. Lee and Deog{-}Kyoon Jeong}, title = {A\({}^{\mbox{3}}\): Accelerating Attention Mechanisms in Neural Networks with Approximation}, booktitle = {{IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2020, San Diego, CA, USA, February 22-26, 2020}, pages = {328--341}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/HPCA47549.2020.00035}, doi = {10.1109/HPCA47549.2020.00035}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpca/HamJKOPSPLPLJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/huc/ParkPCKWKS20, author = {Jaejun Park and Saumay Pushp and Youngjae Chang and Hailu Belay Kahsay and Jeongho Won and Seungwoo Kang and Junehwa Song}, editor = {Monica Tentori and Nadir Weibel and Kristof Van Laerhoven and Gregory D. Abowd and Flora D. Salim}, title = {IMception: camouflaging sensitive-apps' chat-screens with deceptive UIs}, booktitle = {UbiComp/ISWC '20: 2020 {ACM} International Joint Conference on Pervasive and Ubiquitous Computing and 2020 {ACM} International Symposium on Wearable Computers, Virtual Event, Mexico, September 12-17, 2020}, pages = {98--101}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3410530.3414417}, doi = {10.1145/3410530.3414417}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/huc/ParkPCKWKS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/JeongLPC20, author = {Jang{-}Hyeon Jeong and Si Young Lee and Gyung Ho Park and Seong Gon Choi}, title = {Rule conversion mechanism between {NIDPS} engines}, booktitle = {22nd International Conference on Advanced Communication Technology, {ICACT} 2020, Phoenix Park, PyeongChang, Korea (South), February 16-19, 2020}, pages = {581--584}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.23919/ICACT48636.2020.9061387}, doi = {10.23919/ICACT48636.2020.9061387}, timestamp = {Mon, 21 Mar 2022 08:04:55 +0100}, biburl = {https://dblp.org/rec/conf/icact/JeongLPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/ParkJS20, author = {Jae{-}Hyeon Park and Seung Hyun Jeong and Young{-}Joo Suh}, title = {Down-clocking Scheme using Deep Learning for Minimizing Energy Consumption in Wireless Networks}, booktitle = {2020 International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2020, Fukuoka, Japan, February 19-21, 2020}, pages = {155--160}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICAIIC48513.2020.9065016}, doi = {10.1109/ICAIIC48513.2020.9065016}, timestamp = {Mon, 04 May 2020 16:51:53 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/ParkJS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ThakkerCP20, author = {Jenil Thakker and Ikwhan Chang and Younghee Park}, title = {Secure Data Management in Internet-of-Things Based on Blockchain}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9042998}, doi = {10.1109/ICCE46568.2020.9042998}, timestamp = {Mon, 30 Mar 2020 16:23:11 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ThakkerCP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ThakkerP20, author = {Jenil Thakker and Younghee Park}, title = {Resilient and Efficient Blockchain Consensus Protocol for Internet-of-Things}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--6}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9043061}, doi = {10.1109/ICCE46568.2020.9043061}, timestamp = {Mon, 30 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/ThakkerP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/UhmPBLC20, author = {Taeyoung Uhm and Jeongwoo Park and Gi{-}Deok Bae and Jongdeuk Lee and Young{-}Ho Choi}, title = {Multi-modal Sensor Module for Outdoor Robots}, booktitle = {2020 {IEEE} International Conference on Consumer Electronics (ICCE), Las Vegas, NV, USA, January 4-6, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICCE46568.2020.9043118}, doi = {10.1109/ICCE46568.2020.9043118}, timestamp = {Mon, 30 Mar 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/UhmPBLC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ParkJKK20, author = {Hyoungseob Park and Minki Jeong and Youngeun Kim and Changick Kim}, title = {Self-Training Of Graph Neural Networks Using Similarity Reference For Robust Training With Noisy Labels}, booktitle = {{IEEE} International Conference on Image Processing, {ICIP} 2020, Abu Dhabi, United Arab Emirates, October 25-28, 2020}, pages = {1951--1955}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICIP40778.2020.9191054}, doi = {10.1109/ICIP40778.2020.9191054}, timestamp = {Tue, 03 Nov 2020 11:48:53 +0100}, biburl = {https://dblp.org/rec/conf/icip/ParkJKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/JungOPK20, author = {Hyungsik Jung and Youngrock Oh and Jeonghyung Park and Min Soo Kim}, editor = {Alberto Del Bimbo and Rita Cucchiara and Stan Sclaroff and Giovanni Maria Farinella and Tao Mei and Marco Bertini and Hugo Jair Escalante and Roberto Vezzani}, title = {Jointly Optimize Positive and Negative Saliencies for Black Box Classifiers}, booktitle = {Pattern Recognition. {ICPR} International Workshops and Challenges - Virtual Event, January 10-15, 2021, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {12663}, pages = {76--89}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-68796-0\_6}, doi = {10.1007/978-3-030-68796-0\_6}, timestamp = {Tue, 11 Apr 2023 17:29:51 +0200}, biburl = {https://dblp.org/rec/conf/icpr/JungOPK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icra/KimPCJK20, author = {Giseop Kim and Yeong Sang Park and Younghun Cho and Jinyong Jeong and Ayoung Kim}, title = {MulRan: Multimodal Range Dataset for Urban Place Recognition}, booktitle = {2020 {IEEE} International Conference on Robotics and Automation, {ICRA} 2020, Paris, France, May 31 - August 31, 2020}, pages = {6246--6253}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICRA40945.2020.9197298}, doi = {10.1109/ICRA40945.2020.9197298}, timestamp = {Mon, 28 Sep 2020 12:19:08 +0200}, biburl = {https://dblp.org/rec/conf/icra/KimPCJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimYPJSH20, author = {Young{-}Il Kim and Geon{-}Min Yeo and Seong{-}Hee Park and Wun{-}Cheol Jeong and Soonyong Song and Tae{-}Wook Heo}, title = {The analysis of image acquisition method for Anti-UAV surveillance using cameras image}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2020, Jeju Island, Korea (South), October 21-23, 2020}, pages = {549--554}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICTC49870.2020.9289164}, doi = {10.1109/ICTC49870.2020.9289164}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KimYPJSH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/igarss/KimPKCJ20, author = {Youngwook Kim and Hotaek Park and John S. Kimball and Andreas Colliander and Jesse Johnson}, title = {Estimating Global Evapotranspiration Using Smap Surface and Root-Zone Moisture Content}, booktitle = {{IEEE} International Geoscience and Remote Sensing Symposium, {IGARSS} 2020, Waikoloa, HI, USA, September 26 - October 2, 2020}, pages = {4707--4710}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IGARSS39084.2020.9324061}, doi = {10.1109/IGARSS39084.2020.9324061}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/igarss/KimPKCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/JeongPOKY20, author = {Eunseon Jeong and Junyoung Park and Insu Oh and Myoungsu Kim and Kangbin Yim}, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Hyunhee Park}, title = {Analysis on Account Hijacking and Remote Dos Vulnerability in the CODESYS-Based {PLC} Runtime}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 14th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2020), Lodz, Poland, 1-3 July, 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1195}, pages = {457--467}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50399-4\_44}, doi = {10.1007/978-3-030-50399-4\_44}, timestamp = {Fri, 12 Jun 2020 14:52:51 +0200}, biburl = {https://dblp.org/rec/conf/imis/JeongPOKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ParkCPKJY20, author = {Seongmin Park and Bomin Choi and Youngkwon Park and Dowon Kim and Eunseon Jeong and Kangbin Yim}, editor = {Leonard Barolli and Aneta Poniszewska{-}Maranda and Hyunhee Park}, title = {Vestiges of Past Generation: Threats to 5G Core Network}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 14th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing (IMIS-2020), Lodz, Poland, 1-3 July, 2020}, series = {Advances in Intelligent Systems and Computing}, volume = {1195}, pages = {468--480}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-3-030-50399-4\_45}, doi = {10.1007/978-3-030-50399-4\_45}, timestamp = {Tue, 03 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/imis/ParkCPKJY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiGLJUKSLPJHLLH20, author = {Yongsung Ji and Hyunjae Goo and Jungman Lim and Tae{-}Young Jeong and Taiki Uemura and Gun Rae Kim and Boil Seo and Seungbae Lee and Goeun Park and Jeongmin Jo and Sang{-}Il Han and Kilho Lee and Junghyuk Lee and Sohee Hwang and Daesop Lee and Suksoo Pyo and Hyun Taek Jung and Shinhee Han and Seungmo Noh and Kiseok Suh and Sungyoung Yoon and Hyeonwoo Nam and Hyewon Hwang and Hai Jiang and J. W. Kim and D. Kwon and Yoonjong Song and K. H. Koh and Hwasung Rhee and Sangwoo Pae and E. Lee}, title = {Reliability of Industrial grade Embedded-STT-MRAM}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--3}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129178}, doi = {10.1109/IRPS45951.2020.9129178}, timestamp = {Fri, 12 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/JiGLJUKSLPJHLLH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiangSKSKPUJJKR20, author = {Hai Jiang and Hyun{-}Chul Sagong and Jinju Kim and Hyewon Shim and Yoohwan Kim and Junekyun Park and Taiki Uemura and Yongsung Ji and Taeyoung Jeong and Dongkyun Kwon and Hwasung Rhee and Sangwoo Pae and Brandon Lee}, title = {Advanced Self-heating Model and Methodology for Layout Proximity Effect in FinFET Technology}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9128322}, doi = {10.1109/IRPS45951.2020.9128322}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/JiangSKSKPUJJKR20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/KoPRKLLPLJJJUKD20, author = {Heung{-}Kook Ko and Sena Park and Jihyun Ryu and Sung Ryul Kim and Giwon Lee and Dongjoon Lee and Sangwoo Pae and Euncheol Lee and Yongsun Ji and Hai Jiang and Taeyoung Jeong and Taiki Uemura and Dongkyun Kwon and Hyungrok Do and Hyungu Kahng and Yoon{-}Sang Cho and Jiyoon Lee and Seoung Bum Kim}, title = {Early Diagnosis and Prediction of Wafer Quality Using Machine Learning on sub-10nm Logic Technology}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9128932}, doi = {10.1109/IRPS45951.2020.9128932}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/irps/KoPRKLLPLJJJUKD20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/UemuraCJJJJRPHL20, author = {Taiki Uemura and Byungjin Chung and Jeongmin Jo and Hai Jiang and Yongsung Ji and Tae{-}Young Jeong and Rakesh Ranjan and Youngin Park and Kiil Hong and Seungbae Lee and Hwasung Rhee and Sangwoo Pae and Euncheol Lee and Jaehee Choi and Shota Ohnishi and Ken Machida}, title = {Investigating of {SER} in 28 nm FDSOI-Planar and Comparing with {SER} in Bulk-FinFET}, booktitle = {2020 {IEEE} International Reliability Physics Symposium, {IRPS} 2020, Dallas, TX, USA, April 28 - May 30, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/IRPS45951.2020.9129644}, doi = {10.1109/IRPS45951.2020.9129644}, timestamp = {Tue, 24 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/UemuraCJJJJRPHL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/KwonLLHKSLCKLKP20, author = {Minho Kwon and Seunghyun Lim and Hyeokjong Lee and Il{-}Seon Ha and Moo{-}Young Kim and Il{-}Jin Seo and Suho Lee and Yongsuk Choi and Kyunghoon Kim and Hansoo Lee and Won{-}Woong Kim and Seonghye Park and Kyongmin Koh and Jesuk Lee and Yongin Park}, title = {A Low-Power 65/14nm Stacked {CMOS} Image Sensor}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180435}, doi = {10.1109/ISCAS45731.2020.9180435}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/KwonLLHKSLCKLKP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuhCIKLSJYNBYSK20, author = {Yunjae Suh and Seungnam Choi and Masamichi Ito and Jeongseok Kim and Youngho Lee and Jongseok Seo and Heejae Jung and Dong{-}Hee Yeo and Seol Namgung and Jongwoo Bong and Sehoon Yoo and Seung{-}Hun Shin and Doowon Kwon and Pilkyu Kang and Seokho Kim and Hoonjoo Na and Kihyun Hwang and Chang{-}Woo Shin and Jun{-}Seok Kim and Paul K. J. Park and Joonseok Kim and Hyunsurk Ryu and Yongin Park}, title = {A 1280{\texttimes}960 Dynamic Vision Sensor with a 4.95-{\(\mu\)}m Pixel Pitch and Motion Artifact Minimization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180436}, doi = {10.1109/ISCAS45731.2020.9180436}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SuhCIKLSJYNBYSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKYSKJKJYLKKJ20, author = {Doo{-}Hyun Kim and Hyunggon Kim and Sung{-}Won Yun and Youngsun Song and Jisu Kim and Sung{-}Min Joe and Kyung{-}Hwa Kang and Joonsuc Jang and Hyun{-}Jun Yoon and Kangbin Lee and Minseok Kim and Joonsoo Kwon and Jonghoo Jo and Sehwan Park and Jiyoon Park and Jisoo Cho and Sohyun Park and Garam Kim and Jinbae Bang and Heejin Kim and Jongeun Park and Deokwoo Lee and Seonyong Lee and Hwajun Jang and Hanjun Lee and Donghyun Shin and Jungmin Park and Jungkwan Kim and Jongmin Kim and Kichang Jang and II Han Park and Seung Hyun Moon and Myung{-}Hoon Choi and Pansuk Kwak and Joo{-}Yong Park and Youngdon Choi and Sanglok Kim and Seungjae Lee and Dongku Kang and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Jung{-}Hwan Choi and Sangjoon Hwang and Jaeheon Jeong}, title = {13.1 {A} 1Tb 4b/cell {NAND} Flash Memory with tPROG=2ms, tR=110{\(\mathrm{\mu}\)}s and 1.2Gb/s High-Speed {IO} Rate}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {218--220}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063053}, doi = {10.1109/ISSCC19947.2020.9063053}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKYSKJKJYLKKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJJPHC20, author = {Changuk Lee and Taejune Jeon and Moon Hyung Jang and Sanggeon Park and Yeowool Huh and Youngcheol Chae}, title = {26.6 {A} 6.5{\(\mathrm{\mu}\)}W 10kHz-BW 80.4dB-SNDR Continuous-Time {\(\Delta\)}{\(\Sigma\)} Modulator with Gm-Input and 300mVpp Linear Input Range for Closed-Loop Neural Recording}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {410--412}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063074}, doi = {10.1109/ISSCC19947.2020.9063074}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJJPHC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKPKC20, author = {Sangwoo Lee and Jinwoong Jeong and Taewoong Kim and Chanmin Park and Taewoo Kim and Youngcheol Chae}, title = {28.3 {A} 5.2Mpixel 88.4dB-DR 12in {CMOS} X-Ray Detector with 16b Column-Parallel Continuous-Time {\(\Delta\)}{\(\Sigma\)} ADCs}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {434--436}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062919}, doi = {10.1109/ISSCC19947.2020.9062919}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKPKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeePLLPKLASKYRP20, author = {Si Hoon Lee and Kwangmin Park and Jaeheung Lim and Minchul Lee and Jeongho Park and Hyun Kim and Young Ok Lee and Hyun Su Ahn and Eunseok Shin and Hyungjong Ko and Seoung{-}Jae Yoo and Hyunsurk Ryu and Yongin Park and Joonseok Kim and Long Yan}, title = {20.3 {A} 4.0{\texttimes}3.7{\texttimes}1.0mm\({}^{\mbox{3}}\)-MEMS {CMOS} Integrated E-Nose with Embedded 4{\texttimes}Gas Sensors, a Temperature Sensor and a Relative Humidity Sensor}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {316--318}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063119}, doi = {10.1109/ISSCC19947.2020.9063119}, timestamp = {Mon, 02 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeePLLPKLASKYRP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/OhPHJ20, author = {Jonghyun Oh and Jun{-}Eun Park and Young{-}Ha Hwang and Deog{-}Kyoon Jeong}, title = {25.2 {A} 480mA Output-Capacitor-Free Synthesizable Digital {LDO} Using {CMP-} Triggered Oscillator and Droop Detector with 99.99{\%} Current Efficiency, 1.3ns Response Time, and 9.8A/mm\({}^{\mbox{2}}\) Current Density}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {382--384}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063018}, doi = {10.1109/ISSCC19947.2020.9063018}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/OhPHJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nordichi/ParkH20, author = {Jeong Jin Park and Young{-}Ae Hahn}, editor = {David Lamas and Hegle Sarapuu and Marta L{\'{a}}rusd{\'{o}}ttir and Jan Stage and Carmelo Ardito}, title = {rTag: a participatory news platform for critical reading of unverified news}, booktitle = {NordiCHI '20: Shaping Experiences, Shaping Society, Proceedings of the 11th Nordic Conference on Human-Computer Interaction, Tallinn, Estonia, 25-29 October, 2020}, pages = {111:1--111:3}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3419249.3421249}, doi = {10.1145/3419249.3421249}, timestamp = {Thu, 29 Oct 2020 10:13:18 +0100}, biburl = {https://dblp.org/rec/conf/nordichi/ParkH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/NohKLHJJHOCPKC20, author = {Youngbin Noh and Kuntae Kim and Minho Lee and Cheolhun Heo and Yongbin Jeong and Yoosung Jeong and Younggyun Hahm and Taehwan Oh and Hyonsu Choe and Seokwon Park and Jin{-}Dong Kim and Key{-}Sun Choi}, editor = {Minh Le Nguyen and Mai Chi Luong and Sanghoun Song}, title = {Enhancing Quality of Corpus Annotation: Construction of the Multi-Layer Corpus Annotation and Simplified Validation of the Corpus Annotation}, booktitle = {Proceedings of the 34th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 2020, Hanoi, Vietnam, October 24-26, 2020}, pages = {216--224}, publisher = {Association for Computational Linguistics}, year = {2020}, url = {https://aclanthology.org/2020.paclic-1.25/}, timestamp = {Tue, 18 Oct 2022 10:42:25 +0200}, biburl = {https://dblp.org/rec/conf/paclic/NohKLHJJHOCPKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/ParkCEPY20, author = {Jisu Park and Shin Cha and Seongbae Eun and Jeon Gue Park and Young{-}Sun Yun}, editor = {Tom{\'{a}}s Cern{\'{y}} and Juw Won Park}, title = {Data Augmentation and D-vector Representation Methods for Speaker Change Detection}, booktitle = {{RACS} '20: International Conference on Research in Adaptive and Convergent Systems, Gwangju, Korea, October 13-16, 2020}, pages = {67--71}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3400286.3418270}, doi = {10.1145/3400286.3418270}, timestamp = {Mon, 30 Nov 2020 11:09:09 +0100}, biburl = {https://dblp.org/rec/conf/racs/ParkCEPY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/JeongYKPPC20, author = {Jisu Jeong and Jeong{-}Min Yun and Hongi Keam and Young{-}Jin Park and Zimin Park and Junki Cho}, editor = {Toine Bogers and Marijn Koolen and Casper Petersen and Bamshad Mobasher and Alexander Tuzhilin and Oren Sar Shalom and Dietmar Jannach and Joseph A. Konstan}, title = {div2vec: Diversity-Emphasized Node Embedding}, booktitle = {Proceedings of the Workshops on Recommendation in Complex Scenarios and the Impact of Recommender Systems co-located with 14th {ACM} Conference on Recommender Systems (RecSys 2020), Online, September 25, 2020}, series = {{CEUR} Workshop Proceedings}, volume = {2697}, publisher = {CEUR-WS.org}, year = {2020}, url = {https://ceur-ws.org/Vol-2697/paper2\_impactrs.pdf}, timestamp = {Fri, 10 Mar 2023 16:22:14 +0100}, biburl = {https://dblp.org/rec/conf/recsys/JeongYKPPC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigir/NohSPKCSPP20, author = {Yunseok Noh and Yongmin Shin and Junmo Park and A.{-}Yeong Kim and Su{-}Jeong Choi and Hyun{-}Je Song and Seong{-}Bae Park and Se{-}Young Park}, editor = {Jimmy X. Huang and Yi Chang and Xueqi Cheng and Jaap Kamps and Vanessa Murdock and Ji{-}Rong Wen and Yiqun Liu}, title = {{WIRE:} An Automated Report Generation System using Topical and Temporal Summarization}, booktitle = {Proceedings of the 43rd International {ACM} {SIGIR} conference on research and development in Information Retrieval, {SIGIR} 2020, Virtual Event, China, July 25-30, 2020}, pages = {2169--2172}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3397271.3401409}, doi = {10.1145/3397271.3401409}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigir/NohSPKCSPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/0001JJLLL20, author = {Kisung Park and Taeyoung Jeong and Chanho Jeong and Jaeha Lee and Dong{-}Hun Lee and Young{-}Koo Lee}, editor = {David Maier and Rachel Pottinger and AnHai Doan and Wang{-}Chiew Tan and Abdussalam Alawini and Hung Q. Ngo}, title = {ProcAnalyzer: Effective Code Analyzer for Tuning Imperative Programs in {SAP} {HANA}}, booktitle = {Proceedings of the 2020 International Conference on Management of Data, {SIGMOD} Conference 2020, online conference [Portland, OR, USA], June 14-19, 2020}, pages = {2709--2712}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3318464.3384686}, doi = {10.1145/3318464.3384686}, timestamp = {Mon, 27 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sigmod/0001JJLLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sma2/ChoiCPJLS20, author = {Han{-}Suk Choi and Jeong{-}Hyeon Choi and Kyung{-}Woo Park and Seok Won Jung and Han Kyu Lim and Younghak Shin}, title = {Fish Activity State and Fuzzy Inference based an Intelligence Fish Feeding Model}, booktitle = {{SMA} 2020: The 9th International Conference on Smart Media and Applications, Jeju, Republic of Korea, September 17 - 19, 2020}, pages = {405--406}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3426020.3426146}, doi = {10.1145/3426020.3426146}, timestamp = {Tue, 09 Nov 2021 11:47:09 +0100}, biburl = {https://dblp.org/rec/conf/sma2/ChoiCPJLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uist/ShimPLSWL20, author = {Youngbo Aram Shim and Keun{-}Woo Park and Sangyoon Lee and Jeongmin Son and Taeyun Woo and Geehyuk Lee}, editor = {Shamsi T. Iqbal and Karon E. MacLean and Fanny Chevalier and Stefanie Mueller}, title = {FS-Pad: Video Game Interactions Using Force Feedback Gamepad}, booktitle = {{UIST} '20: The 33rd Annual {ACM} Symposium on User Interface Software and Technology, Virtual Event, USA, October 20-23, 2020}, pages = {938--950}, publisher = {{ACM}}, year = {2020}, url = {https://doi.org/10.1145/3379337.3415850}, doi = {10.1145/3379337.3415850}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/uist/ShimPLSWL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2002-10941, author = {Tae Jun Ham and Sungjun Jung and Seonghak Kim and Young H. Oh and Yeonhong Park and Yoonho Song and Jung{-}Hun Park and Sanghee Lee and Kyoung Park and Jae W. Lee and Deog{-}Kyoon Jeong}, title = {A\({}^{\mbox{3}}\): Accelerating Attention Mechanisms in Neural Networks with Approximation}, journal = {CoRR}, volume = {abs/2002.10941}, year = {2020}, url = {https://arxiv.org/abs/2002.10941}, eprinttype = {arXiv}, eprint = {2002.10941}, timestamp = {Tue, 03 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2002-10941.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-01056, author = {Kai Zhang and Shuhang Gu and Radu Timofte and Taizhang Shang and Qiuju Dai and Shengchen Zhu and Tong Yang and Yandong Guo and Younghyun Jo and Sejong Yang and Seon Joo Kim and Lin Zha and Jiande Jiang and Xinbo Gao and Wen Lu and Jing Liu and Kwangjin Yoon and Taegyun Jeon and Kazutoshi Akita and Takeru Ooba and Norimichi Ukita and Zhipeng Luo and Yuehan Yao and Zhenyu Xu and Dongliang He and Wenhao Wu and Yukang Ding and Chao Li and Fu Li and Shilei Wen and Jianwei Li and Fuzhi Yang and Huan Yang and Jianlong Fu and Byung{-}Hoon Kim and JaeHyun Baek and Jong Chul Ye and Yuchen Fan and Thomas S. Huang and Junyeop Lee and Bokyeung Lee and Jungki Min and Gwantae Kim and Kanghyu Lee and Jaihyun Park and Mykola Mykhailych and Haoyu Zhong and Yukai Shi and Xiaojun Yang and Zhijing Yang and Liang Lin and Tongtong Zhao and Jinjia Peng and Huibing Wang and Zhi Jin and Jiahao Wu and Yifu Chen and Chenming Shang and Huanrong Zhang and Jeongki Min and Hrishikesh P. S and Densen Puthussery and C. V. Jiji}, title = {{NTIRE} 2020 Challenge on Perceptual Extreme Super-Resolution: Methods and Results}, journal = {CoRR}, volume = {abs/2005.01056}, year = {2020}, url = {https://arxiv.org/abs/2005.01056}, eprinttype = {arXiv}, eprint = {2005.01056}, timestamp = {Tue, 24 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-01056.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04117, author = {Abdelrahman Abdelhamed and Mahmoud Afifi and Radu Timofte and Michael S. Brown and Yun Cao and Zhilu Zhang and Wangmeng Zuo and Xiaoling Zhang and Jiye Liu and Wendong Chen and Changyuan Wen and Meng Liu and Shuailin Lv and Yunchao Zhang and Zhihong Pan and Baopu Li and Teng Xi and Yanwen Fan and Xiyu Yu and Gang Zhang and Jingtuo Liu and Junyu Han and Errui Ding and Songhyun Yu and Bumjun Park and Jechang Jeong and Shuai Liu and Ziyao Zong and Nan Nan and Chenghua Li and Zengli Yang and Long Bao and Shuangquan Wang and Dongwoon Bai and Jungwon Lee and Youngjung Kim and Kyeongha Rho and Changyeop Shin and Sungho Kim and Pengliang Tang and Yiyun Zhao and Yuqian Zhou and Yuchen Fan and Thomas S. Huang and Zhihao Li and Nisarg A. Shah and Wei Liu and Qiong Yan and Yuzhi Zhao and Marcin Mozejko and Tomasz Latkowski and Lukasz Treszczotko and Michal Szafraniuk and Krzysztof Trojanowski and Yanhong Wu and Pablo Navarrete Michelini and Fengshuo Hu and Yunhua Lu and Sujin Kim and Wonjin Kim and Jaayeon Lee and Jang{-}Hwan Choi and Magauiya Zhussip and Azamat Khassenov and Jong Hyun Kim and Hwechul Cho and Priya Kansal and Sabari Nathan and Zhangyu Ye and Xiwen Lu and Yaqi Wu and Jiangxin Yang and Yanlong Cao and Siliang Tang and Yanpeng Cao and Matteo Maggioni and Ioannis Marras and Thomas Tanay and Gregory G. Slabaugh and Youliang Yan and Myungjoo Kang and Han{-}Soo Choi and Kyungmin Song and Shusong Xu and Xiaomu Lu and Tingniao Wang and Chunxia Lei and Bin Liu and Rajat Gupta and Vineet Kumar}, title = {{NTIRE} 2020 Challenge on Real Image Denoising: Dataset, Methods and Results}, journal = {CoRR}, volume = {abs/2005.04117}, year = {2020}, url = {https://arxiv.org/abs/2005.04117}, eprinttype = {arXiv}, eprint = {2005.04117}, timestamp = {Mon, 19 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04117.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2005-04120, author = {Cheul Young Park and Narae Cha and Soowon Kang and Auk Kim and Ahsan H. Khandoker and Leontios J. Hadjileontiadis and Alice Oh and Yong Jeong and Uichin Lee}, title = {K-EmoCon, a multimodal sensor dataset for continuous emotion recognition in naturalistic conversations}, journal = {CoRR}, volume = {abs/2005.04120}, year = {2020}, url = {https://arxiv.org/abs/2005.04120}, eprinttype = {arXiv}, eprint = {2005.04120}, timestamp = {Thu, 14 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2005-04120.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-06244, author = {Youngmin Baek and Daehyun Nam and Sungrae Park and Junyeop Lee and Seung Shin and Jeonghun Baek and Chae Young Lee and Hwalsuk Lee}, title = {CLEval: Character-Level Evaluation for Text Detection and Recognition Tasks}, journal = {CoRR}, volume = {abs/2006.06244}, year = {2020}, url = {https://arxiv.org/abs/2006.06244}, eprinttype = {arXiv}, eprint = {2006.06244}, timestamp = {Sat, 13 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-06244.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-06933, author = {Clayton Miller and Pandarasamy Arjunan and Anjukan Kathirgamanathan and Chun Fu and Jonathan Roth and June Young Park and Chris Balbach and Krishnan Gowri and Zolt{\'{a}}n Nagy and Anthony Fontanini and Jeff Haberl}, title = {The {ASHRAE} Great Energy Predictor {III} competition: Overview and results}, journal = {CoRR}, volume = {abs/2007.06933}, year = {2020}, url = {https://arxiv.org/abs/2007.06933}, eprinttype = {arXiv}, eprint = {2007.06933}, timestamp = {Tue, 21 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-06933.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-09629, author = {Youngmin Baek and Seung Shin and Jeonghun Baek and Sungrae Park and Junyeop Lee and Daehyun Nam and Hwalsuk Lee}, title = {Character Region Attention For Text Spotting}, journal = {CoRR}, volume = {abs/2007.09629}, year = {2020}, url = {https://arxiv.org/abs/2007.09629}, eprinttype = {arXiv}, eprint = {2007.09629}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-09629.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2009-09588, author = {Jisu Jeong and Jeong{-}Min Yun and Hongi Keam and Young{-}Jin Park and Zimin Park and Junki Cho}, title = {div2vec: Diversity-Emphasized Node Embedding}, journal = {CoRR}, volume = {abs/2009.09588}, year = {2020}, url = {https://arxiv.org/abs/2009.09588}, eprinttype = {arXiv}, eprint = {2009.09588}, timestamp = {Wed, 23 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2009-09588.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2011-15020, author = {Moonyoung Lee and Youngsun Kwon and Sebin Lee and Jonghun Choe and Junyong Park and Hyobin Jeong and Yujin Heo and Min{-}Su Kim and Sungho Jo and Sung{-}Eui Yoon and Jun{-}Ho Oh}, title = {Dynamic Humanoid Locomotion over Uneven Terrain With Streamlined Perception-Control Pipeline}, journal = {CoRR}, volume = {abs/2011.15020}, year = {2020}, url = {https://arxiv.org/abs/2011.15020}, eprinttype = {arXiv}, eprint = {2011.15020}, timestamp = {Tue, 31 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2011-15020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2012-14700, author = {Sangwoong Yoon and Woo{-}Young Kang and Sungwook Jeon and SeongEun Lee and Changjin Han and Jonghun Park and Eun{-}Sol Kim}, title = {Image-to-Image Retrieval by Learning Similarity between Scene Graphs}, journal = {CoRR}, volume = {abs/2012.14700}, year = {2020}, url = {https://arxiv.org/abs/2012.14700}, eprinttype = {arXiv}, eprint = {2012.14700}, timestamp = {Fri, 08 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2012-14700.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkHJ19, author = {Jun{-}Eun Park and Young{-}Ha Hwang and Deog{-}Kyoon Jeong}, title = {A 0.5-V Fully Synthesizable {SAR} {ADC} for On-Chip Distributed Waveform Monitors}, journal = {{IEEE} Access}, volume = {7}, pages = {63686--63697}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2915365}, doi = {10.1109/ACCESS.2019.2915365}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkHJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/RenaudinOPTJPMS19, author = {Val{\'{e}}rie Renaudin and Miguel Ortiz and Johan Perul and Joaqu{\'{\i}}n Torres{-}Sospedra and Antonio Ram{\'{o}}n Jim{\'{e}}nez and Antoni P{\'{e}}rez{-}Navarro and Germ{\'{a}}n Mart{\'{\i}}n Mendoza{-}Silva and Fernando Seco and Yael Landau and Revital Marbel and Boaz Ben{-}Moshe and Xingyu Zheng and Feng Ye and Jian Kuang and Yu Li and Xiaoji Niu and Vlad Landa and Shlomi Hacohen and Nir Shvalb and Chuanhua Lu and Hideaki Uchiyama and Diego Thomas and Atsushi Shimada and Rin{-}Ichiro Taniguchi and Zhenxing Ding and Feng Xu and Nikolai Kronenwett and Blagovest Vladimirov and Soyeon Lee and Eunyoung Cho and Sungwoo Jun and Chang{-}Eun Lee and Sangjoon Park and Yonghyun Lee and Jehyeok Rew and Changjun Park and Hyeongyo Jeong and Jaeseung Han and Keumryeol Lee and Wenchao Zhang and Xianghong Li and Dongyan Wei and Ying Zhang and So Young Park and Chan Gook Park and Stefan Knauth and Georgios Pipelidis and Nikolaos Tsiamitros and Tom{\'{a}}s Lungenstrass and Juan Pablo Morales and Jens Trogh and David Plets and Miroslav Opiela and Shih{-}Hau Fang and Yu Tsao and Ying{-}Ren Chien and Shi{-}Shen Yang and Shih{-}Jyun Ye and Muhammad Usman Ali and Soojung Hur and Yongwan Park}, title = {Evaluating Indoor Positioning Systems in a Shopping Mall: The Lessons Learned From the {IPIN} 2018 Competition}, journal = {{IEEE} Access}, volume = {7}, pages = {148594--148628}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2944389}, doi = {10.1109/ACCESS.2019.2944389}, timestamp = {Mon, 13 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/RenaudinOPTJPMS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bigdatasociety/HongKKP19, author = {Sounman Hong and Sun Hyoung Kim and Youngrok Kim and Jeongin Park}, title = {Big Data and government: Evidence of the role of Big Data for smart cities}, journal = {Big Data Soc.}, volume = {6}, number = {1}, pages = {205395171984254}, year = {2019}, url = {https://doi.org/10.1177/2053951719842543}, doi = {10.1177/2053951719842543}, timestamp = {Sun, 17 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bigdatasociety/HongKKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/JooCLPJJW19, author = {Taewoon Joo and Ji{-}Hye Choi and Ji{-}Hye Lee and So Eun Park and Youngsic Jeon and Sae Hoon Jung and Hyun Goo Woo}, title = {SEQprocess: a modularized and customizable pipeline framework for {NGS} processing in {R} package}, journal = {{BMC} Bioinform.}, volume = {20}, number = {1}, pages = {90:1--90:7}, year = {2019}, url = {https://doi.org/10.1186/s12859-019-2676-x}, doi = {10.1186/S12859-019-2676-X}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcbi/JooCLPJJW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chb/JeongPRKP19, author = {Young{-}Sik Jeong and Yi Pan and Shailendra Rathore and Byoungwook Kim and Jong Hyuk Park}, title = {A parallel team formation approach using crowd intelligence from social network}, journal = {Comput. Hum. Behav.}, volume = {101}, pages = {429--434}, year = {2019}, url = {https://doi.org/10.1016/j.chb.2018.07.018}, doi = {10.1016/J.CHB.2018.07.018}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chb/JeongPRKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/KimJPBBP19, author = {Je{-}Min Kim and Myungjoong Jeon and Hyun{-}Kyu Park and Seok{-}Hyun Bae and Sung{-}Hyuk Bang and Young{-}Tack Park}, title = {An approach for recognition of human's daily living patterns using intention ontology and event calculus}, journal = {Expert Syst. Appl.}, volume = {132}, pages = {256--270}, year = {2019}, url = {https://doi.org/10.1016/j.eswa.2019.04.004}, doi = {10.1016/J.ESWA.2019.04.004}, timestamp = {Wed, 12 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/KimJPBBP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fgcs/KimPJ19, author = {Hyun{-}Woo Kim and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Adaptive job allocation scheduler based on usage pattern for computing offloading of IoT}, journal = {Future Gener. Comput. Syst.}, volume = {98}, pages = {18--24}, year = {2019}, url = {https://doi.org/10.1016/j.future.2019.02.071}, doi = {10.1016/J.FUTURE.2019.02.071}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fgcs/KimPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iwc/SeoJSP19, author = {Young{-}nam Seo and Younbo Jung and Jeremy R. H. Sng and Jongmin Park}, title = {Rational or Irrational Decision? Examination on Gamers' Intention to Purchase Probability-Type Items}, journal = {Interact. Comput.}, volume = {31}, number = {6}, pages = {603--641}, year = {2019}, url = {https://doi.org/10.1093/iwc/iwz039}, doi = {10.1093/IWC/IWZ039}, timestamp = {Fri, 02 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iwc/SeoJSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP19, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Advanced Big Data Analysis, Artificial Intelligence {\&} Communication Systems}, journal = {J. Inf. Process. Syst.}, volume = {15}, number = {1}, pages = {1--6}, year = {2019}, url = {http://www.jips-k.org/q.jips?cp=pp\&pn=625}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/JeongP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP19a, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {IoT and Smart City Technology: Challenges, Opportunities, and Solutions}, journal = {J. Inf. Process. Syst.}, volume = {15}, number = {2}, pages = {233--238}, year = {2019}, url = {http://www.jips-k.org/q.jips?cp=pp\&pn=644}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/JeongP19a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP19b, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Learning Algorithms in {AI} System and Services}, journal = {J. Inf. Process. Syst.}, volume = {15}, number = {5}, pages = {1029--1035}, year = {2019}, url = {http://www.jips-k.org/q.jips?cp=pp\&pn=701}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/JeongP19b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jis/DengWGDGP19, author = {Jiangzhou Deng and Yong Wang and Junpeng Guo and Yongheng Deng and Jerry Gao and Younghee Park}, title = {A similarity measure based on Kullback-Leibler divergence for collaborative filtering in sparse data}, journal = {J. Inf. Sci.}, volume = {45}, number = {5}, year = {2019}, url = {https://doi.org/10.1177/0165551518808188}, doi = {10.1177/0165551518808188}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jis/DengWGDGP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ChoKPC19, author = {Keunhee Cho and Sung Tae Kim and Young{-}Hwan Park and Jeong{-}Rae Cho}, title = {Measurement of Mechanical and Thermal Strains by Optical {FBG} Sensors Embedded in {CFRP} Rod}, journal = {J. Sensors}, volume = {2019}, pages = {5345901:1--5345901:6}, year = {2019}, url = {https://doi.org/10.1155/2019/5345901}, doi = {10.1155/2019/5345901}, timestamp = {Tue, 26 Nov 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/js/ChoKPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkHOSPJ19, author = {Jiheon Park and Young{-}Ha Hwang and Jonghyun Oh and Yoonho Song and Jun{-}Eun Park and Deog{-}Kyoon Jeong}, title = {A Mutual Capacitance Touch Readout {IC} With 64{\%} Reduced-Power Adiabatic Driving Over Heavily Coupled Touch Screen}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {6}, pages = {1694--1704}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2898344}, doi = {10.1109/JSSC.2019.2898344}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkHOSPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkPHOJ19, author = {Jun{-}Eun Park and Jiheon Park and Young{-}Ha Hwang and Jonghyun Oh and Deog{-}Kyoon Jeong}, title = {A Noise-Immunity-Enhanced Analog Front-End for {\textdollar}36{\textbackslash}times64{\textdollar} Touch-Screen Controllers With 20- {\textdollar}{\textbackslash}text\{V\}{\_}\{{\textbackslash}text\{PP\}\}{\textdollar} Noise Tolerance at 100 kHz}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {5}, pages = {1497--1510}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2019.2892597}, doi = {10.1109/JSSC.2019.2892597}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkPHOJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KangKNKYKNHLKPP19, author = {Min Ju Kang and Sang Yun Kim and Duk L. Na and Byeong C. Kim and Dong Won Yang and Eun{-}Joo Kim and Hae Ri Na and Hyun Jeong Han and Jae{-}Hong Lee and Jong Hun Kim and Kee Hyung Park and Kyung Won Park and Seol{-}Heui Han and Seong Yoon Kim and Soo Jin Yoon and Bora Yoon and Sang Won Seo and So Young Moon and Young{-}Soon Yang and Yong S. Shim and Min Jae Baek and Jee Hyang Jeong and Seong Hye Choi and Young Chul Youn}, title = {Prediction of cognitive impairment via deep learning trained with multi-center neuropsychological test data}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {19}, number = {1}, pages = {231:1--231:9}, year = {2019}, url = {https://doi.org/10.1186/s12911-019-0974-x}, doi = {10.1186/S12911-019-0974-X}, timestamp = {Sun, 06 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KangKNKYKNHLKPP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/SharmaPJP19, author = {Pradip Kumar Sharma and Jin Ho Park and Young{-}Sik Jeong and Jong Hyuk Park}, title = {SHSec: {SDN} based Secure Smart Home Network Architecture for Internet of Things}, journal = {Mob. Networks Appl.}, volume = {24}, number = {3}, pages = {913--924}, year = {2019}, url = {https://doi.org/10.1007/s11036-018-1147-3}, doi = {10.1007/S11036-018-1147-3}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/SharmaPJP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/JeongHPJJ19, author = {Yubeen Jeong and Jihyun Hwang and Jinku Park and Chan Joo Jang and Young{-}Heon Jo}, title = {Reconstructed 3-D Ocean Temperature Derived from Remotely Sensed Sea Surface Measurements for Mixed Layer Depth Analysis}, journal = {Remote. Sens.}, volume = {11}, number = {24}, pages = {3018}, year = {2019}, url = {https://doi.org/10.3390/rs11243018}, doi = {10.3390/RS11243018}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/JeongHPJJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/ParkKKKBJJL19, author = {Jinku Park and Jeong{-}Hoon Kim and Hyun{-}Cheol Kim and Bong{-}Kuk Kim and Dukwon Bae and Young{-}Heon Jo and Naeun Jo and Sang Heon Lee}, title = {Reconstruction of Ocean Color Data Using Machine Learning Techniques in Polar Regions: Focusing on Off Cape Hallett, Ross Sea}, journal = {Remote. Sens.}, volume = {11}, number = {11}, pages = {1366}, year = {2019}, url = {https://doi.org/10.3390/rs11111366}, doi = {10.3390/RS11111366}, timestamp = {Sat, 10 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/ParkKKKBJJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/JeonKHLKKPJKMNK19, author = {Sungwoong Jeon and Sangwon Kim and Shinwon Ha and Seungmin Lee and Eunhee Kim and So Yeun Kim and Sun Hwa Park and Jung Ho Jeon and Sung Won Kim and Cheil Moon and Bradley J. Nelson and Jin{-}young Kim and Seong{-}Woon Yu and Hongsoo Choi}, title = {Magnetically actuated microrobots as a platform for stem cell transplantation}, journal = {Sci. Robotics}, volume = {4}, number = {30}, year = {2019}, url = {https://doi.org/10.1126/scirobotics.aav4317}, doi = {10.1126/SCIROBOTICS.AAV4317}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/JeonKHLKKPJKMNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnCKCMP19, author = {DaeHan Ahn and Ji{-}Young Choi and Hee{-}Chul Kim and Jeong{-}Seok Cho and Kwang{-}Deog Moon and Taejoon Park}, title = {Estimating the Composition of Food Nutrients from Hyperspectral Signals Based on Deep Neural Networks}, journal = {Sensors}, volume = {19}, number = {7}, pages = {1560}, year = {2019}, url = {https://doi.org/10.3390/s19071560}, doi = {10.3390/S19071560}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnCKCMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/AhnJKJP19, author = {Jin{-}Hee Ahn and Young{-}Soo Jeong and In{-}Tae Kim and Seok{-}Hyeon Jeon and Chan{-}Hee Park}, title = {A Method for Estimating Time-Dependent Corrosion Depth of Carbon and Weathering Steel Using an Atmospheric Corrosion Monitor Sensor}, journal = {Sensors}, volume = {19}, number = {6}, pages = {1416}, year = {2019}, url = {https://doi.org/10.3390/s19061416}, doi = {10.3390/S19061416}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/AhnJKJP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeePKLAHKK19, author = {Jae{-}Young Lee and Sung{-}Ik Park and Sunhyoung Kwon and Bo{-}Mi Lim and Sungjun Ahn and Namho Hur and Heung Mook Kim and Jeongchang Kim}, title = {Layered Division Multiplexing for {ATSC} 3.0: Implementation and Memory Use Aspects}, journal = {{IEEE} Trans. Broadcast.}, volume = {65}, number = {3}, pages = {496--503}, year = {2019}, url = {https://doi.org/10.1109/TBC.2019.2897750}, doi = {10.1109/TBC.2019.2897750}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/LeePKLAHKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/ParkLKLAKJLSAGW19, author = {Sung{-}Ik Park and Jae{-}Young Lee and Sunhyoung Kwon and Bo{-}Mi Lim and Sungjun Ahn and Heung Mook Kim and Sungho Jeon and Jaekwon Lee and Michael Simon and Mark Aitken and Kevin Gage and Yiyan Wu and Liang Zhang and Wei Li and Jeongchang Kim}, title = {Performance Analysis of All Modulation and Code Combinations in {ATSC} 3.0 Physical Layer Protocol}, journal = {{IEEE} Trans. Broadcast.}, volume = {65}, number = {2}, pages = {197--210}, year = {2019}, url = {https://doi.org/10.1109/TBC.2018.2871372}, doi = {10.1109/TBC.2018.2871372}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/ParkLKLAKJLSAGW19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/KimCPKLKKK19, author = {Jeesu Kim and Wonseok Choi and Eun{-}Yeong Park and Youngnam Kang and Ki Jong Lee and Hyung Ham Kim and Won Jong Kim and Chulhong Kim}, title = {Real-Time Photoacoustic Thermometry Combined With Clinical Ultrasound Imaging and High-Intensity Focused Ultrasound}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {66}, number = {12}, pages = {3330--3338}, year = {2019}, url = {https://doi.org/10.1109/TBME.2019.2904087}, doi = {10.1109/TBME.2019.2904087}, timestamp = {Tue, 09 May 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbe/KimCPKLKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HwangMJKPHL19, author = {Seokha Hwang and Seungsik Moon and Jaehwan Jung and Daesung Kim and In{-}Cheol Park and Jeongseok Ha and Youngjoo Lee}, title = {Energy-Efficient Symmetric {BC-BCH} Decoder Architecture for Mobile Storages}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {11}, pages = {4462--4475}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2019.2922983}, doi = {10.1109/TCSI.2019.2922983}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HwangMJKPHL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/ParkNKJRH19, author = {Jin{-}Woo Park and Francis Sahngun Nahm and Jin{-}Hee Kim and Young{-}Tae Jeon and Jung{-}Hee Ryu and Sung{-}Hee Han}, title = {The Effect of Mirroring Display of Virtual Reality Tour of the Operating Theatre on Preoperative Anxiety: {A} Randomized Controlled Trial}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {23}, number = {6}, pages = {2655--2660}, year = {2019}, url = {https://doi.org/10.1109/JBHI.2019.2892485}, doi = {10.1109/JBHI.2019.2892485}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/ParkNKJRH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wc/ChoiGASPHKCK19, author = {Kae Won Choi and Lorenz Ginting and Arif Abdul Aziz and Dedi Setiawan and Je Hyeon Park and Sa Il Hwang and Dong Soo Kang and Min Young Chung and Dong In Kim}, title = {Toward Realization of Long-Range Wireless-Powered Sensor Networks}, journal = {{IEEE} Wirel. Commun.}, volume = {26}, number = {4}, pages = {184--192}, year = {2019}, url = {https://doi.org/10.1109/MWC.2019.1800475}, doi = {10.1109/MWC.2019.1800475}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wc/ChoiGASPHKCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aclwat/ParkJKKJLKL19, author = {Cheon{-}Eum Park and Young{-}Jun Jung and Kihoon Kim and Geonyeong Kim and Jae{-}Won Jeon and Seongmin Lee and Junseok Kim and Changki Lee}, editor = {Toshiaki Nakazawa and Chenchen Ding and Raj Dabre and Anoop Kunchukuttan and Nobushige Doi and Yusuke Oda and Ondrej Bojar and Shantipriya Parida and Isao Goto and Hidaya Mino}, title = {KNU-HYUNDAI's {NMT} system for Scientific Paper and Patent Tasks onWAT 2019}, booktitle = {Proceedings of the 6th Workshop on Asian Translation, WAT@EMNLP-IJCNLP 2019, Hong Kong, China, November 4, 2019}, pages = {81--89}, publisher = {Association for Computational Linguistics}, year = {2019}, url = {https://doi.org/10.18653/v1/D19-5208}, doi = {10.18653/V1/D19-5208}, timestamp = {Thu, 05 Aug 2021 17:36:17 +0200}, biburl = {https://dblp.org/rec/conf/aclwat/ParkJKKJLKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/KwonLPSB19, author = {Hyunjin Kwon and Youngho Lee and Jinhyeok Park and Sunjin Sym and Jeong{-}Heum Baek}, title = {Improving Clinical Decision Support System by Analyzing Prognostic Factors of Colorectal Cancer Stage {IV} Patients}, booktitle = {{AMIA} 2019, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2019}, publisher = {{AMIA}}, year = {2019}, url = {https://knowledge.amia.org/69862-amia-1.4570936/t007-1.4573510/t007-1.4573511/3202142-1.4574079/3196096-1.4574076}, timestamp = {Wed, 17 Apr 2024 11:47:08 +0200}, biburl = {https://dblp.org/rec/conf/amia/KwonLPSB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ChoiJPH19, author = {Suh{-}Yong Choi and Hyeok{-}June Jeong and Kyeong{-}sik Park and Young{-}Guk Ha}, title = {Efficient Driving Scene Image Creation Using Deep Neural Network}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2019, Kyoto, Japan, February 27 - March 2, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIGCOMP.2019.8679269}, doi = {10.1109/BIGCOMP.2019.8679269}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/ChoiJPH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/ParkJJH19, author = {Kyeong{-}sik Park and Sung{-}Su Jang and Hyeok{-}June Jeong and Young{-}Guk Ha}, title = {Roadway Image Preprocessing for Deep Learning-Based Driving Scene Understanding}, booktitle = {{IEEE} International Conference on Big Data and Smart Computing, BigComp 2019, Kyoto, Japan, February 27 - March 2, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BIGCOMP.2019.8679168}, doi = {10.1109/BIGCOMP.2019.8679168}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/ParkJJH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/0001PCSNLKLAL19, author = {Sungho Jeon and Sung{-}Ik Park and Younseok Choi and Yoo{-}Sang Shin and Jin Sol Nam and Jaekwon Lee and Jahoon Ku and Bo{-}Mi Lim and Sungjun Ahn and Jae{-}Young Lee}, editor = {Huabo Sun}, title = {Methodology for Analyzing {ATSC} 3.0 Single Frequency Network Overlapped Area Based on Spatial Interpolation}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--4}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971902}, doi = {10.1109/BMSB47279.2019.8971902}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/0001PCSNLKLAL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/AhnPLKLKHK19, author = {Sungjun Ahn and Sung{-}Ik Park and Jae{-}Young Lee and Sunhyoung Kwon and Bo{-}Mi Lim and Heung Mook Kim and Namho Hur and Jeongchang Kim}, editor = {Huabo Sun}, title = {Cramer-Rao Bound Analysis on RF-Watermark TxID Detection in {SFN} with Randomly Distributed Co-channel Interferers}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971900}, doi = {10.1109/BMSB47279.2019.8971900}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/AhnPLKLKHK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/AhnPLLKK19, author = {Seok{-}Ki Ahn and Sung{-}Ik Park and Jae{-}Young Lee and Bo{-}Mi Lim and Sunhyoung Kwon and Jeongchang Kim}, editor = {Huabo Sun}, title = {Performance Evaluation of Additional Parity for {L1} Signaling in {ATSC} 3.0}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--2}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971907}, doi = {10.1109/BMSB47279.2019.8971907}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/AhnPLLKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/JungPLHKKSA19, author = {Hoiyoon Jung and Sung{-}Ik Park and Jae Young Lee and Namho Hur and Heung Mook Kim and Jeongchang Kim and Michael Simon and Mark Aitken}, editor = {Huabo Sun}, title = {{ATSC} 3.0 Channel Bonding Performance in Mobile Channel Environments}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971866}, doi = {10.1109/BMSB47279.2019.8971866}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/JungPLHKKSA19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KimKPLH19, author = {Hyeongseok Kim and Jeongchang Kim and Sung Ik Park and Jae{-}Young Lee and Namho Hur}, editor = {Huabo Sun}, title = {Capacity Analysis for LDM-Based Multiple-PLP Configurations in {ATSC} 3.0}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971903}, doi = {10.1109/BMSB47279.2019.8971903}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/KimKPLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KimKPLHSAG19, author = {Hyeongseok Kim and Jeongchang Kim and Sung Ik Park and Jae{-}Young Lee and Namho Hur and Michael Simon and Mark Aitken and Kevin Gage}, editor = {Huabo Sun}, title = {A New Decoding Scheme of Emergency Alert Wake-up Bits in {ATSC} 3.0}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971838}, doi = {10.1109/BMSB47279.2019.8971838}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/KimKPLHSAG19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LimKAPLHKK19, author = {Bo{-}Mi Lim and Sunhyoung Kwon and Sungjun Ahn and Sung Ik Park and Jae Young Lee and Namho Hur and Heung Mook Kim and Jeongchang Kim}, editor = {Huabo Sun}, title = {Mobile Testing of {ATSC} 3.0 {MISO} in {SFN}}, booktitle = {2019 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2019, Jeju, Korea (South), June 5-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/BMSB47279.2019.8971857}, doi = {10.1109/BMSB47279.2019.8971857}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/LimKAPLHKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AbdelhamedTB19, author = {Abdelrahman Abdelhamed and Radu Timofte and Michael S. Brown and Songhyun Yu and Bumjun Park and Jechang Jeong and Seung{-}Won Jung and Dong{-}Wook Kim and Jae Ryun Chung and Jiaming Liu and Yuzhi Wang and Chi{-}Hao Wu and Qin Xu and Chuan Wang and Shaofan Cai and Yifan Ding and Haoqiang Fan and Jue Wang and Kai Zhang and Wangmeng Zuo and Magauiya Zhussip and Dongwon Park and Shakarim Soltanayev and Se Young Chun and Zhiwei Xiong and Chang Chen and Muhammad Haris and Kazutoshi Akita and Tomoki Yoshida and Greg Shakhnarovich and Norimichi Ukita and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Sung{-}Jea Ko and Dong{-}Pan Lim and Seung{-}Wook Kim and Seo{-}Won Ji and Sang{-}Won Lee and Wenyi Tang and Yuchen Fan and Yuqian Zhou and Ding Liu and Thomas S. Huang and Deyu Meng and Lei Zhang and Hongwei Yong and Yiyun Zhao and Pengliang Tang and Yue Lu and Raimondo Schettini and Simone Bianco and Simone Zini and Chi Li and Yang Wang and Zhiguo Cao}, title = {{NTIRE} 2019 Challenge on Real Image Denoising: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2197--2210}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Abdelhamed\_NTIRE\_2019\_Challenge\_on\_Real\_Image\_Denoising\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00273}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AbdelhamedTB19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/AncutiATGZ0a19, author = {Codruta O. Ancuti and Cosmin Ancuti and Radu Timofte and Luc Van Gool and Lei Zhang and Ming{-}Hsuan Yang and Tiantong Guo and Xuelu Li and Venkateswararao Cherukuri and Vishal Monga and Hao Jiang and Siyuan Yang and Yan Liu and Xiaochao Qu and Pengfei Wan and Dongwon Park and Se Young Chun and Ming Hong and Jinying Huang and Yizi Chen and Shuxin Chen and Bomin Wang and Pablo Navarrete Michelini and Hanwen Liu and Dan Zhu and Jing Liu and Sanchayan Santra and Ranjan Mondal and Bhabatosh Chanda and Peter Morales and Tzofi Klinghoffer and Le Manh Quan and Yong{-}Guk Kim and Xiao Liang and Runde Li and Jinshan Pan and Jinhui Tang and Kuldeep Purohit and Maitreya Suin and A. N. Rajagopalan and Raimondo Schettini and Simone Bianco and Flavio Piccoli and Claudio Cusano and Luigi Celona and Sunhee Hwang and Yu Seung Ma and Hyeran Byun and Subrahmanyam Murala and Akshay Dudhane and Harshjeet Singh Aulakh and Tianxiang Zheng and Tao Zhang and Weining Qin and Runnan Zhou and Shanhu Wang and Jean{-}Philippe Tarel and Chuansheng Wang and Jiawei Wu}, title = {{NTIRE} 2019 Image Dehazing Challenge Report}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {2241--2253}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Ancuti\_NTIRE\_2019\_Image\_Dehazing\_Challenge\_Report\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00277}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/AncutiATGZ0a19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/NahTBHMSL19, author = {Seungjun Nah and Radu Timofte and Sungyong Baik and Seokil Hong and Gyeongsik Moon and Sanghyun Son and Kyoung Mu Lee and Xintao Wang and Kelvin C. K. Chan and Ke Yu and Chao Dong and Chen Change Loy and Yuchen Fan and Jiahui Yu and Ding Liu and Thomas S. Huang and Hyeonjun Sim and Munchurl Kim and Dongwon Park and Jisoo Kim and Se Young Chun and Muhammad Haris and Greg Shakhnarovich and Norimichi Ukita and Syed Waqas Zamir and Aditya Arora and Salman H. Khan and Fahad Shahbaz Khan and Ling Shao and Rahul Kumar Gupta and Vishal M. Chudasama and Heena Patel and Kishor P. Upla and Hongfei Fan and Guo Li and Yumei Zhang and Xiang Li and Wenjie Zhang and Qingwen He and Kuldeep Purohit and A. N. Rajagopalan and Jeonghun Kim and Mohammad Tofighi and Tiantong Guo and Vishal Monga}, title = {{NTIRE} 2019 Challenge on Video Deblurring: Methods and Results}, booktitle = {{IEEE} Conference on Computer Vision and Pattern Recognition Workshops, {CVPR} Workshops 2019, Long Beach, CA, USA, June 16-20, 2019}, pages = {1974--1984}, publisher = {Computer Vision Foundation / {IEEE}}, year = {2019}, url = {http://openaccess.thecvf.com/content\_CVPRW\_2019/html/NTIRE/Nah\_NTIRE\_2019\_Challenge\_on\_Video\_Deblurring\_Methods\_and\_Results\_CVPRW\_2019\_paper.html}, doi = {10.1109/CVPRW.2019.00249}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/NahTBHMSL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dappcon/MerrillATPR19, author = {Paul Merrill and Thomas H. Austin and Jenil Thakker and Younghee Park and Justin Rietz}, title = {Lock and Load: {A} Model for Free Blockchain Transactions through Token Locking}, booktitle = {{IEEE} International Conference on Decentralized Applications and Infrastructures, {DAPPCON} 2019, Newark, CA, USA, April 4-9, 2019}, pages = {19--28}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/DAPPCON.2019.00013}, doi = {10.1109/DAPPCON.2019.00013}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dappcon/MerrillATPR19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ParkCJL19, author = {Ki{-}Hyuk Park and Min{-}Hyung Cho and Young{-}Deuk Jeon and Joo Hyun Lee}, title = {Design of Analog and Digital Hybrid {MAC} Circuit for Artificial Neural Networks}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2019, Auckland, New Zealand, January 22-25, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ELINFOCOM.2019.8706340}, doi = {10.23919/ELINFOCOM.2019.8706340}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/ParkCJL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/HwangOPSPPJ19, author = {Young{-}Ha Hwang and Jonghyun Oh and Jiheon Park and Yoonho Song and Jung{-}Hun Park and Jun{-}Eun Park and Deog{-}Kyoon Jeong}, title = {An Always-On 0.53-to-13.4 mW Power-Scalable Touchscreen Controller for Ultrathin Touchscreen Displays With Current-Mode Filter and Incremental Hybrid {\(\Delta\)}{\(\Sigma\)} {ADC}}, booktitle = {45th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2019, Cracow, Poland, September 23-26, 2019}, pages = {313--316}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ESSCIRC.2019.8902664}, doi = {10.1109/ESSCIRC.2019.8902664}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/HwangOPSPPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/JeonPC19, author = {Youngil Jeon and Hyeongsook Park and Eunyoung Choi}, title = {Synchronization and Cell Search Procedure in 3GPP 5G {NR} Systems}, booktitle = {21st International Conference on Advanced Communication Technology, {ICACT} 2019, Pyeongchang, South Korea, February 17-20, 2019}, pages = {475--478}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.23919/ICACT.2019.8701920}, doi = {10.23919/ICACT.2019.8701920}, timestamp = {Tue, 22 Mar 2022 13:34:04 +0100}, biburl = {https://dblp.org/rec/conf/icact/JeonPC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/OhPE19, author = {Kwonje Oh and Jonggyu Park and Young Ik Eom}, title = {Weight-Based Page Cache Management Scheme for Enhancing {I/O} Proportionality of Cgroups}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2019, Las Vegas, NV, USA, January 11-13, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCE.2019.8662057}, doi = {10.1109/ICCE.2019.8662057}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/OhPE19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccv/HeoKYPK019, author = {Byeongho Heo and Jeesoo Kim and Sangdoo Yun and Hyojin Park and Nojun Kwak and Jin Young Choi}, title = {A Comprehensive Overhaul of Feature Distillation}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision, {ICCV} 2019, Seoul, Korea (South), October 27 - November 2, 2019}, pages = {1921--1930}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCV.2019.00201}, doi = {10.1109/ICCV.2019.00201}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccv/HeoKYPK019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icetc/ChoiHHLHPS19, author = {Jinhan Choi and Jeongyun Han and Woochang Hyun and Hyunchul Lim and Sun Young Huh and SoHyun Park and Bongwon Suh}, editor = {Piet Kommers and Fang Lou}, title = {Leveraging Smartwatches to Estimate Students' Perceived Difficulty and Interest in Online Video Lectures}, booktitle = {{ICETC} 2019, 11th International Conference on Education Technology and Computers, Amsterdam, The Netherlands, October 28-31, 2019}, pages = {171--175}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3369255.3369291}, doi = {10.1145/3369255.3369291}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icetc/ChoiHHLHPS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/ChoCKJLPKL19, author = {Jungrae Cho and Inchul Choi and Jaeil Kim and Sungmoon Jeong and Young{-}Sup Lee and Jaechan Park and Jungjoon Kim and Minho Lee}, editor = {Tom Gedeon and Kok Wai Wong and Minho Lee}, title = {Affinity Graph Based End-to-End Deep Convolutional Networks for {CT} Hemorrhage Segmentation}, booktitle = {Neural Information Processing - 26th International Conference, {ICONIP} 2019, Sydney, NSW, Australia, December 12-15, 2019, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {11953}, pages = {546--555}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-36708-4\_45}, doi = {10.1007/978-3-030-36708-4\_45}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/ChoCKJLPKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icorr/SeoPLHLKCS19, author = {Keehong Seo and Young Jin Park and Jusuk Lee and Seungyong Hyung and Minhyung Lee and Jeonghun Kim and Hyundo Choi and Youngbo Shim}, title = {RNN-Based On-Line Continuous Gait Phase Estimation from Shank-Mounted IMUs to Control Ankle Exoskeletons}, booktitle = {16th {IEEE} International Conference on Rehabilitation Robotics, {ICORR} 2019, Toronto, ON, Canada, June 24-28, 2019}, pages = {809--815}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICORR.2019.8779554}, doi = {10.1109/ICORR.2019.8779554}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icorr/SeoPLHLKCS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SeolAPJPCYK19, author = {Youhwan Seol and Jaehong Ahn and Sehyun Park and Mookeun Ji and Jeongyeup Paek and Heungseok Chae and Jiheon Yi and Youngju Kim}, title = {Query-chain: Fast and Flexible Blockchain-based Platform for Diverse Application Services}, booktitle = {2019 International Conference on Information and Communication Technology Convergence, {ICTC} 2019, Jeju Island, Korea (South), October 16-18, 2019}, pages = {159--162}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICTC46691.2019.8939801}, doi = {10.1109/ICTC46691.2019.8939801}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/SeolAPJPCYK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimPKHP19, author = {Donghyeon Kim and Heejin Park and Jeasoo Kim and Joo Young Hahn and Joung{-}Soo Park}, title = {{MIMO} Communication Based on Adaptive Passive Time Reversal in Deep Water}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {49--51}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8805915}, doi = {10.1109/ICUFN.2019.8805915}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimPKHP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/ParkKKHP19, author = {Heejin Park and Donghyeon Kim and Jeasoo Kim and Joo Young Hahn and Joung{-}Soo Park}, title = {Underwater Communication with Spatio-Temporal Diversity in a Deep-Water Environment}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {45--48}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8806164}, doi = {10.1109/ICUFN.2019.8806164}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/ParkKKHP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/SinaiNSP19, author = {Nday Kabulo Sinai and Jeong{-}Il Namgung and Soo Young Shin and Soo{-}Hyun Park}, title = {Handover Protocol in Ad-hoc Diver Networks using Visual Light Communication}, booktitle = {Eleventh International Conference on Ubiquitous and Future Networks, {ICUFN} 2019, Zagreb, Croatia, July 2-5, 2019}, pages = {604--609}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICUFN.2019.8805921}, doi = {10.1109/ICUFN.2019.8805921}, timestamp = {Wed, 28 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/SinaiNSP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/BaePLLH19, author = {Ji{-}Hoon Bae and Jeong{-}Eon Park and Ju{-}Young Lee and Nayoung Lee and Sang{-}Kyoo Han}, title = {An Improved Weinberg Converter with Low Output Current and Voltage Ripple}, booktitle = {{IECON} 2019 - 45th Annual Conference of the {IEEE} Industrial Electronics Society, Lisbon, Portugal, October 14-17, 2019}, pages = {1597--1602}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IECON.2019.8927072}, doi = {10.1109/IECON.2019.8927072}, timestamp = {Wed, 01 Jan 2020 14:47:53 +0100}, biburl = {https://dblp.org/rec/conf/iecon/BaePLLH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcnn/ChungCPJ19, author = {Hoon Chung and Euisok Chung and Jeon Gue Park and Ho{-}Young Jung}, title = {Parameter Reduction For Deep Neural Network Based Acoustic Models Using Sparsity Regularized Factorization Neurons}, booktitle = {International Joint Conference on Neural Networks, {IJCNN} 2019 Budapest, Hungary, July 14-19, 2019}, pages = {1--5}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/IJCNN.2019.8852021}, doi = {10.1109/IJCNN.2019.8852021}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ijcnn/ChungCPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/SunSLKKLTPK19, author = {Zhe Sun and Ji Won Seo and Jee Yeon Lee and Min Young Kwak and Yehree Kim and Je Yeon Lee and Arthur W. Toga and Hong Ju Park and Hosung Kim}, title = {Random Forest Regression Combined with {MRI} Brain Morphometry Predicts Surgical Outcome of Cochlear Implantation}, booktitle = {16th {IEEE} International Symposium on Biomedical Imaging, {ISBI} 2019, Venice, Italy, April 8-11, 2019}, pages = {360--363}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISBI.2019.8759541}, doi = {10.1109/ISBI.2019.8759541}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/SunSLKKLTPK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/islped/ParkHOSPJ19, author = {Jiheon Park and Young{-}Ha Hwang and Jonghyun Oh and Yoonho Song and Jun{-}Eun Park and Deog{-}Kyoon Jeong}, title = {A Compact Self-Capacitance Sensing Analog Front-End for a Touch Detection in Low-Power Mode}, booktitle = {2019 {IEEE/ACM} International Symposium on Low Power Electronics and Design, {ISLPED} 2019, Lausanne, Switzerland, July 29-31, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISLPED.2019.8824937}, doi = {10.1109/ISLPED.2019.8824937}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/islped/ParkHOSPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJBGPSCKKKJMH19, author = {Inhak Lee and Hanwool Jeong and Sangyeop Baeck and Siddharth Gupta and Changnam Park and Dongwook Seo and Jaeseung Choi and Jaeyoung Kim and Hoon Kim and Jungmyung Kang and Sunyung Jang and Daeyoung Moon and Sangshin Han and Taehyung Kim and Jaehyun Lim and Younghwan Park and Hyejin Hwang and Jeonseung Kang and Taejoong Song}, title = {A Voltage and Temperature Tracking {SRAM} Assist Supporting 740mV Dual-Rail Offset for Low-Power and High-Performance Applications in 7nm {EUV} FinFET Technology}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {392--394}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662439}, doi = {10.1109/ISSCC.2019.8662439}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeJBGPSCKKKJMH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeJKKKKHKMLJKL19, author = {Seong Ju Lee and Byung Deuk Jeon and Kyeong Pil Kang and Dong Yoon Ka and Na Yeon Kim and Yongseop Kim and Yunseok Hong and Mankeun Kang and Jinyong Min and Mingyu Lee and Chunseok Jeong and Kwandong Kim and Doobock Lee and Junghyun Shin and Yuntack Han and Youngbo Shim and Youngjoo Kim and Yongsun Kim and Hyunseok Kim and Jaewoong Yun and Byungsoo Kim and Seokhwan Han and Changwoo Lee and Junyong Song and Ho Uk Song and Il Park and Yongju Kim and Junhyun Chun and Jonghoon Oh}, title = {A 512GB 1.1V Managed {DRAM} Solution with 16GB {ODP} and Media Controller}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {384--386}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662367}, doi = {10.1109/ISSCC.2019.8662367}, timestamp = {Tue, 17 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeJKKKKHKMLJKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/PaekKBBCNHCYPLK19, author = {Ji{-}Seon Paek and Dong{-}Su Kim and Jun{-}Suk Bang and Jongbeom Baek and Jeong{-}Hyun Choi and Takahiro Nomiyama and Jae{-}Yeol Han and Young{-}Hwan Choo and Yong{-}Sik Youn and Euiyoung Park and Sung{-}Jun Lee and Ik{-}Hwan Kim and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {An 88{\%}-Efficiency Supply Modulator Achieving 1.08{\(\mu\)}s/V Fast Transition and 100MHz Envelope-Tracking Bandwidth for 5G New Radio {RF} Power Amplifier}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {238--240}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662460}, doi = {10.1109/ISSCC.2019.8662460}, timestamp = {Tue, 12 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/PaekKBBCNHCYPLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KwonAKCJLPL19, author = {Doyoung Kwon and Jaesin Ahn and Jaeil Kim and Inchul Choi and Sungmoon Jeong and Young{-}Sup Lee and Jaechan Park and Minho Lee}, editor = {Dinggang Shen and Tianming Liu and Terry M. Peters and Lawrence H. Staib and Caroline Essert and Sean Zhou and Pew{-}Thian Yap and Ali R. Khan}, title = {Siamese U-Net with Healthy Template for Accurate Segmentation of Intracranial Hemorrhage}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2019 - 22nd International Conference, Shenzhen, China, October 13-17, 2019, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {11766}, pages = {848--855}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-32248-9\_94}, doi = {10.1007/978-3-030-32248-9\_94}, timestamp = {Mon, 19 Feb 2024 14:24:13 +0100}, biburl = {https://dblp.org/rec/conf/miccai/KwonAKCJLPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/ParkJ19, author = {KyuHwon Park and Young{-}Seob Jeong}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {Indoor Dialog Agent in Mixed Reality}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {708--709}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3328595}, doi = {10.1145/3307334.3328595}, timestamp = {Mon, 17 Jun 2019 08:39:32 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/ParkJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ofc/KimHLKJPSKKBC19, author = {Dong Churl Kim and Young{-}Tak Han and Donghoon Lee and Seok{-}Tae Kim and Su Jeong Jeon and Sangho Park and Jang{-}Uk Shin and Yong{-}Hwan Kwon and Jong{-}Hoi Kim and Yongsoon Baek and Ho{-}Sung Cho}, title = {Carrier Diffusion Effect in Gain Chip and 60 mW Tunable External Cavity Laser with Diffusion-Limited Gain Chip and Polymer-Based Waveguide Grating}, booktitle = {Optical Fiber Communications Conference and Exhibition, {OFC} 2019, San Diego, CA, USA, March 3-7, 2019}, pages = {1--3}, publisher = {{IEEE}}, year = {2019}, url = {https://ieeexplore.ieee.org/document/8696715}, timestamp = {Wed, 11 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ofc/KimHLKJPSKKBC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/KimPJYEJ19, author = {Seoyeon Kim and Jisu Park and Jaehyeok Jeong and Young{-}Sun Yun and Seongbae Eun and Jinman Jung}, editor = {Chih{-}Cheng Hung and Qianbin Chen and Xianzhong Xie and Christian Esposito and Jun Huang and Juw Won Park and Qinghua Zhang}, title = {Survey of IoT platforms supporting artificial intelligence}, booktitle = {Proceedings of the Conference on Research in Adaptive and Convergent Systems, {RACS} 2019, Chongqing, China, September 24-27, 2019}, pages = {65--66}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3338840.3355694}, doi = {10.1145/3338840.3355694}, timestamp = {Wed, 17 Aug 2022 11:16:50 +0200}, biburl = {https://dblp.org/rec/conf/racs/KimPJYEJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sas2/HanKPLJPMP19, author = {Heejae Han and Jeonghwan Kim and Junyoung Park and Yujin Lee and Hyunwoo Jo and Yonghyeon Park and Eric T. Matson and Seongha Park}, title = {Object classification on raw radar data using convolutional neural networks}, booktitle = {{IEEE} Sensors Applications Symposium, {SAS} 2019, Sophia Antipolis, France, March 11-13, 2019}, pages = {1--6}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/SAS.2019.8706004}, doi = {10.1109/SAS.2019.8706004}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/sas2/HanKPLJPMP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/saso/KimSW0P19, author = {Sanghyeok Kim and Jeho Song and Sangyeon Woo and Youngjae Kim and Sungyong Park}, title = {Gas Consumption-Aware Dynamic Load Balancing in Ethereum Sharding Environments}, booktitle = {{IEEE} 4th International Workshops on Foundations and Applications of Self* Systems, FAS*W@SASO/ICCAC 2019, Umea, Sweden, June 16-20, 2019}, pages = {188--193}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/FAS-W.2019.00052}, doi = {10.1109/FAS-W.2019.00052}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/saso/KimSW0P19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/GovindKCMNLSMBZ19, author = {Yash Govind and Pradap Konda and Paul Suganthan G. C. and Philip Martinkus and Palaniappan Nagarajan and Han Li and Aravind Soundararajan and Sidharth Mudgal and Jeffrey R. Ballard and Haojun Zhang and Adel Ardalan and Sanjib Das and Derek Paulsen and Amanpreet Singh Saini and Erik Paulson and Youngchoon Park and Marshall Carter and Mingju Sun and Glenn Moo Fung and AnHai Doan}, editor = {Peter A. Boncz and Stefan Manegold and Anastasia Ailamaki and Amol Deshpande and Tim Kraska}, title = {Entity Matching Meets Data Science: {A} Progress Report from the Magellan Project}, booktitle = {Proceedings of the 2019 International Conference on Management of Data, {SIGMOD} Conference 2019, Amsterdam, The Netherlands, June 30 - July 5, 2019}, pages = {389--403}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299869.3314042}, doi = {10.1145/3299869.3314042}, timestamp = {Fri, 03 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/GovindKCMNLSMBZ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/ParkSRLJLLL19, author = {Kisung Park and Hojin Seo and Mostofa Kamal Rasel and Young{-}Koo Lee and Chanho Jeong and Sung Yeol Lee and Chungmin Lee and Dong{-}Hun Lee}, editor = {Peter A. Boncz and Stefan Manegold and Anastasia Ailamaki and Amol Deshpande and Tim Kraska}, title = {Iterative Query Processing based on Unified Optimization Techniques}, booktitle = {Proceedings of the 2019 International Conference on Management of Data, {SIGMOD} Conference 2019, Amsterdam, The Netherlands, June 30 - July 5, 2019}, pages = {54--68}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3299869.3324960}, doi = {10.1145/3299869.3324960}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/ParkSRLJLLL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/ParkKKCPSKK19, author = {Minkyung Park and Jeongnyeo Kim and Youngho Kim and Eunsang Cho and Soobin Park and Sungmin Sohn and Minhyeok Kang and Ted "Taekyoung" Kwon}, editor = {Ilsun You}, title = {An SGX-Based Key Management Framework for Data Centric Networking}, booktitle = {Information Security Applications - 20th International Conference, {WISA} 2019, Jeju Island, South Korea, August 21-24, 2019, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {11897}, pages = {370--382}, publisher = {Springer}, year = {2019}, url = {https://doi.org/10.1007/978-3-030-39303-8\_28}, doi = {10.1007/978-3-030-39303-8\_28}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/wisa/ParkKKCPSKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1904-01866, author = {Byeongho Heo and Jeesoo Kim and Sangdoo Yun and Hyojin Park and Nojun Kwak and Jin Young Choi}, title = {A Comprehensive Overhaul of Feature Distillation}, journal = {CoRR}, volume = {abs/1904.01866}, year = {2019}, url = {http://arxiv.org/abs/1904.01866}, eprinttype = {arXiv}, eprint = {1904.01866}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1904-01866.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-06021, author = {Rubayet Shafin Bradley Shafin and Hao Chen and Young{-}Han Nam and Sooyoung Hur and Jeongho Park and Jianzhong Zhang and Jeffrey H. Reed and Lingjia Liu}, title = {Self-Tuning Sectorization: Deep Reinforcement Learning Meets Broadcast Beam Optimization}, journal = {CoRR}, volume = {abs/1906.06021}, year = {2019}, url = {http://arxiv.org/abs/1906.06021}, eprinttype = {arXiv}, eprint = {1906.06021}, timestamp = {Wed, 18 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-06021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-07124, author = {Ganguk Lee and Yeaseul Park and Jeongseob Ahn and Youngjin Kwon}, title = {Slicing the {IO} execution with ReLayTracer}, journal = {CoRR}, volume = {abs/1906.07124}, year = {2019}, url = {http://arxiv.org/abs/1906.07124}, eprinttype = {arXiv}, eprint = {1906.07124}, timestamp = {Mon, 24 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-07124.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhKKHP18, author = {Youngrock Oh and Yunbae Kim and Jeongseop Kim and Ganguk Hwang and Seungkeun Park}, title = {A New Autonomous Adaptive {MAC} Protocol in Wireless Networks}, journal = {{IEEE} Access}, volume = {6}, pages = {15155--15169}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2805334}, doi = {10.1109/ACCESS.2018.2805334}, timestamp = {Wed, 04 Jul 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/OhKKHP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YiKPJ18, author = {Gangman Yi and Hyun{-}Woo Kim and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Job Allocation Mechanism for Battery Consumption Minimization of Cyber-Physical-Social Big Data Processing Based on Mobile Cloud Computing}, journal = {{IEEE} Access}, volume = {6}, pages = {21769--21777}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2803730}, doi = {10.1109/ACCESS.2018.2803730}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/YiKPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/LeeLJPLCSKKPKPK18, author = {H. W. Lee and H. W. Lim and D. H. Jeon and C. K. Park and Dong{-}Young Lee and H. S. Cho and C. W. Seo and K. S. Kim and G. A. Kim and S. Y. Park and S. Y. Kang and J. E. Park and W. S. Kim and Y. H. Lim and T. H. Woo}, title = {Eliminating artifacts in single-grid phase-contrast x-ray imaging for improving image quality}, journal = {Comput. Biol. Medicine}, volume = {97}, pages = {74--82}, year = {2018}, url = {https://doi.org/10.1016/j.compbiomed.2018.04.013}, doi = {10.1016/J.COMPBIOMED.2018.04.013}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/LeeLJPLCSKKPKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SharmaRJP18, author = {Pradip Kumar Sharma and Shailendra Rathore and Young{-}Sik Jeong and Jong Hyuk Park}, title = {SoftEdgeNet: {SDN} Based Energy-Efficient Distributed Network Architecture for Edge Computing}, journal = {{IEEE} Commun. Mag.}, volume = {56}, number = {12}, pages = {104--111}, year = {2018}, url = {https://doi.org/10.1109/MCOM.2018.1700822}, doi = {10.1109/MCOM.2018.1700822}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/SharmaRJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/displays/LeeJJPK18, author = {Jae{-}Yong Lee and Sungbin Jeon and Se{-}Hwan Jang and No{-}Cheol Park and Young{-}Joo Kim}, title = {Scalar-based speckle simulation model from the angular surface scattering based on generalized Harvey-Shack theory for laser displays}, journal = {Displays}, volume = {51}, pages = {30--35}, year = {2018}, url = {https://doi.org/10.1016/j.displa.2017.10.003}, doi = {10.1016/J.DISPLA.2017.10.003}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/displays/LeeJJPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecr/ParkYKL18, author = {Mijeong Park and Hana Yoo and Jeongeun Kim and Jisan Lee}, title = {Why do young people use fitness apps? Cognitive characteristics and app quality}, journal = {Electron. Commer. Res.}, volume = {18}, number = {4}, pages = {755--761}, year = {2018}, url = {https://doi.org/10.1007/s10660-017-9282-7}, doi = {10.1007/S10660-017-9282-7}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecr/ParkYKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/JeongPS18, author = {Jae{-}Pil Jeong and Young Deok Park and Young{-}Joo Suh}, title = {An Efficient Channel Scanning Scheme With Dual-Interfaces for Seamless Handoff in {IEEE} 802.11 WLANs}, journal = {{IEEE} Commun. Lett.}, volume = {22}, number = {1}, pages = {169--172}, year = {2018}, url = {https://doi.org/10.1109/LCOMM.2017.2763941}, doi = {10.1109/LCOMM.2017.2763941}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/JeongPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/KimPJ18, author = {Hyun{-}Woo Kim and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Human-intelligence workflow management for the big data of augmented reality on cloud infrastructure}, journal = {Neurocomputing}, volume = {279}, pages = {19--26}, year = {2018}, url = {https://doi.org/10.1016/j.neucom.2017.04.082}, doi = {10.1016/J.NEUCOM.2017.04.082}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/KimPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iotj/SharmaJP18, author = {Pradip Kumar Sharma and Young{-}Sik Jeong and Jong Hyuk Park}, title = {{EH-HL:} Effective Communication Model by Integrated {EH-WSN} and Hybrid LiFi/WiFi for IoT}, journal = {{IEEE} Internet Things J.}, volume = {5}, number = {3}, pages = {1719--1726}, year = {2018}, url = {https://doi.org/10.1109/JIOT.2018.2791999}, doi = {10.1109/JIOT.2018.2791999}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iotj/SharmaJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP18, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Novel Solutions and Approaches to Effective Data Processing}, journal = {J. Inf. Process. Syst.}, volume = {14}, number = {3}, pages = {563--568}, year = {2018}, url = {https://doi.org/10.3745/JIPS.00.0011}, doi = {10.3745/JIPS.00.0011}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jips/JeongP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP18a, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Algorithms, Processes, and Frameworks for User-oriented Applications}, journal = {J. Inf. Process. Syst.}, volume = {14}, number = {4}, pages = {801--806}, year = {2018}, url = {http://www.jips-k.org/q.jips?cp=pp\&pn=576}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/JeongP18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP18b, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Advanced Data Processing, Optimization {\&} Software Engineering}, journal = {J. Inf. Process. Syst.}, volume = {14}, number = {5}, pages = {1063--1067}, year = {2018}, url = {http://www.jips-k.org/q.jips?cp=pp\&pn=592}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/JeongP18b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jips/JeongP18c, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Artificial Intelligence for the Fourth Industrial Revolution}, journal = {J. Inf. Process. Syst.}, volume = {14}, number = {6}, pages = {1301--1306}, year = {2018}, url = {http://www.jips-k.org/q.jips?cp=pp\&pn=608}, timestamp = {Fri, 17 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jips/JeongP18c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKJKPPLPALKYY18, author = {Chulbum Kim and Doo{-}Hyun Kim and Woopyo Jeong and Hyun{-}Jin Kim and Il{-}Han Park and Hyun Wook Park and Jong{-}Hoon Lee and Jiyoon Park and Yang{-}Lo Ahn and Ji Young Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sanggi Hong and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 512-Gb 3-b/Cell 64-Stacked {WL} 3-D-NAND Flash Memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {1}, pages = {124--133}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2017.2731813}, doi = {10.1109/JSSC.2017.2731813}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKJKPPLPALKYY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LimLPJC18, author = {Younghyun Lim and Jeonghyun Lee and Suneui Park and Yongwoo Jo and Jaehyouk Choi}, title = {An External Capacitorless Low-Dropout Regulator With High {PSR} at All Frequencies From 10 kHz to 1 GHz Using an Adaptive Supply-Ripple Cancellation Technique}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {9}, pages = {2675--2685}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2841984}, doi = {10.1109/JSSC.2018.2841984}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LimLPJC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/JeonRPL18, author = {Yuyong Jeon and Ilkyeun Ra and Youngjin Park and Sangmin Lee}, title = {Machine Learning Optimization of Parameters for Noise Estimation}, journal = {J. Univers. Comput. Sci.}, volume = {24}, number = {9}, pages = {1271--1281}, year = {2018}, url = {http://www.jucs.org/jucs\_24\_9/machine\_learning\_optimization\_of}, timestamp = {Wed, 17 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jucs/JeonRPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/AhnPF18, author = {Jae{-}Hyun Ahn and Young{-}Je Park and Hajime Fukushima}, title = {Comparison of Aerosol Reflectance Correction Schemes Using Two Near-Infrared Wavelengths for Ocean Color Data Processing}, journal = {Remote. Sens.}, volume = {10}, number = {11}, pages = {1791}, year = {2018}, url = {https://doi.org/10.3390/rs10111791}, doi = {10.3390/RS10111791}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/AhnPF18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/LeeJKLP18, author = {Jong{-}Hwan Lee and Jeungmin Joo and Kichul Kim and Jung{-}Hoon Lee and Young{-}Ju Park}, title = {Practical Consideration Factors to Design Array Configuration of Direction Finding System for Airborne Signal Intelligence}, journal = {Secur. Commun. Networks}, volume = {2018}, pages = {9185760:1--9185760:9}, year = {2018}, url = {https://doi.org/10.1155/2018/9185760}, doi = {10.1155/2018/9185760}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/LeeJKLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaeLSJSKLLLOP18, author = {Nam Ho Bae and Sun Young Lim and Younseong Song and Soon Woo Jeong and Seol Yi Shin and Yong Tae Kim and Tae{-}Jae Lee and Kyoung G. Lee and Seok Jae Lee and Yong{-}Jun Oh and Yoo Min Park}, title = {A Disposable and Multi-Chamber Film-Based {PCR} Chip for Detection of Foodborne Pathogen}, journal = {Sensors}, volume = {18}, number = {9}, pages = {3158}, year = {2018}, url = {https://doi.org/10.3390/s18093158}, doi = {10.3390/S18093158}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BaeLSJSKLLLOP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkHKNCC18, author = {Soyoung Park and Homin Han and ByeongSu Kim and Jun{-}Ho Noh and Jeonghee Chi and Mi{-}Jung Choi}, title = {Real-Time Traffic Risk Detection Model Using Smart Mobile Device}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3686}, year = {2018}, url = {https://doi.org/10.3390/s18113686}, doi = {10.3390/S18113686}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkHKNCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJOCPSK18, author = {Chan Sam Park and Jiyun Jeon and Byungjoo Oh and Hee Young Chae and Kyeonghwan Park and Hungsun Son and Jae Joon Kim}, title = {A Portable Phase-Domain Magnetic Induction Tomography Transceiver with Phase-Band Auto-Tracking and Frequency-Sweep Capabilities}, journal = {Sensors}, volume = {18}, number = {11}, pages = {3816}, year = {2018}, url = {https://doi.org/10.3390/s18113816}, doi = {10.3390/S18113816}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ParkJOCPSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkKLJKSH18, author = {Rowoon Park and Hyesu Kim and Saifullah Lone and Sangheon Jeon and Young{-}Woo Kwon and Bo Sung Shin and Suck Won Hong}, title = {One-Step Laser Patterned Highly Uniform Reduced Graphene Oxide Thin Films for Circuit-Enabled Tattoo and Flexible Humidity Sensor Application}, journal = {Sensors}, volume = {18}, number = {6}, pages = {1857}, year = {2018}, url = {https://doi.org/10.3390/s18061857}, doi = {10.3390/S18061857}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkKLJKSH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/HaoPSKJPS18, author = {Fei Hao and Doo{-}Soon Park and Dae{-}Soo Sim and Min Jeong Kim and Young{-}Sik Jeong and Jong Hyuk Park and Hyung{-}Seok Seo}, title = {An efficient approach to understanding social evolution of location-focused online communities in location-based services}, journal = {Soft Comput.}, volume = {22}, number = {13}, pages = {4169--4174}, year = {2018}, url = {https://doi.org/10.1007/s00500-017-2627-2}, doi = {10.1007/S00500-017-2627-2}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/HaoPSKJPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/KimPCJKJ18, author = {Jeong{-}Eun Kim and Kinam Park and Jeongmin Chae and Hong{-}Jun Jang and Byoung Wook Kim and Soon{-}Young Jung}, title = {Automatic scoring system for short descriptive answer written in Korean using lexico-semantic pattern}, journal = {Soft Comput.}, volume = {22}, number = {13}, pages = {4241--4249}, year = {2018}, url = {https://doi.org/10.1007/s00500-017-2772-7}, doi = {10.1007/S00500-017-2772-7}, timestamp = {Tue, 01 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/soco/KimPCJKJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KangKLCP18, author = {Jeon Seong Kang and Chan Sik Kim and Young{-}Woo Lee and Se Woon Cho and Kang Ryoung Park}, title = {Age Estimation Robust to Optical and Motion Blurring by Deep Residual {CNN}}, journal = {Symmetry}, volume = {10}, number = {4}, pages = {108}, year = {2018}, url = {https://doi.org/10.3390/sym10040108}, doi = {10.3390/SYM10040108}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/KangKLCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeePKLKHPCLSJW18, author = {Jae{-}Young Lee and Sung Ik Park and Sunhyoung Kwon and Bo{-}Mi Lim and Heung Mook Kim and Namho Hur and Anthony Pesin and Jean{-}Claude Chevet and Joan Llach and Alan Stein and Sungho Jeon and Yiyan Wu}, title = {Efficient Transmission of Multiple Broadcasting Services Using {LDM} and {SHVC}}, journal = {{IEEE} Trans. Broadcast.}, volume = {64}, number = {2}, pages = {177--187}, year = {2018}, url = {https://doi.org/10.1109/TBC.2017.2755264}, doi = {10.1109/TBC.2017.2755264}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LeePKLKHPCLSJW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/ParkLLKSKHK18, author = {Sung Ik Park and Jae{-}Young Lee and Bo{-}Mi Lim and Sunhyoung Kwon and Jae{-}Hyun Seo and Heung Mook Kim and Namho Hur and Jeongchang Kim}, title = {Field Comparison Tests of {LDM} and {TDM} in {ATSC} 3.0}, journal = {{IEEE} Trans. Broadcast.}, volume = {64}, number = {3}, pages = {637--647}, year = {2018}, url = {https://doi.org/10.1109/TBC.2017.2755375}, doi = {10.1109/TBC.2017.2755375}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/ParkLLKSKHK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/HwangPSJ18, author = {Young{-}Ha Hwang and Jun{-}Eun Park and Yoonho Song and Deog{-}Kyoon Jeong}, title = {A 20 k-to-100kS/s Sub-{\(\mathrm{\mu}\)}W 9.5b-ENOB Asynchronous {SAR} {ADC} for Energy-Harvesting Body Sensor Node SoCs in 0.18-{\(\mathrm{\mu}\)}m {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {65-II}, number = {12}, pages = {1814--1818}, year = {2018}, url = {https://doi.org/10.1109/TCSII.2018.2871247}, doi = {10.1109/TCSII.2018.2871247}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/HwangPSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/LimJPL18, author = {JongBeom Lim and Young{-}Sik Jeong and Doo{-}Soon Park and HwaMin Lee}, title = {An efficient distributed mutual exclusion algorithm for intersection traffic control}, journal = {J. Supercomput.}, volume = {74}, number = {3}, pages = {1090--1107}, year = {2018}, url = {https://doi.org/10.1007/s11227-016-1799-3}, doi = {10.1007/S11227-016-1799-3}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/LimJPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ParkPC18, author = {Je{-}Ho Park and Young Bom Park and Soo{-}Kyung Choi}, title = {Representation and automatic generation of state-transition mapping tree}, journal = {J. Supercomput.}, volume = {74}, number = {8}, pages = {3855--3874}, year = {2018}, url = {https://doi.org/10.1007/s11227-018-2393-7}, doi = {10.1007/S11227-018-2393-7}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ParkPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KwonKLMCBYLKKPK18, author = {Soonho Kwon and Daeoh Kim and Jihye Lee and Sangmi Moon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Cheolsung Kim and Intae Hwang}, title = {3D Localization for Launch Vehicle Using Virtual {TOA} and {AOA} of Ground Stations}, journal = {Wirel. Pers. Commun.}, volume = {102}, number = {1}, pages = {507--526}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5855-6}, doi = {10.1007/S11277-018-5855-6}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KwonKLMCBYLKKPK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KwonKLMCBYLKKPK18a, author = {Soonho Kwon and Daeoh Kim and Jihye Lee and Sangmi Moon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Cheolsung Kim and Intae Hwang}, title = {Performance Analysis of 3D Localization for a Launch Vehicle Using TOA, AOA, and {TDOA}}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {2}, pages = {1443--1464}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5862-7}, doi = {10.1007/S11277-018-5862-7}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KwonKLMCBYLKKPK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeMKCBYLKKPKH18, author = {Jihye Lee and Sangmi Moon and Soonho Kwon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Intae Hwang}, title = {Adaptive Channel Estimation Scheme Based on {LTE} Uplink in {V2V} Environments}, journal = {Wirel. Pers. Commun.}, volume = {102}, number = {1}, pages = {383--398}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5847-6}, doi = {10.1007/S11277-018-5847-6}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/LeeMKCBYLKKPKH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/LeeMKCBYLKKPKH18a, author = {Jihye Lee and Sangmi Moon and Soonho Kwon and Myeonghun Chu and Sara Bae and Cheolwoo You and Huaping Liu and Jeong{-}Ho Kim and Dae Jin Kim and Hosung Park and Jin Young Kim and Intae Hwang}, title = {Novel Interference Cancellation of Channel Estimation Scheme Based on {LTE} in {V2V} Communications}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {3}, pages = {2109--2124}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5899-7}, doi = {10.1007/S11277-018-5899-7}, timestamp = {Fri, 26 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/LeeMKCBYLKKPKH18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/LeePLKKK18, author = {Yura Lee and Yu Rang Park and Jae Ho Lee and Ji{-}Young Kim and Jeonghoon Kim and Woo{-}Sung Kim}, title = {A Hospital-tethered Mobile Personal Health Records: Comparison of Patient Engagement Function Usage Pattern According to Version-up}, booktitle = {{AMIA} 2018, American Medical Informatics Association Annual Symposium, San Francisco, CA, November 3-7, 2018}, publisher = {{AMIA}}, year = {2018}, url = {https://knowledge.amia.org/67852-amia-1.4259402/t007-1.4262189/t007-1.4262190/2972580-1.4262734/2976150-1.4262731}, timestamp = {Wed, 17 Apr 2024 11:47:15 +0200}, biburl = {https://dblp.org/rec/conf/amia/LeePLKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/ChungWJPS18, author = {Dongil Chung and HyungSeok Won and Yoo Joo Jeong and Dasom Park and Hee Young Seon}, title = {Individual Differences and Impacts of Psychopathological Symptoms in Observational Reward Learning}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2018, Honolulu, HI, USA, November 12-15, 2018}, pages = {483--487}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/APSIPA.2018.8659772}, doi = {10.23919/APSIPA.2018.8659772}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/ChungWJPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/JiJSKPS18, author = {Youngwoo Ji and Cheonhoo Jeon and Hyunwoo Son and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, editor = {Youngsoo Shin}, title = {A 9.3 nW all-in-one bandgap voltage and current reference circuit using leakage-based {PTAT} generation and {DIBL} characteristic}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {309--310}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297334}, doi = {10.1109/ASPDAC.2018.8297334}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aspdac/JiJSKPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aspdac/LimLPC18, author = {Younghyun Lim and Jeonghyun Lee and Suneui Park and Jaehyouk Choi}, editor = {Youngsoo Shin}, title = {An external-capacitor-less high-PSR low-dropout regulator using an adaptive supply-ripple cancellation technique to the body-gate}, booktitle = {23rd Asia and South Pacific Design Automation Conference, {ASP-DAC} 2018, Jeju, Korea (South), January 22-25, 2018}, pages = {299--300}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASPDAC.2018.8297329}, doi = {10.1109/ASPDAC.2018.8297329}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aspdac/LimLPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HwangSPJ18, author = {Young{-}Ha Hwang and Yoonho Song and Jun{-}Eun Park and Deog{-}Kyoon Jeong}, title = {A 0.6-to-1V 10k-to-100kHz {BW} 11.7b-ENOB Noise-Shaping {SAR} {ADC} for IoT sensor applications in 28-nm {CMOS}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {247--248}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579290}, doi = {10.1109/ASSCC.2018.8579290}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HwangSPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/JeongPH18, author = {Hyeok{-}June Jeong and Kyeong{-}sik Park and Young{-}Guk Ha}, title = {Image Preprocessing for Efficient Training of {YOLO} Deep Learning Networks}, booktitle = {2018 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2018, Shanghai, China, January 15-17, 2018}, pages = {635--637}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/BigComp.2018.00113}, doi = {10.1109/BIGCOMP.2018.00113}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/JeongPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/OhLPJHKC18, author = {Kyo{-}Joong Oh and Dongkun Lee and Chan Yong Park and Young{-}Seob Jeong and Sawook Hong and Sungtae Kwon and Ho{-}Jin Choi}, title = {Out-of-Domain Detection Method Based on Sentence Distance for Dialogue Systems}, booktitle = {2018 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2018, Shanghai, China, January 15-17, 2018}, pages = {673--676}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/BigComp.2018.00123}, doi = {10.1109/BIGCOMP.2018.00123}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/OhLPJHKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataconf/HongKP18, author = {Sounman Hong and Youngrok Kim and Jeongin Park}, editor = {Naoki Abe and Huan Liu and Calton Pu and Xiaohua Hu and Nesreen K. Ahmed and Mu Qiao and Yang Song and Donald Kossmann and Bing Liu and Kisung Lee and Jiliang Tang and Jingrui He and Jeffrey S. Saltz}, title = {Big data and smat city planning: The case of Owl Bus in Seoul}, booktitle = {{IEEE} International Conference on Big Data {(IEEE} BigData 2018), Seattle, WA, USA, December 10-13, 2018}, pages = {4492--4500}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BigData.2018.8622632}, doi = {10.1109/BIGDATA.2018.8622632}, timestamp = {Wed, 26 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigdataconf/HongKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/0001LKLASLP18, author = {Sungho Jeon and Jaekwon Lee and Sunhyoung Kwon and Bo{-}Mi Lim and Sungjun Ahn and Yoo{-}Sang Shin and Jae{-}Young Lee and Sung Ik Park}, title = {Field Trial Results for {ATSC} 3.0 TxID Transmission and Detection in Single Frequency Network of Seoul}, booktitle = {2018 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2018, Valencia, Spain, June 6-8, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BMSB.2018.8436849}, doi = {10.1109/BMSB.2018.8436849}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/0001LKLASLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/AhnPLKLKHWZLKK18, author = {Sungjun Ahn and Sung{-}Ik Park and Jae{-}Young Lee and Sunhyoung Kwon and Bo{-}Mi Lim and Heung Mook Kim and Namho Hur and Yiyan Wu and Liang Zhang and Wei Li and Hyeongseok Kim and Jeongchang Kim}, title = {Performance Evaluation of {ATSC} 3.0 Mobile Service with {LDM/TDM} Under {TU-6} Channel}, booktitle = {2018 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2018, Valencia, Spain, June 6-8, 2018}, pages = {1--9}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BMSB.2018.8436918}, doi = {10.1109/BMSB.2018.8436918}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/AhnPLKLKHWZLKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/JungPLHKKSA18, author = {Hoiyoon Jung and Sung{-}Ik Park and Jae{-}Young Lee and Namho Hur and Heung Mook Kim and Jeongchang Kim and Michael Simon and Mark Aitken}, title = {{ATSC} 3.0 Channel Bonding Performance with Equal {PLP} Rate in Fixed Channel Environment}, booktitle = {2018 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2018, Valencia, Spain, June 6-8, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BMSB.2018.8436941}, doi = {10.1109/BMSB.2018.8436941}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/JungPLHKKSA18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LeePKLAHK0G18, author = {Jae{-}Young Lee and Sung Ik Park and Sunhyoung Kwon and Bo{-}Mi Lim and Sungjun Ahn and Namho Hur and Heung Mook Kim and Sungho Jeon and David Gomez{-}Barquero}, title = {Field Testing of {LDM} and {SHVC} Broadcast in {ATSC} 3.0}, booktitle = {2018 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2018, Valencia, Spain, June 6-8, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BMSB.2018.8436801}, doi = {10.1109/BMSB.2018.8436801}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/LeePKLAHK0G18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LimKAPLHKK18, author = {Bo{-}Mi Lim and Sunhyoung Kwon and Sungjun Ahn and Sung Ik Park and Jae{-}Young Lee and Namho Hur and Heung Mook Kim and Jeongchang Kim}, title = {Laboratory Test Analysis of TxID Impact into {ATSC} 3.0 Preamble}, booktitle = {2018 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2018, Valencia, Spain, June 6-8, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BMSB.2018.8436818}, doi = {10.1109/BMSB.2018.8436818}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/LimKAPLHKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/ParkLKLAK0LSAGW18, author = {Sung{-}Ik Park and Jae{-}Young Lee and Sunhyoung Kwon and Bo{-}Mi Lim and Sungjun Ahn and Heung Mook Kim and Sungho Jeon and Jaekwon Lee and Michael Simon and Mark Aitken and Kevin Gage and Yiyan Wu and Liang Zhang and Wei Li and Jeongchang Kim}, title = {{ATSC} 3.0 Physical Layer Modulation and Coding Performance Analysis}, booktitle = {2018 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2018, Valencia, Spain, June 6-8, 2018}, pages = {1--3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/BMSB.2018.8436876}, doi = {10.1109/BMSB.2018.8436876}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/ParkLKLAK0LSAGW18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/case/KimCJPC18, author = {Munyu Kim and Youngsu Cho and Dawoon Jeong and Dongil Park and Joono Cheong}, title = {Time-optimal control of a two-mass spring system for a prescribed continuous output path}, booktitle = {14th {IEEE} International Conference on Automation Science and Engineering, {CASE} 2018, Munich, Germany, August 20-24, 2018}, pages = {675--677}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/COASE.2018.8560595}, doi = {10.1109/COASE.2018.8560595}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/case/KimCJPC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ccnc/LeePSJ18, author = {Sangyoun Lee and Young Deok Park and Young{-}Joo Suh and Seokseong Jeon}, title = {Design and implementation of monitoring system for breathing and heart rate pattern using WiFi signals}, booktitle = {15th {IEEE} Annual Consumer Communications {\&} Networking Conference, {CCNC} 2018, Las Vegas, NV, USA, January 12-15, 2018}, pages = {1--7}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CCNC.2018.8319181}, doi = {10.1109/CCNC.2018.8319181}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ccnc/LeePSJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/ParkHOPJ18, author = {Jiheon Park and Young{-}Ha Hwang and Jonghyun Oh and Jun{-}Eun Park and Deog{-}Kyoon Jeong}, title = {Adiabatically driven touch controller analog front-end for ultra-thin displays}, booktitle = {2018 {IEEE} Custom Integrated Circuits Conference, {CICC} 2018, San Diego, CA, USA, April 8-11, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/CICC.2018.8357036}, doi = {10.1109/CICC.2018.8357036}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/ParkHOPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/compsac/MunNPKLS18, author = {Hyunsu Mun and Jeasung Na and Hanul Park and Seungtae Kim and Youngseok Lee and John A. Springer}, editor = {Sorel Reisman and Sheikh Iqbal Ahamed and Claudio Demartini and Thomas M. Conte and Ling Liu and William R. Claycomb and Motonori Nakamura and Edmundo Tovar and Stelvio Cimato and Chung{-}Horng Lung and Hiroki Takakura and Ji{-}Jiang Yang and Toyokazu Akiyama and Zhiyong Zhang and Kamrul Hasan}, title = {Analysis of the Relationship Between Server Location and {RTT}}, booktitle = {2018 {IEEE} 42nd Annual Computer Software and Applications Conference, {COMPSAC} 2018, Tokyo, Japan, 23-27 July 2018, Volume 1}, pages = {939--942}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/COMPSAC.2018.00162}, doi = {10.1109/COMPSAC.2018.00162}, timestamp = {Wed, 16 Oct 2024 08:13:41 +0200}, biburl = {https://dblp.org/rec/conf/compsac/MunNPKLS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/drc/MehtaLWJDPSDY18, author = {Karan Mehta and Yuh{-}Shiuan Liu and Jialin Wang and Hoon Jeong and Theeradetch Detchprohm and Young Jae Park and Shyh{-}Chiang Shen and Russell D. Dupuis and P. Douglas Yoder}, title = {Theory and Design of Electron Blocking Layers for {III-N} Based Laser Diodes by Numerical Simulation}, booktitle = {76th Device Research Conference, {DRC} 2018, Santa Barbara, CA, USA, June 24-27, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DRC.2018.8442243}, doi = {10.1109/DRC.2018.8442243}, timestamp = {Tue, 09 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/drc/MehtaLWJDPSDY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/YeLBRSCJP18, author = {Eunbi Ye and Seung{-}Hyun Lee and Jinhwan Baik and Seon Young Ryu and Won Hoon Song and Eue{-}Keun Choi and Chang Wook Jeong and Sung{-}Min Park}, title = {Developing a Computational Model of Renal Nerves and Surgical System for Laparoscopic Renal Denervation}, booktitle = {40th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2018, Honolulu, HI, USA, July 18-21, 2018}, pages = {4524--4527}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMBC.2018.8513120}, doi = {10.1109/EMBC.2018.8513120}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/YeLBRSCJP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emsoft/ChoiKJPE18, author = {Youngho Choi and Jaeook Kwon and Seokjae Jeong and Hansub Park and Young Ik Eom}, editor = {Bj{\"{o}}rn B. Brandenburg and Sriram Sankaranarayanan}, title = {Lightweight deadlock detection technique for embedded systems via OS-level analysis: work-in-progress}, booktitle = {Proceedings of the International Conference on Embedded Software, {EMSOFT} 2018, Torino, Italy, September 30 - October 5, 2018}, pages = {2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/EMSOFT.2018.8537214}, doi = {10.1109/EMSOFT.2018.8537214}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/emsoft/ChoiKJPE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/giots/MoonHPLJ18, author = {Youngjunn Moon and Seongjung Ha and Mirim Park and Dongjun Lee and Junho Jeong}, title = {A Methodology of NB-IoT Mobility Optimization}, booktitle = {2018 Global Internet of Things Summit, GIoTS 2018, Bilbao, Spain, June 4-7, 2018}, pages = {1--5}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/GIOTS.2018.8534564}, doi = {10.1109/GIOTS.2018.8534564}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/giots/MoonHPLJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KwakJPKLP18, author = {Chan Woong Kwak and Kwang Myung Jeon and In Young Park and Hong Kook Kim and Jeong Eun Lim and Ji Hyun Park}, title = {Single-channel speech dereverberation based on block-wise weighted prediction error and nonnegative matrix factorization}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2018, Las Vegas, NV, USA, January 12-14, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICCE.2018.8326299}, doi = {10.1109/ICCE.2018.8326299}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/KwakJPKLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/DinhLKPCP18, author = {Khanh Quoc Dinh and Jongseok Lee and Jaehwan Kim and Youngo Park and Kwangpyo Choi and Jeonghoon Park}, title = {Only-Reference Video Quality Assessment for Video Coding Using Convolutional Neural Network}, booktitle = {2018 {IEEE} International Conference on Image Processing, {ICIP} 2018, Athens, Greece, October 7-10, 2018}, pages = {2496--2500}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICIP.2018.8451262}, doi = {10.1109/ICIP.2018.8451262}, timestamp = {Tue, 22 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/DinhLKPCP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoJLYPJ18, author = {Hyunwoo Cho and Sung{-}Uk Jung and Suwon Lee and Young{-}Suk Yoon and Sangheon Park and Hyung{-}Keun Jee}, title = {ARStudio: {AR} Broadcasting System based on Multiple {RGB-D} Cameras}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1140--1143}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539673}, doi = {10.1109/ICTC.2018.8539673}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/ChoJLYPJ18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ChoPRPLP18, author = {Eunyoung Cho and Sangjoon Park and Jehyeok Rew and Changjun Park and Soowhan Lee and Youngmong Park}, title = {Towards a Sustainable Open Platform for Location Intelligence and Convergence}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {1411--1413}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539487}, doi = {10.1109/ICTC.2018.8539487}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ChoPRPLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/ParkGSBK18, author = {Jee{-}Tae Park and Young{-}Hoon Goo and Kyu{-}Seok Shim and Ui{-}Jun Baek and Myung{-}Sup Kim}, title = {Network Attack Traffic Detection for Calculating Correlation of the Flow}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2018, Jeju Island, Korea (South), October 17-19, 2018}, pages = {928--931}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICTC.2018.8539549}, doi = {10.1109/ICTC.2018.8539549}, timestamp = {Thu, 22 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/ParkGSBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimPPKCCK18, author = {Suntae Kim and Sooyong Park and YoungBeom Park and JeongAh Kim and Young{-}Hwa Cho and Jae{-}Young Choi and Chin{-}Chol Kim}, title = {A Feature based Content Analysis of Blockchain Platforms}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {791--793}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8436843}, doi = {10.1109/ICUFN.2018.8436843}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KimPPKCCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/UrunovSNP18, author = {Khamdamboy Urunov and Soo Young Shin and Jeong{-}Il Namgung and Soo{-}Hyun Park}, title = {High-Level Architectural Design of Management System for the Internet of Underwater Things}, booktitle = {Tenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2018, Prague, Czech Republic, July 3-6, 2018}, pages = {326--331}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ICUFN.2018.8437002}, doi = {10.1109/ICUFN.2018.8437002}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/UrunovSNP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ifip11-10/SongLYPKC18, author = {Joo{-}Yeop Song and Woomyo Lee and Jeong{-}Han Yun and Hyunjae Park and Sin{-}Kyu Kim and Young{-}June Choi}, editor = {Jason Staggs and Sujeet Shenoi}, title = {Generating Abnormal Industrial Control Network Traffic for Intrusion Detection System Testing}, booktitle = {Critical Infrastructure Protection {XII} - 12th {IFIP} {WG} 11.10 International Conference, {ICCIP} 2018, Arlington, VA, USA, March 12-14, 2018, Revised Selected Papers}, series = {{IFIP} Advances in Information and Communication Technology}, volume = {542}, pages = {265--281}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-04537-1\_14}, doi = {10.1007/978-3-030-04537-1\_14}, timestamp = {Fri, 21 Dec 2018 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/ifip11-10/SongLYPKC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/JeongPSKY18, author = {Eunseon Jeong and Junyoung Park and Byeonggeun Son and Myoungsu Kim and Kangbin Yim}, editor = {Leonard Barolli and Fatos Xhafa and Nadeem Javaid and Tomoya Enokido}, title = {Study on Signature Verification Process for the Firmware of an Android Platform}, booktitle = {Innovative Mobile and Internet Services in Ubiquitous Computing - Proceedings of the 12th International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, IMIS-2018, Matsue, Japan, July 4-6, 2018}, series = {Advances in Intelligent Systems and Computing}, volume = {773}, pages = {540--545}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-319-93554-6\_52}, doi = {10.1007/978-3-319-93554-6\_52}, timestamp = {Mon, 11 Jun 2018 16:04:18 +0200}, biburl = {https://dblp.org/rec/conf/imis/JeongPSKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkLJKKLCLMCS18, author = {Daegil Park and Yeongjun Lee and Kwangyik Jung and Hyeong{-}Joo Kang and Hyeonseung Ki and Jeong{-}Woo Lee and Young{-}Ho Choi and Ji{-}Hong Li and Hyun Myung and Hyun{-}Taek Choi and Jin{-}Ho Suh}, title = {Autonomous Underwater Vehicle Navigation in Structured Environment}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {5039}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8594429}, doi = {10.1109/IROS.2018.8594429}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkLJKKLCLMCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/YangCKKPJKMKRSN18, author = {Sang Yul Yang and Kyeong Ho Cho and Youngeun Kim and Kihyeon Kim and Jae Hyeong Park and Hosang Jung and Jeong U. Ko and Hyungpil Moon and Ja Choon Koo and Hugo Rodrigue and Ji Won Suk and Jaedo Nam and Hyouk Ryeol Choi}, title = {Soft Fabric Actuator for Robotic Applications}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {5451--5456}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8594275}, doi = {10.1109/IROS.2018.8594275}, timestamp = {Thu, 10 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iros/YangCKKPJKMKRSN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/JiKKLNJSKHCSPCD18, author = {Younggeun Ji and Jeonghoon Kim and Jungin Kim and Miji Lee and Jaeheon Noh and Taeyoung Jeong and Juhyeon Shin and Junho Kim and Young Heo and Ung Cho and Hyun{-}Chul Sagong and Junekyun Park and Yeonsik Choo and Gilhwan Do and Hoyoung Kang and Eunkyeong Choi and Dongyoon Sun and Changki Kang and Sangchul Shin and Sangwoo Pae}, title = {Reliability characterization of advanced {CMOS} image sensor {(CIS)} with 3D stack and in-pixel {DTI}}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {3}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353570}, doi = {10.1109/IRPS.2018.8353570}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/irps/JiKKLNJSKHCSPCD18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/irps/SagongKCYSHJCPS18, author = {Hyun{-}Chul Sagong and Hyunjin Kim and Seungjin Choo and Sungyoung Yoon and Hyewon Shim and Sangsu Ha and Tae{-}Young Jeong and Minhyeok Choe and Junekyun Park and Sangchul Shin and Sangwoo Pae}, title = {Effects of Far-BEOL anneal on the {WLR} and product reliability characterization of FinFET process technology}, booktitle = {{IEEE} International Reliability Physics Symposium, {IRPS} 2018, Burlingame, CA, USA, March 11-15, 2018}, pages = {6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IRPS.2018.8353649}, doi = {10.1109/IRPS.2018.8353649}, timestamp = {Tue, 22 Jan 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/irps/SagongKCYSHJCPS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKLLKPJPBCYCL18, author = {Jin{-}Hee Cho and Jihwan Kim and Wooyoung Lee and Dong{-}Uk Lee and Tae{-}Kyun Kim and Heat Bit Park and Chunseok Jeong and Myeong{-}Jae Park and Seung Geun Baek and Seokwoo Choi and Byung Kuk Yoon and Young Jae Choi and Kyo Yun Lee and Daeyong Shim and Jonghoon Oh and Jinkook Kim and Seok{-}Hee Lee}, title = {A 1.2V 64Gb 341GB/S {HBM2} stacked {DRAM} with spiral point-to-point {TSV} structure and improved bank group data control}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {208--210}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310257}, doi = {10.1109/ISSCC.2018.8310257}, timestamp = {Wed, 18 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKLLKPJPBCYCL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCPJKOOPKLJKY18, author = {Yitae Kim and Wonchul Choi and Donghyuk Park and Heegeun Jeoung and Bumsuk Kim and Youngsun Oh and Sunghoon Oh and Byungjun Park and Euiyeol Kim and Yunki Lee and Taesub Jung and Yongwoon Kim and Sukki Yoon and Seokyong Hong and Jesuk Lee and Sangil Jung and Changrok Moon and Yongin Park and Duckhyung Lee and Duckhyun Chang}, title = {A 1/2.8-inch 24Mpixel {CMOS} image sensor with 0.9{\(\mu\)}m unit pixels separated by full-depth deep-trench isolation}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {84--86}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310195}, doi = {10.1109/ISSCC.2018.8310195}, timestamp = {Thu, 20 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCPJKOOPKLJKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KoKWSHHKCLPLC18, author = {Min{-}Woo Ko and Kiduk Kim and Young{-}Jin Woo and Se{-}Un Shin and Hyun{-}Ki Han and Yeunhee Huh and Gyeong{-}Gu Kang and Jeong{-}Hyun Cho and Sang{-}Jin Lim and Se{-}Hong Park and Hyung{-}Min Lee and Gyu{-}Hyeong Cho}, title = {A 97{\%} high-efficiency 6{\(\mu\)}s fast-recovery-time buck-based step-up/down converter with embedded 1/2 and 3/2 charge-pumps for li-lon battery management}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {428--430}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310367}, doi = {10.1109/ISSCC.2018.8310367}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KoKWSHHKCLPLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKJJKLKPLKLL18, author = {Seungjae Lee and Chulbum Kim and Minsu Kim and Sung{-}Min Joe and Joonsuc Jang and Seungbum Kim and Kangbin Lee and Jisu Kim and Jiyoon Park and Hanjun Lee and Min{-}Seok Kim and Seonyong Lee and SeonGeon Lee and Jinbae Bang and Dongjin Shin and Hwajun Jang and Deokwoo Lee and Nahyun Kim and Jonghoo Jo and Jonghoon Park and Sohyun Park and Youngsik Rho and Yongha Park and Hojoon Kim and Cheon An Lee and Chungho Yu and Young{-}Sun Min and Moosung Kim and Kyungmin Kim and Seunghyun Moon and Hyun{-}Jin Kim and Youngdon Choi and YoungHwan Ryu and Jinwon Choi and Minyeong Lee and Jungkwan Kim and Gyo Soo Choo and Jeong{-}Don Lim and Dae{-}Seok Byeon and Ki{-}Whan Song and Ki{-}Tae Park and Kyehyun Kyung}, title = {A 1Tb 4b/cell 64-stacked-WL 3D {NAND} flash memory with 12MB/s program throughput}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {340--342}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310323}, doi = {10.1109/ISSCC.2018.8310323}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKJJKLKPLKLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/NomiyamaYCKHJBL18, author = {Takahiro Nomiyama and Yong{-}Sik Youn and Young{-}Hwan Choo and Dong{-}Su Kim and Jae{-}Yeol Han and Jun{-}Hee Jung and Jongbeom Baek and Sung{-}Jun Lee and Euiyoung Park and Jeong{-}Hyun Choi and Ji{-}Seon Paek and Jongwoo Lee and Thomas Byunghak Cho and Inyup Kang}, title = {A 2TX supply modulator for envelope-tracking power amplifier supporting intra- and inter-band uplink carrier aggregation and power class-2 high-power user equipment}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {434--436}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310370}, doi = {10.1109/ISSCC.2018.8310370}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/NomiyamaYCKHJBL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mab/ParkerJYL18, author = {Callum Parker and Waldemar Jenek and Soojeong Yoo and Youngho Lee}, editor = {Ava Fatah gen. Schieck and Dave Colangelo and Zhigang Chang}, title = {Augmenting Cities and Architecture with Immersive Technologies}, booktitle = {Proceedings of the 4th Media Architecture Biennale Conference, {MAB} 2018, Beijing, China, November 13-16, 2018}, pages = {174--177}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3284389.3303997}, doi = {10.1145/3284389.3303997}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mab/ParkerJYL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/noms/ParkLGBK18, author = {Jee{-}Tae Park and Sung{-}Ho Lee and Young{-}Hoon Goo and Ui{-}Jun Baek and Myung{-}Sup Kim}, title = {Network attack traffic detection using seed based sequential grouping model}, booktitle = {2018 {IEEE/IFIP} Network Operations and Management Symposium, {NOMS} 2018, Taipei, Taiwan, April 23-27, 2018}, pages = {1--4}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NOMS.2018.8406305}, doi = {10.1109/NOMS.2018.8406305}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/noms/ParkLGBK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nvmsa/KimMSPN18, author = {J. Hyun Kim and Young Je Moon and Hyunsub Song and Jay H. Park and Sam H. Noh}, title = {{\(\mathrm{\mu}\)}Snap: Embracing Traditional Programming Models for Persistent Memory through {OS} Support}, booktitle = {{IEEE} 7th Non-Volatile Memory Systems and Applications Symposium, {NVMSA} 2018, Hakodate, Sapporo, Japan, August 28-31, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/NVMSA.2018.00008}, doi = {10.1109/NVMSA.2018.00008}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/nvmsa/KimMSPN18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pldi/KangKSLPSKCCHY18, author = {Jeehoon Kang and Yoonseung Kim and Youngju Song and Juneyoung Lee and Sanghoon Park and Mark Dongyeon Shin and Yonghyun Kim and Sungkeun Cho and Joonwon Choi and Chung{-}Kil Hur and Kwangkeun Yi}, editor = {Jeffrey S. Foster and Dan Grossman}, title = {Crellvm: verified credible compilation for {LLVM}}, booktitle = {Proceedings of the 39th {ACM} {SIGPLAN} Conference on Programming Language Design and Implementation, {PLDI} 2018, Philadelphia, PA, USA, June 18-22, 2018}, pages = {631--645}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3192366.3192377}, doi = {10.1145/3192366.3192377}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/pldi/KangKSLPSKCCHY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/JungCCHPH18, author = {Jaemin Jung and Jongmoo Choi and Seong{-}je Cho and Sangchul Han and Minkyu Park and Young{-}Sup Hwang}, editor = {Chih{-}Cheng Hung and Lamjed Ben Said}, title = {Android malware detection using convolutional neural networks and data section images}, booktitle = {Proceedings of the 2018 Conference on Research in Adaptive and Convergent Systems, {RACS} 2018, Honolulu, HI, USA, October 09-12, 2018}, pages = {149--153}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3264746.3264780}, doi = {10.1145/3264746.3264780}, timestamp = {Wed, 21 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/racs/JungCCHPH18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/BaekLKMCHAKSKKK18, author = {Jin{-}Hyeok Baek and Chang{-}Kyo Lee and Kiho Kim and Daesik Moon and Gil{-}Hoon Cha and Jin{-}Seok Heo and Min{-}Su Ahn and Dong{-}Ju Kim and Jae{-}Joon Song and Seokhong Kwon and Jongmin Kim and Kyung{-}Soo Kim and Jinoh Ahn and Jeong{-}Sik Nam and Byung{-}Cheol Kim and Jeong{-}Hyeon Cho and Jeonghoon Oh and Seung{-}Jun Bae and Indal Song and Seok{-}Hun Hyun and Ilgweon Kim and Hyuck{-}Joon Kwon and Young{-}Soo Sohn and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A sub-0.85V, 6.4GBP/S/Pin TX-Interleaved Transceiver with Fast Wake-Up Time Using 2-Step Charging Control and VOHCalibration in 20NM {DRAM} Process}, booktitle = {2018 {IEEE} Symposium on {VLSI} Circuits, Honolulu, HI, USA, June 18-22, 2018}, pages = {147--148}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/VLSIC.2018.8502299}, doi = {10.1109/VLSIC.2018.8502299}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/BaekLKMCHAKSKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1809-06535, author = {Kyudong Park and Jiyoung Kwahk and Sung H. Han and Minseok Song and Dong Gu Choi and Hyeji Jang and Dohyeon Kim and Young Deok Won and In Sub Jeong}, title = {Modelling the Intrusive feelings of advanced driver assistance systems based on vehicle activity log data: a case study for the lane keeping assistance system}, journal = {CoRR}, volume = {abs/1809.06535}, year = {2018}, url = {http://arxiv.org/abs/1809.06535}, eprinttype = {arXiv}, eprint = {1809.06535}, timestamp = {Fri, 05 Oct 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1809-06535.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcsb/ParkYRLLYP17, author = {Chihyun Park and So Jeong Yun and Sung Jin Ryu and Soyoung Lee and Young Sam Lee and Youngmi Yoon and Sang{-}Chul Park}, title = {Systematic identification of an integrative network module during senescence from time-series gene expression}, journal = {{BMC} Syst. Biol.}, volume = {11}, number = {1}, pages = {36:1--36:13}, year = {2017}, url = {https://doi.org/10.1186/s12918-017-0417-1}, doi = {10.1186/S12918-017-0417-1}, timestamp = {Tue, 05 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcsb/ParkYRLLYP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cad/KimJPTLM17, author = {Byungchul Kim and Young{-}Jun Jeon and Sangjin Park and Hans Teijgeler and David Leal and Duhwan Mun}, title = {Toward standardized exchange of plant 3D {CAD} models using {ISO} 15926}, journal = {Comput. Aided Des.}, volume = {83}, pages = {80--95}, year = {2017}, url = {https://doi.org/10.1016/j.cad.2016.10.005}, doi = {10.1016/J.CAD.2016.10.005}, timestamp = {Thu, 13 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cad/KimJPTLM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cars/ParkKKKSJMKPK17, author = {Jaeyeong Park and Jun{-}Young Kim and Hyun Deok Kim and Young Cheol Kim and Anna Seo and Minkyu Je and Jong Uk Mun and Bia Kim and Il Hyung Park and Shin{-}Yoon Kim}, title = {Analysis of acetabular orientation and femoral anteversion using images of three-dimensional reconstructed bone models}, journal = {Int. J. Comput. Assist. Radiol. Surg.}, volume = {12}, number = {5}, pages = {855--864}, year = {2017}, url = {https://doi.org/10.1007/s11548-016-1514-0}, doi = {10.1007/S11548-016-1514-0}, timestamp = {Thu, 11 Jul 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cars/ParkKKKSJMKPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/SongLKSHPLS17, author = {Youngchan Song and Hyunna Lee and Ho Chul Kang and Juneseuk Shin and Gil{-}Sun Hong and Seong Ho Park and Jeongjin Lee and Yeong{-}Gil Shin}, title = {Interactive registration between supine and prone scans in computed tomography colonography using band-height images}, journal = {Comput. Biol. Medicine}, volume = {80}, pages = {124--136}, year = {2017}, url = {https://doi.org/10.1016/j.compbiomed.2016.11.020}, doi = {10.1016/J.COMPBIOMED.2016.11.020}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/SongLKSHPLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/JungPLCJ17, author = {YoungHee Jung and Kinam Park and Taemin Lee and Jeongmin Chae and Soonyoung Jung}, title = {A corpus-based approach to classifying emotions using Korean linguistic features}, journal = {Clust. Comput.}, volume = {20}, number = {1}, pages = {583--595}, year = {2017}, url = {https://doi.org/10.1007/s10586-017-0777-8}, doi = {10.1007/S10586-017-0777-8}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/JungPLCJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/SharmaSJP17, author = {Pradip Kumar Sharma and Saurabh Singh and Young{-}Sik Jeong and Jong Hyuk Park}, title = {DistBlockNet: {A} Distributed Blockchains-Based Secure {SDN} Architecture for IoT Networks}, journal = {{IEEE} Commun. Mag.}, volume = {55}, number = {9}, pages = {78--85}, year = {2017}, url = {https://doi.org/10.1109/MCOM.2017.1700041}, doi = {10.1109/MCOM.2017.1700041}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/SharmaSJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmam/JeonPS17, author = {Youngmok Jeon and Eun{-}Jae Park and Dong{-}Wook Shin}, title = {Hybrid Spectral Difference Methods for an Elliptic Equation}, journal = {Comput. Methods Appl. Math.}, volume = {17}, number = {2}, pages = {253}, year = {2017}, url = {https://doi.org/10.1515/cmam-2016-0043}, doi = {10.1515/CMAM-2016-0043}, timestamp = {Wed, 10 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmam/JeonPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeemm/LiJSP17, author = {Yan Li and Young{-}Sik Jeong and Byeong{-}Seok Shin and Jong Hyuk Park}, title = {Crowdsensing Multimedia Data: Security and Privacy Issues}, journal = {{IEEE} Multim.}, volume = {24}, number = {4}, pages = {58--66}, year = {2017}, url = {https://doi.org/10.1109/MMUL.2017.4031306}, doi = {10.1109/MMUL.2017.4031306}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeemm/LiJSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/JeonP17, author = {Young Tae Jeon and Joung{-}Hu Park}, title = {Frequency-PWM hybrid controller of single-switch forward-flyback converter for DC-link regulation of 27-level cascaded H-bridge inverter}, journal = {{IEICE} Electron. Express}, volume = {14}, number = {13}, pages = {20170492}, year = {2017}, url = {https://doi.org/10.1587/elex.14.20170492}, doi = {10.1587/ELEX.14.20170492}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/JeonP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HaoPPYJP17, author = {Fei Hao and Zheng Pei and Doo{-}Soon Park and Laurence T. Yang and Young{-}Sik Jeong and Jong Hyuk Park}, title = {Iceberg Clique queries in large graphs}, journal = {Neurocomputing}, volume = {256}, pages = {101--110}, year = {2017}, url = {https://doi.org/10.1016/j.neucom.2015.12.142}, doi = {10.1016/J.NEUCOM.2015.12.142}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/HaoPPYJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/imst/KimJK17, author = {Youngdo Kim and Hyeonseok S. Jeong and Yong{-}Duk Kim}, title = {Comparison of regional cerebral blood flow in Parkinson's disease with depression and major depression}, journal = {Int. J. Imaging Syst. Technol.}, volume = {27}, number = {3}, pages = {209--215}, year = {2017}, url = {https://doi.org/10.1002/ima.22226}, doi = {10.1002/IMA.22226}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/imst/KimJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/RathoreSLJP17, author = {Shailendra Rathore and Pradip Kumar Sharma and Vincenzo Loia and Young{-}Sik Jeong and Jong Hyuk Park}, title = {Social network security: Issues, challenges, threats, and solutions}, journal = {Inf. Sci.}, volume = {421}, pages = {43--69}, year = {2017}, url = {https://doi.org/10.1016/j.ins.2017.08.063}, doi = {10.1016/J.INS.2017.08.063}, timestamp = {Sat, 05 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/RathoreSLJP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcheminf/ChoiCPKCLGKHCCL17, author = {Hwanho Choi and Sun Young Cho and Ho Jeong Pak and Youngsoo Kim and Jung{-}Yun Choi and Yoon Jae Lee and Byung Hee Gong and Yeon Seok Kang and Taehoon Han and Geunbae Choi and Yeeun Cho and Soomin Lee and Dekwoo Ryoo and Hwangseo Park}, title = {{NPCARE:} database of natural products and fractional extracts for cancer regulation}, journal = {J. Cheminformatics}, volume = {9}, number = {1}, pages = {2:1--2:9}, year = {2017}, url = {https://doi.org/10.1186/s13321-016-0188-5}, doi = {10.1186/S13321-016-0188-5}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcheminf/ChoiCPKCLGKHCCL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jiis/SongGPPK17, author = {Hyun{-}Je Song and Jun{-}Ho Go and Seong{-}Bae Park and Se{-}Young Park and Kweon Yang Kim}, title = {A just-in-time keyword extraction from meeting transcripts using temporal and participant information}, journal = {J. Intell. Inf. Syst.}, volume = {48}, number = {1}, pages = {117--140}, year = {2017}, url = {https://doi.org/10.1007/s10844-015-0391-2}, doi = {10.1007/S10844-015-0391-2}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jiis/SongGPPK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/ParkKGKK17, author = {Juyoung Park and Mingon Kang and Jean Gao and Younghoon Kim and Kyungtae Kang}, title = {Cascade Classification with Adaptive Feature Extraction for Arrhythmia Detection}, journal = {J. Medical Syst.}, volume = {41}, number = {1}, pages = {11:1--11:12}, year = {2017}, url = {https://doi.org/10.1007/s10916-016-0660-9}, doi = {10.1007/S10916-016-0660-9}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/ParkKGKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jolpe/SongCPJK17, author = {Young Eun Song and Hyeon Jun Cho and Hyerin Park and Byong{-}Hun Jeon and Jung Rae Kim}, title = {Customized Power Management System Using a Capacitor Array and {DC/DC} Booster for Flat-Plate Microbial Fuel Cells}, journal = {J. Low Power Electron.}, volume = {13}, number = {1}, pages = {60--66}, year = {2017}, url = {https://doi.org/10.1166/jolpe.2017.1471}, doi = {10.1166/JOLPE.2017.1471}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jolpe/SongCPJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/ParkKPJ17, author = {Boo{-}Kwang Park and Hyun{-}Woo Kim and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Adaptive power management scheme using many-core for maximizing network topology lifetime based on ubiquitous computing}, journal = {J. Syst. Archit.}, volume = {77}, pages = {63--71}, year = {2017}, url = {https://doi.org/10.1016/j.sysarc.2017.02.002}, doi = {10.1016/J.SYSARC.2017.02.002}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/ParkKPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangJKKCKRKLKLY17, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Cheon An Lee and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked {WL} Layers}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {210--217}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2604297}, doi = {10.1109/JSSC.2016.2604297}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangJKKCKRKLKLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnYOOSPSJSRYJ17, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Hyunui Lee and Seok{-}Yong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Yong{-}Cheol Bae and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 1.2 {V} 20 nm 307 GB/s {HBM} {DRAM} With At-Speed Wafer-Level {IO} Test Scheme and Adaptive Refresh Considering Temperature Distribution}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {250--260}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2602221}, doi = {10.1109/JSSC.2016.2602221}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnYOOSPSJSRYJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/HanLKPLYHLJJ17, author = {Seung Uk Han and Youngyoun Lee and Yongdoo Kim and Jemin Park and Junhee Lim and Satoru Yamada and Hyeongsun Hong and Kyupil Lee and Gyoyoung Jin and Eunseung Jung}, title = {The improvement of {HEIP} immunity using {STI} engineering at {DRAM}}, journal = {Microelectron. Reliab.}, volume = {76-77}, pages = {164--167}, year = {2017}, url = {https://doi.org/10.1016/j.microrel.2017.07.039}, doi = {10.1016/J.MICROREL.2017.07.039}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/HanLKPLYHLJJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/LeePKJ17, author = {Rae{-}Chun Lee and Koo{-}Rock Park and Jin{-}Mook Kim and Hwa{-}Young Jeong}, title = {A study on the impact of the software developer's social exclusion on the quality information system}, journal = {Multim. Tools Appl.}, volume = {76}, number = {4}, pages = {6003--6014}, year = {2017}, url = {https://doi.org/10.1007/s11042-015-2842-8}, doi = {10.1007/S11042-015-2842-8}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/LeePKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkPKJ17, author = {Young{-}Soo Park and Koo{-}Rock Park and Jin{-}Mook Kim and Hwa{-}Young Jeong}, title = {Fast Fourier transform benchmark on {X86} Xeon system for multimedia data processing}, journal = {Multim. Tools Appl.}, volume = {76}, number = {4}, pages = {6015--6030}, year = {2017}, url = {https://doi.org/10.1007/s11042-015-2843-7}, doi = {10.1007/S11042-015-2843-7}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/ParkPKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/DudasCBTBFPLAAB17, author = {Gytis Dudas and Luiz Max Carvalho and Trevor Bedford and Andrew J. Tatem and Guy Baele and Nuno R. Faria and Daniel J. Park and Jason T. Ladner and Armando Arias and Danny Asogun and Filip Bielejec and Sarah L. Caddy and Matthew Cotten and Jonathan D'Ambrozio and Simon Dellicour and Antonino Di Caro and Joseph W. Diclaro and Sophie Duraffour and Michael J. Elmore and Lawrence S. Fakoli and Ousmane Faye and Merle L. Gilbert and Sahr M. Gevao and Stephen Gire and Adrianne Gladden{-}Young and Andreas Gnirke and Augustine Goba and Donald S. Grant and Bart L. Haagmans and Julian A. Hiscox and Umaru Jah and Jeffrey R. Kugelman and Di Liu and Jia Lu and Christine M. Malboeuf and Suzanne Mate and David A. Matthews and Christian B. Matranga and Luke W. Meredith and James Qu and Joshua Quick and Suzan D. Pas and My V. T. Phan and Georgios Pollakis and Chantal B. Reusken and Mariano Sanchez{-}Lockhart and Stephen F. Schaffner and John S. Schieffelin and Rachel S. G. Sealfon and Etienne Simon{-}Loriere and Saskia L. Smits and Kilian Stoecker and Lucy Thorne and Ekaete Alice Tobin and Mohamed A. Vandi and Simon J. Watson and Kendra West and Shannon Whitmer and Michael R. Wiley and Sarah M. Winnicki and Shirlee Wohl and Roman W{\"{o}}lfel and Nathan L. Yozwiak and Kristian G. Andersen and Sylvia O. Blyden and Fatorma Bolay and Miles W. Carroll and Bernice Dahn and Boubacar Diallo and Pierre Formenty and Christophe Fraser and George F. Gao and Robert F. Garry and Ian Goodfellow and Stephan G{\"{u}}nther and Christian T. Happi and Edward C. Holmes and Brima Kargbo and Sakoba Ke{\"{\i}}ta and Paul Kellam and Marion Koopmans and Jens H. Kuhn and Nicholas J. Loman and N'Faly Magassouba and Dhamari Naidoo and Stuart T. Nichol and Tolbert Nyenswah and Gustavo F. Palacios and Oliver G. Pybus and Pardis C. Sabeti and Amadou Sall and Ute Str{\"{o}}her and Isatta Wurie and Marc A. Suchard and Philippe Lemey and Andrew Rambaut}, title = {Virus genomes reveal factors that spread and sustained the Ebola epidemic}, journal = {Nat.}, volume = {544}, number = {7650}, pages = {309--315}, year = {2017}, url = {https://doi.org/10.1038/nature22040}, doi = {10.1038/NATURE22040}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/DudasCBTBFPLAAB17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pieee/NamLCJHP17, author = {Dongkyung Nam and Jin{-}Ho Lee and Yang{-}Ho Cho and Young{-}Ju Jeong and Hyoseok Hwang and Du{-}Sik Park}, title = {Flat Panel Light-Field 3-D Display: Concept, Design, Rendering, and Calibration}, journal = {Proc. {IEEE}}, volume = {105}, number = {5}, pages = {876--891}, year = {2017}, url = {https://doi.org/10.1109/JPROC.2017.2686445}, doi = {10.1109/JPROC.2017.2686445}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pieee/NamLCJHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLNPSKLYCLYL17, author = {Min Hyung Kim and Jeongjick Lee and Kihwan Nam and In Soo Park and Myeonggu Son and Hyunchul Ko and Sangyoup Lee and Dae Sung Yoon and Woo{-}Jin Chang and Sei Young Lee and Young{-}Ro Yoon and Sang Woo Lee}, title = {Automated Dielectrophoretic Tweezers-Based Force Spectroscopy System in a Microfluidic Device}, journal = {Sensors}, volume = {17}, number = {10}, pages = {2272}, year = {2017}, url = {https://doi.org/10.3390/s17102272}, doi = {10.3390/S17102272}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimLNPSKLYCLYL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkLBKPKPW17, author = {Byung Jun Park and Seung Rag Lee and Hyun Jin Bang and Byung Yeon Kim and Jeonghun Park and Dong Guk Kim and Sungsoo Park and Young Jae Won}, title = {Image-Guided Laparoscopic Surgical Tool (IGLaST) Based on the Optical Frequency Domain Imaging {(OFDI)} to Prevent Bleeding}, journal = {Sensors}, volume = {17}, number = {4}, pages = {919}, year = {2017}, url = {https://doi.org/10.3390/s17040919}, doi = {10.3390/S17040919}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkLBKPKPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/KimHPJ17, author = {Hyun{-}Woo Kim and Jaekyung Han and Jong Hyuk Park and Young{-}Sik Jeong}, title = {DIaaS: Resource Management System for the Intra-Cloud with On-Premise Desktops}, journal = {Symmetry}, volume = {9}, number = {1}, pages = {8}, year = {2017}, url = {https://doi.org/10.3390/sym9010008}, doi = {10.3390/SYM9010008}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/symmetry/KimHPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeePKLKMAZLWK17, author = {Jae{-}Young Lee and Sung Ik Park and Sunhyoung Kwon and Bo{-}Mi Lim and Heung Mook Kim and Jon Montalban and Pablo Angueira and Liang Zhang and Wei Li and Yiyan Wu and Jeongchang Kim}, title = {Multiple Service Configurations Based on Layered Division Multiplexing}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {1}, pages = {267--274}, year = {2017}, url = {https://doi.org/10.1109/TBC.2016.2590824}, doi = {10.1109/TBC.2016.2590824}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LeePKLKMAZLWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/MyungPKLKK17, author = {Seho Myung and Sung Ik Park and Kyung{-}Joong Kim and Jae{-}Young Lee and Sunhyoung Kwon and Jeongchang Kim}, title = {Offset and Normalized Min-Sum Algorithms for {ATSC} 3.0 {LDPC} Decoder}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {4}, pages = {734--739}, year = {2017}, url = {https://doi.org/10.1109/TBC.2017.2686011}, doi = {10.1109/TBC.2017.2686011}, timestamp = {Wed, 11 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/MyungPKLKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/ParkLLKKKK17, author = {Sung Ik Park and Jae{-}Young Lee and Bo{-}Mi Lim and Youngmin Kim and Sunhyoung Kwon and Heung Mook Kim and Jeongchang Kim}, title = {Field Test Results of Layered Division Multiplexing for the Next Generation {DTV} System}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {1}, pages = {260--266}, year = {2017}, url = {https://doi.org/10.1109/TBC.2016.2576642}, doi = {10.1109/TBC.2016.2576642}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbc/ParkLLKKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/ParkLLWWKLKHZK17, author = {Sung Ik Park and Wei Li and Jae{-}Young Lee and Yiyan Wu and Xianbin Wang and Sunhyoung Kwon and Bo{-}Mi Lim and Heung Mook Kim and Namho Hur and Liang Zhang and Jeongchang Kim}, title = {{ATSC} 3.0 Transmitter Identification Signals and Applications}, journal = {{IEEE} Trans. Broadcast.}, volume = {63}, number = {1}, pages = {240--249}, year = {2017}, url = {https://doi.org/10.1109/TBC.2016.2630268}, doi = {10.1109/TBC.2016.2630268}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/ParkLLWWKLKHZK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ChoiJYPJ17, author = {Tae Hoon Choi and Hanwool Jeong and Younghwi Yang and Juhyun Park and Seong{-}Ook Jung}, title = {{SRAM} Operational Mismatch Corner Model for Efficient Circuit Design and Yield Analysis}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {64-I}, number = {8}, pages = {2063--2072}, year = {2017}, url = {https://doi.org/10.1109/TCSI.2017.2685634}, doi = {10.1109/TCSI.2017.2685634}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ChoiJYPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/ParkHJ17, author = {Jun{-}Eun Park and Young{-}Ha Hwang and Deog{-}Kyoon Jeong}, title = {A 0.4-to-1 {V} Voltage Scalable {\(\Delta\)}{\(\Sigma\)} {ADC} With Two-Step Hybrid Integrator for IoT Sensor Applications in 65-nm {LP} {CMOS}}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {64-II}, number = {12}, pages = {1417--1421}, year = {2017}, url = {https://doi.org/10.1109/TCSII.2017.2753841}, doi = {10.1109/TCSII.2017.2753841}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/ParkHJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ParkSSJY17, author = {Jong Hyuk Park and Yunsick Sung and Pradip Kumar Sharma and Young{-}Sik Jeong and Gangman Yi}, title = {Novel assessment method for accessing private data in social network security services}, journal = {J. Supercomput.}, volume = {73}, number = {7}, pages = {3307--3325}, year = {2017}, url = {https://doi.org/10.1007/s11227-017-2018-6}, doi = {10.1007/S11227-017-2018-6}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ParkSSJY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ParkJKKK17, author = {Sara Park and Jongseong Jang and Jeesu Kim and Young Soo Kim and Chulhong Kim}, title = {Real-time Triple-modal Photoacoustic, Ultrasound, and Magnetic Resonance Fusion Imaging of Humans}, journal = {{IEEE} Trans. Medical Imaging}, volume = {36}, number = {9}, pages = {1912--1921}, year = {2017}, url = {https://doi.org/10.1109/TMI.2017.2696038}, doi = {10.1109/TMI.2017.2696038}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/ParkJKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/OhJKPYJ17, author = {Tae Woo Oh and Hanwool Jeong and Kyoman Kang and Juhyun Park and Younghwi Yang and Seong{-}Ook Jung}, title = {Power-Gated 9T {SRAM} Cell for Low-Energy Operation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {25}, number = {3}, pages = {1183--1187}, year = {2017}, url = {https://doi.org/10.1109/TVLSI.2016.2623601}, doi = {10.1109/TVLSI.2016.2623601}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/OhJKPYJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vlsisp/LeeJHSP17, author = {Eun{-}Seok Lee and Young{-}Sik Jeong and Houcine Hassan and Byeong{-}Seok Shin and Jong Hyuk Park}, title = {Automatic Generation of Ortho-Photo Texture from Digital Elevation Model}, journal = {J. Signal Process. Syst.}, volume = {89}, number = {1}, pages = {73--80}, year = {2017}, url = {https://doi.org/10.1007/s11265-016-1220-8}, doi = {10.1007/S11265-016-1220-8}, timestamp = {Wed, 09 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vlsisp/LeeJHSP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/LeeGPJK17, author = {Sung{-}Ho Lee and Young{-}Hoon Goo and Jee{-}Tae Park and Se{-}Hyun Ji and Myung{-}Sup Kim}, title = {Sky-Scope : Skype application traffic identification system}, booktitle = {19th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2017, Seoul, Korea (South), September 27-29, 2017}, pages = {259--262}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/APNOMS.2017.8094123}, doi = {10.1109/APNOMS.2017.8094123}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/LeeGPJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HwangJKHPL17, author = {Seokha Hwang and Jaehwan Jung and Daesung Kim and Jeongseok Ha and In{-}Cheol Park and Youngjoo Lee}, title = {An energy-optimized (37840, 34320) symmetric {BC-BCH} decoder for healthy mobile storages}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {169--172}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240243}, doi = {10.1109/ASSCC.2017.8240243}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/asscc/HwangJKHPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/HwangPJ17, author = {Young{-}Ha Hwang and Jun{-}Eun Park and Deog{-}Kyoon Jeong}, title = {A compact 87.1-dB {DR} bandwidth-scalable delta-sigma modulator based on dynamic gain-bandwidth-boosting inverter for audio applications}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2017, Seoul, Korea (South), November 6-8, 2017}, pages = {293--296}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ASSCC.2017.8240274}, doi = {10.1109/ASSCC.2017.8240274}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asscc/HwangPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/JagvaralWPJLP17, author = {Batselem Jagvaral and Lee Wangon and Hyun{-}Kyu Park and Myungjoong Jeon and Nam{-}Gee Lee and Young{-}Tack Park}, title = {Large-scale incremental {OWL/RDFS} reasoning over fuzzy {RDF} data}, booktitle = {2017 {IEEE} International Conference on Big Data and Smart Computing, BigComp 2017, Jeju Island, South Korea, February 13-16, 2017}, pages = {269--273}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BIGCOMP.2017.7881709}, doi = {10.1109/BIGCOMP.2017.7881709}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/bigcomp/JagvaralWPJLP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataservice/JeonLPGCK17, author = {Hyeran Jeon and Kaikai Liu and Younghee Park and Jerry Gao and Gong Chen and Jim Kao}, title = {Intelligent Learning Systems Design for Self-Defense Education}, booktitle = {Third {IEEE} International Conference on Big Data Computing Service and Applications, BigDataService 2017, Redwood City, CA, USA, April 6-9, 2017}, pages = {261--264}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/BigDataService.2017.52}, doi = {10.1109/BIGDATASERVICE.2017.52}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataservice/JeonLPGCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/JeonKSKHSPLS17, author = {Sungho Jeon and Junghyun Kim and Yoo{-}Sang Shin and Sanghoon Kim and Sangjin Hahm and Young{-}Woo Suh and Sung Ik Park and Jae{-}Young Lee and Jong{-}Soo Seo}, title = {Preliminary field trial results for {DVB-T2} indoor reception in Seoul: {A} single transmitter case}, booktitle = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BMSB.2017.7986186}, doi = {10.1109/BMSB.2017.7986186}, timestamp = {Thu, 18 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/JeonKSKHSPLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LeePKLKHPCLSJW17, author = {Jae{-}Young Lee and Sung Ik Park and Sunhyoung Kwon and Bo{-}Mi Lim and Heung Mook Kim and Namho Hur and Anthony Pesin and Jean{-}Claude Chevet and Joan Llach and Alan Stein and Sungho Jeon and Yiyan Wu}, title = {Scalable {HEVC} over layered division multiplexing for the next generation terrestrial broadcasting}, booktitle = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BMSB.2017.7986176}, doi = {10.1109/BMSB.2017.7986176}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/LeePKLKHPCLSJW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LimPLKKHWK17, author = {Bo{-}Mi Lim and Sung Ik Park and Jae Young Lee and Sunhyoung Kwon and Heung Mook Kim and Namho Hur and Yiyan Wu and Jeongchang Kim}, title = {Mobile field comparison test of {LDM} and {TDM} based on {ATSC} 3.0}, booktitle = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BMSB.2017.7986180}, doi = {10.1109/BMSB.2017.7986180}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/LimPLKKHWK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/ParkLLWWKLKHZK17, author = {Sung Ik Park and Wei Li and Jae{-}Young Lee and Yiyan Wu and Xianbin Wang and Sunhyoung Kwon and Bo{-}Mi Lim and Heung Mook Kim and Namho Hur and Liang Zhang and Jeongchang Kim}, title = {Transmitter identification for {ATSC} 3.0 single frequency network}, booktitle = {2017 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2017, Cagliari, Italy, June 7-9, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/BMSB.2017.7986168}, doi = {10.1109/BMSB.2017.7986168}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/ParkLLWWKLKHZK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmvc/ParkJYK17, author = {Hyojin Park and Jisoo Jeong and Youngjoon Yoo and Nojun Kwak}, title = {Superpixel-based semantic segmentation trained by statistical process control}, booktitle = {British Machine Vision Conference 2017, {BMVC} 2017, London, UK, September 4-7, 2017}, publisher = {{BMVA} Press}, year = {2017}, url = {https://www.dropbox.com/s/844d6ysphkf2q8f/0338.pdf}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmvc/ParkJYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LimLPC17, author = {Younghyun Lim and Jeonghyun Lee and Suneui Park and Jaehyouk Choi}, title = {An extemal-capacitor-less low-dropout regulator with less than -36dB {PSRR} at all frequencies from 10kHz to 1GHz using an adaptive supply-ripple cancellation technique to the body-gate}, booktitle = {2017 {IEEE} Custom Integrated Circuits Conference, {CICC} 2017, Austin, TX, USA, April 30 - May 3, 2017}, pages = {1--4}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/CICC.2017.7993669}, doi = {10.1109/CICC.2017.7993669}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LimLPC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/JeonKPHK17, author = {Do Yeon Jeon and Young Hyo Kim and Ha Yeon Park and Jun{-}Ho Huh and Hyeok Gyu Kwon}, editor = {James J. Park and Vincenzo Loia and Gangman Yi and Yunsick Sung}, title = {A Design of Portable Continuous Passive Joint Mobilization Equipment System}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2017, Taichung, Taiwan, 18-20 December}, series = {Lecture Notes in Electrical Engineering}, volume = {474}, pages = {215--220}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-7605-3\_36}, doi = {10.1007/978-981-10-7605-3\_36}, timestamp = {Thu, 08 Aug 2019 13:42:55 +0200}, biburl = {https://dblp.org/rec/conf/csa2/JeonKPHK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dcase/LeePKJN17, author = {Jongpil Lee and Jiyoung Park and Sangeun Kum and Youngho Jeong and Juhan Nam}, editor = {Tuomas Virtanen and Annamaria Mesaros and Toni Heittola and Aleksandr Diment and Emmanuel Vincent and Emmanouil Benetos and Benjamin Elizalde}, title = {Combining Multi-Scale Features Using Sample-Level Deep Convolutional Neural Networks for Weakly Supervised Sound Event Detection}, booktitle = {Proceedings of the Workshop on Detection and Classification of Acoustic Scenes and Events, {DCASE} 2017, Munich, Germany, November 16-17, 2017}, pages = {69--73}, year = {2017}, url = {http://dcase.community/documents/workshop2017/proceedings/DCASE2017Workshop\_Lee\_119.pdf}, timestamp = {Wed, 22 Dec 2021 16:11:49 +0100}, biburl = {https://dblp.org/rec/conf/dcase/LeePKJN17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkNKLYK17, author = {Jaeyeon Park and Woojin Nam and Tae Young Kim and Sukhoon Lee and Dukyong Yoon and JeongGil Ko}, title = {Motion-oriented noisy physiological signal refining using embedded sensing platforms}, booktitle = {2017 39th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society (EMBC), Jeju Island, South Korea, July 11-15, 2017}, pages = {4562--4565}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/EMBC.2017.8037871}, doi = {10.1109/EMBC.2017.8037871}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/embc/ParkNKLYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/essderc/JeonBPPBCMW17, author = {Dae{-}Young Jeon and Tim Baldauf and So Jeong Park and Sebastian Pregl and Larysa Baraban and Gianaurelio Cuniberti and Thomas Mikolajick and Walter M. Weber}, title = {In-depth electrical characterization of carrier transport in ambipolar Si-NW Schottky-barrier FETs}, booktitle = {47th European Solid-State Device Research Conference, {ESSDERC} 2017, Leuven, Belgium, September 11-14, 2017}, pages = {304--307}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ESSDERC.2017.8066652}, doi = {10.1109/ESSDERC.2017.8066652}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/essderc/JeonBPPBCMW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/haptics/ChoiCSPYJKKJ17, author = {Jeongbong Choi and Kyeongwon Cho and Minki Song and Jinoh Park and Sunhyun Yook and Mok Kun Jeong and Sun I. Kim and In{-}Young Kim and Dong Pyo Jang}, title = {Developing ultrasound tactile sensitivity testing device with optical detector for measuring surface strain}, booktitle = {2017 {IEEE} World Haptics Conference, {WHC} 2017, Munich, Germany, June 6-9, 2017}, pages = {552--556}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/WHC.2017.7989961}, doi = {10.1109/WHC.2017.7989961}, timestamp = {Wed, 16 Oct 2019 14:14:48 +0200}, biburl = {https://dblp.org/rec/conf/haptics/ChoiCSPYJKKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/JangPSJK17, author = {Woo Sung Jang and Bo Kyung Park and Hyun Seung Son and Byung Kook Jeon and Robert Young Chul Kim}, editor = {Kuinam Kim and Nikolai Joukov}, title = {Extracting Test Cases with Message-Sequence Diagram for Validating the Photovoltaic Energy Integrated Monitoring System}, booktitle = {Information Science and Applications 2017 - {ICISA} 2017, Macau, China, 20-23 March 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {424}, pages = {603--608}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-4154-9\_69}, doi = {10.1007/978-981-10-4154-9\_69}, timestamp = {Wed, 05 Jun 2019 13:59:26 +0200}, biburl = {https://dblp.org/rec/conf/icisa/JangPSJK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icssa/GaneshPPNPJ17, author = {Meenu Ganesh and Priyanka Pednekar and Pooja Prabhuswamy and Divyashri Sreedharan Nair and Younghee Park and Hyeran Jeon}, title = {CNN-Based Android Malware Detection}, booktitle = {International Conference on Software Security and Assurance, {ICSSA} 2017, Altoona, PA, USA, July 24-25, 2017}, pages = {60--65}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.ieeecomputersociety.org/10.1109/ICSSA.2017.18}, doi = {10.1109/ICSSA.2017.18}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icssa/GaneshPPNPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieeesensors/YunCSPCLKLSYK17, author = {Seok{-}Oh Yun and Han{-}Won Cho and Ji{-}Hoon Suh and Jeong{-}Ho Park and Bong Gill Choi and Tae{-}Jae Lee and Soon{-}Jae Kweon and Jong{-}Kwon Lee and Chang{-}Ho Seo and Hyung{-}Joun Yoo and Choul{-}Young Kim}, title = {Flexible pH sensor and system fabricated using {PET} film}, booktitle = {2017 {IEEE} SENSORS, Glasgow, United Kingdom, October 29 - November 1, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSENS.2017.8233925}, doi = {10.1109/ICSENS.2017.8233925}, timestamp = {Sun, 12 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ieeesensors/YunCSPCLKLSYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/JiJSKPS17, author = {Youngwoo Ji and Cheonhoo Jeon and Hyunwoo Son and Byungsub Kim and Hong{-}June Park and Jae{-}Yoon Sim}, title = {5.8 {A} 9.3nW all-in-one bandgap voltage and current reference circuit}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {100--101}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870280}, doi = {10.1109/ISSCC.2017.7870280}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/JiJSKPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonSLSHKLJDCPD17, author = {Hye{-}Jung Kwon and Eunsung Seo and ChangYong Lee and Young{-}Hun Seo and Gong{-}Heum Han and Hye{-}Ran Kim and Jong{-}Ho Lee and Min{-}Su Jang and Sung{-}Geun Do and Seung{-}Hyun Cho and Jae{-}Koo Park and Su{-}Yeon Doo and Jung{-}Bum Shin and Sang{-}Hoon Jung and Hyoung{-}Ju Kim and In{-}Ho Im and Beob{-}Rae Cho and Jaewoong Lee and Jae{-}Youl Lee and Ki{-}Hun Yu and Hyung{-}Kyu Kim and Chul{-}Hee Jeon and Hyun{-}Soo Park and Sang{-}Sun Kim and Seok{-}Ho Lee and Jong{-}Wook Park and Seung{-}Sub Lee and Bo{-}Tak Lim and Jun{-}Young Park and Yoon{-}Sik Park and Hyuk{-}Jun Kwon and Seung{-}Jun Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.4 An extremely low-standby-power 3.733Gb/s/pin 2Gb {LPDDR4} {SDRAM} for wearable devices}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {394--395}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870427}, doi = {10.1109/ISSCC.2017.7870427}, timestamp = {Tue, 11 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonSLSHKLJDCPD17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeEPLKKCCKBSPP17, author = {Chang{-}Kyo Lee and Yoon{-}Joo Eom and Jin{-}Hee Park and Junha Lee and Hye{-}Ran Kim and Kihan Kim and Young Choi and Ho{-}Jun Chang and Jonghyuk Kim and Jong{-}Min Bang and Seungjun Shin and Hanna Park and Su{-}Jin Park and Young{-}Ryeol Choi and Hoon Lee and Kyong{-}Ho Jeon and Jae{-}Young Lee and Hyo{-}Joo Ahn and Kyoung{-}Ho Kim and Jung{-}Sik Kim and Soobong Chang and Hyong{-}Ryol Hwang and Duyeul Kim and Yoon{-}Hwan Yoon and Seok{-}Hun Hyun and Joon{-}Young Park and Yoon{-}Gyu Song and Youn{-}Sik Park and Hyuck{-}Joon Kwon and Seung{-}Jun Bae and Tae{-}Young Oh and Indal Song and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {23.2 {A} 5Gb/s/pin 8Gb {LPDDR4X} {SDRAM} with power-isolated {LVSTL} and split-die architecture with 2-die {ZQ} calibration scheme}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {390--391}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870425}, doi = {10.1109/ISSCC.2017.7870425}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeEPLKKCCKBSPP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/KimLKJPKPY17, author = {Doyeop Kim and Sukhoon Lee and Tae Young Kim and Sanghyung Jin and Jaeyeon Park and JeongGil Ko and Rae Woong Park and Dukyong Yoon}, editor = {Adi V. Gundlapalli and Marie{-}Christine Jaulent and Dongsheng Zhao}, title = {Constructing an Open-Access Bio-Signal Repository from Intensive Care Units}, booktitle = {{MEDINFO} 2017: Precision Healthcare through Informatics - Proceedings of the 16th World Congress on Medical and Health Informatics, Hangzhou, China, 21-25 August 2017}, series = {Studies in Health Technology and Informatics}, volume = {245}, pages = {1271}, publisher = {{IOS} Press}, year = {2017}, url = {https://doi.org/10.3233/978-1-61499-830-3-1271}, doi = {10.3233/978-1-61499-830-3-1271}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/KimLKJPKPY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mhs/LeeKLKPAJ17, author = {Ho Won Lee and Da Som Kim and Jong Hyun Lee and Hyuck Gi Kwon and Ga{-}Young Park and Ji{-}Young Ahn and Ok Chan Jeong}, title = {Yeast surface displayed porcine epidemic diarrhea {(PED)} viral epitopes and microfluidic encapsulation in Ca-Alginate beads for oral vaccination}, booktitle = {International Symposium on Micro-NanoMechatronics and Human Science, {MHS} 2017, Nagoya, Japan, December 3-6, 2017}, pages = {1--3}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/MHS.2017.8305256}, doi = {10.1109/MHS.2017.8305256}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mhs/LeeKLKPAJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/MoonYPS17, author = {Jeong{-}Hyeon Moon and Chae{-}Young Yun and Seon{-}Joo Park and Kyung{-}Ah Sohn}, editor = {James Jong Hyuk Park and Shu{-}Ching Chen and Kim{-}Kwang Raymond Choo}, title = {A Case Study on How to Predict Caf{\'{e}} Profit: {A} Dimension Reduction via Factor Analysis}, booktitle = {Advanced Multimedia and Ubiquitous Engineering - MUE/FutureTech 2017, Seoul, Korea, 22-24 May 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {448}, pages = {588--593}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-5041-1\_93}, doi = {10.1007/978-981-10-5041-1\_93}, timestamp = {Wed, 22 Jul 2020 12:38:11 +0200}, biburl = {https://dblp.org/rec/conf/mue/MoonYPS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pemwn/LeePKPJ17, author = {Jeesung Lee and Mirim Park and Young{-}Sik Kim and Pyoung{-}soo Park and Junho Jeong}, title = {A novel cell design approach for {LTE} underground tunnels coverage}, booktitle = {International Conference on Performance Evaluation and Modeling in Wired and Wireless Networks, {PEMWN} 2017, Paris, France, November 28-30, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/PEMWN.2017.8308034}, doi = {10.23919/PEMWN.2017.8308034}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/pemwn/LeePKPJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pemwn/ParkSPKJ17, author = {Minyoung Park and Hyoungwon Seo and Pyoung{-}soo Park and Young{-}Sik Kim and Junho Jeong}, title = {{LTE} maritime coverage solution and ocean propagation loss model}, booktitle = {International Conference on Performance Evaluation and Modeling in Wired and Wireless Networks, {PEMWN} 2017, Paris, France, November 28-30, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.23919/PEMWN.2017.8308033}, doi = {10.23919/PEMWN.2017.8308033}, timestamp = {Thu, 15 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/pemwn/ParkSPKJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmod/DasCDNKDARP17, author = {Sanjib Das and Paul Suganthan G. C. and AnHai Doan and Jeffrey F. Naughton and Ganesh Krishnan and Rohit Deep and Esteban Arcaute and Vijay Raghavendra and Youngchoon Park}, editor = {Semih Salihoglu and Wenchao Zhou and Rada Chirkova and Jun Yang and Dan Suciu}, title = {Falcon: Scaling Up Hands-Off Crowdsourced Entity Matching to Build Cloud Services}, booktitle = {Proceedings of the 2017 {ACM} International Conference on Management of Data, {SIGMOD} Conference 2017, Chicago, IL, USA, May 14-19, 2017}, pages = {1431--1446}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3035918.3035960}, doi = {10.1145/3035918.3035960}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sigmod/DasCDNKDARP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wwic/KwakPJHL17, author = {HoJun Kwak and SungKwon Park and Joonyoung Jung and Eunhui Hyun and Jewon Lee}, editor = {Yevgeni Koucheryavy and Lefteris Mamatas and Ibrahim Matta and Aleksandr Ometov and Panagiotis Papadimitriou}, title = {Compression Method to Remove Unnecessary MSBs of {IQ} Data Frames in {C-RAN}}, booktitle = {Wired/Wireless Internet Communications - 15th {IFIP} {WG} 6.2 International Conference, {WWIC} 2017, St. Petersburg, Russia, June 21-23, 2017, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {10372}, pages = {315--322}, publisher = {Springer}, year = {2017}, url = {https://doi.org/10.1007/978-3-319-61382-6\_26}, doi = {10.1007/978-3-319-61382-6\_26}, timestamp = {Sat, 05 Sep 2020 17:55:33 +0200}, biburl = {https://dblp.org/rec/conf/wwic/KwakPJHL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/ParkJYK17, author = {Hyojin Park and Jisoo Jeong and Youngjoon Yoo and Nojun Kwak}, title = {Superpixel-based semantic segmentation trained by statistical process control}, journal = {CoRR}, volume = {abs/1706.10071}, year = {2017}, url = {http://arxiv.org/abs/1706.10071}, eprinttype = {arXiv}, eprint = {1706.10071}, timestamp = {Mon, 04 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/ParkJYK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/behaviourIT/SaenzCKPR16, author = {Christian Fernando Libaque Saenz and Younghoon Chang and Jimin Kim and Myeong{-}Cheol Park and Jae Jeung Rho}, title = {The role of perceived information practices on consumers' intention to authorise secondary use of personal data}, journal = {Behav. Inf. Technol.}, volume = {35}, number = {5}, pages = {339--356}, year = {2016}, url = {https://doi.org/10.1080/0144929X.2015.1128973}, doi = {10.1080/0144929X.2015.1128973}, timestamp = {Thu, 23 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/behaviourIT/SaenzCKPR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/BaekKP16, author = {Haejung Baek and Je{-}Min Kim and Young{-}Tack Park}, title = {Robust route inference and representation for uncertain sensor data}, journal = {Comput. Electr. Eng.}, volume = {49}, pages = {236--246}, year = {2016}, url = {https://doi.org/10.1016/j.compeleceng.2015.11.023}, doi = {10.1016/J.COMPELECENG.2015.11.023}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/BaekKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/SungJP16, author = {Yunsick Sung and Young{-}Sik Jeong and Jong Hyuk Park}, title = {Beacon-based active media control interface in indoor ubiquitous computing environment}, journal = {Clust. Comput.}, volume = {19}, number = {1}, pages = {547--556}, year = {2016}, url = {https://doi.org/10.1007/s10586-016-0532-6}, doi = {10.1007/S10586-016-0532-6}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/SungJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/KimAKPCK16, author = {Kwang Taik Kim and Seok{-}Ki Ahn and Yong{-}Seok Kim and Jeongho Park and Chiao{-}Yi Chen and Young{-}Han Kim}, title = {Interference Management via Sliding-Window Coded Modulation for 5G Cellular Networks}, journal = {{IEEE} Commun. Mag.}, volume = {54}, number = {11}, pages = {82--89}, year = {2016}, url = {https://doi.org/10.1109/MCOM.2016.1600356CM}, doi = {10.1109/MCOM.2016.1600356CM}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/KimAKPCK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/dt/JeongOLP16, author = {Donghwan Jeong and Young H. Oh and Jae W. Lee and Yongjun Park}, title = {An eDRAM-Based Approximate Register File for GPUs}, journal = {{IEEE} Des. Test}, volume = {33}, number = {1}, pages = {23--31}, year = {2016}, url = {https://doi.org/10.1109/MDAT.2015.2500185}, doi = {10.1109/MDAT.2015.2500185}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/dt/JeongOLP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/JeongKSCJ16, author = {Donghwa Jeong and Youngdo Kim and In{-}Uk Song and Yong{-}An Chung and Jaeseung Jeong}, title = {Wavelet Energy and Wavelet Coherence as {EEG} Biomarkers for the Diagnosis of Parkinson's Disease-Related Dementia and Alzheimer's Disease}, journal = {Entropy}, volume = {18}, number = {1}, pages = {8}, year = {2016}, url = {https://doi.org/10.3390/e18010008}, doi = {10.3390/E18010008}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/entropy/JeongKSCJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/firai/LeeKLBKCSJPC16, author = {Jun{-}Young Lee and Brian Byunghyun Kang and Dae{-}Young Lee and Sang{-}Min Baek and Woong{-}Bae Kim and Woo{-}Young Choi and Jeong{-}Ryul Song and Hyeong{-}Joon Joo and Daegeun Park and Kyu{-}Jin Cho}, title = {Development of a Multi-functional Soft Robot {(SNUMAX)} and Performance in RoboSoft Grand Challenge}, journal = {Frontiers Robotics {AI}}, volume = {3}, pages = {63}, year = {2016}, url = {https://doi.org/10.3389/frobt.2016.00063}, doi = {10.3389/FROBT.2016.00063}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/firai/LeeKLBKCSJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/ParkJKS16, author = {Young Deok Park and Seokseong Jeon and Kyungjun Kim and Young{-}Joo Suh}, title = {{RAMCAST:} Reliable and Adaptive Multicast Over {IEEE} 802.11n WLANs}, journal = {{IEEE} Commun. Lett.}, volume = {20}, number = {7}, pages = {1441--1444}, year = {2016}, url = {https://doi.org/10.1109/LCOMM.2016.2566642}, doi = {10.1109/LCOMM.2016.2566642}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/ParkJKS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ParkJHLKSLJ16, author = {Sang{-}Min Park and Yeon{-}Ho Jeong and Yu{-}Jeong Hwang and Pil{-}Ho Lee and Yeong{-}Woong Kim and Jisu Son and Han{-}Yeol Lee and Young{-}Chan Jang}, title = {A 10-bit 20-MS/s Asynchronous {SAR} {ADC} with Meta-Stability Detector Using Replica Comparators}, journal = {{IEICE} Trans. Electron.}, volume = {99-C}, number = {6}, pages = {651--654}, year = {2016}, url = {https://doi.org/10.1587/transele.E99.C.651}, doi = {10.1587/TRANSELE.E99.C.651}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ParkJHLKSLJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcta/MoonPJKKKY16, author = {Young{-}Jin Moon and Jeongpyo Park and Min{-}Gyu Jeong and Sang{-}Hyun Kim and Jin{-}Gyu Kang and Dong{-}Zo Kim and Changsik Yoo}, title = {Wireless power charger for wearable medical devices with in-band communication}, journal = {Int. J. Circuit Theory Appl.}, volume = {44}, number = {8}, pages = {1483--1493}, year = {2016}, url = {https://doi.org/10.1002/cta.2173}, doi = {10.1002/CTA.2173}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcta/MoonPJKKKY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/ChoiLKJP16, author = {Min Choi and Jungha Lee and Sungho Kim and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Location based authentication scheme using {BLE} for high performance digital content management system}, journal = {Neurocomputing}, volume = {209}, pages = {25--38}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.05.142}, doi = {10.1016/J.NEUCOM.2015.05.142}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/ChoiLKJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/HaoPMJP16, author = {Fei Hao and Doo{-}Soon Park and Geyong Min and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {k-Cliques mining in dynamic social networks based on triadic formal concept analysis}, journal = {Neurocomputing}, volume = {209}, pages = {57--66}, year = {2016}, url = {https://doi.org/10.1016/j.neucom.2015.10.141}, doi = {10.1016/J.NEUCOM.2015.10.141}, timestamp = {Tue, 15 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijon/HaoPMJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jisis/KimSCPH16, author = {Nak Young Kim and Jaewoo Shim and Seong{-}je Cho and Minkyu Park and Sangchul Han}, title = {Android Application Protection against Static Reverse Engineering based on Multidexing}, journal = {J. Internet Serv. Inf. Secur.}, volume = {6}, number = {4}, pages = {54--64}, year = {2016}, url = {https://doi.org/10.22667/JISIS.2016.11.31.054}, doi = {10.22667/JISIS.2016.11.31.054}, timestamp = {Thu, 23 May 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jisis/KimSCPH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/SinghJP16, author = {Saurabh Singh and Young{-}Sik Jeong and Jong Hyuk Park}, title = {A survey on cloud computing security: Issues, threats, and solutions}, journal = {J. Netw. Comput. Appl.}, volume = {75}, pages = {200--222}, year = {2016}, url = {https://doi.org/10.1016/j.jnca.2016.09.002}, doi = {10.1016/J.JNCA.2016.09.002}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jnca/SinghJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jors/ParkYHJJ16, author = {Taejin Park and Bong{-}Jin Yum and Ying Hung and Young{-}Seon Jeong and Myong K. Jeong}, title = {Robust Kriging models in computer experiments}, journal = {J. Oper. Res. Soc.}, volume = {67}, number = {4}, pages = {644--653}, year = {2016}, url = {https://doi.org/10.1057/jors.2015.58}, doi = {10.1057/JORS.2015.58}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jors/ParkYHJJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/HurBKCMRHP16, author = {Sooyoung Hur and Sangkyu Baek and Byungchul Kim and Youngbin Chang and Andreas F. Molisch and Theodore S. Rappaport and Katsuyuki Haneda and Jeongho Park}, title = {Proposal on Millimeter-Wave Channel Modeling for 5G Cellular System}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {10}, number = {3}, pages = {454--469}, year = {2016}, url = {https://doi.org/10.1109/JSTSP.2016.2527364}, doi = {10.1109/JSTSP.2016.2527364}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/HurBKCMRHP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micromachines/JoSHPP16, author = {Younggeun Jo and Fengshan Shen and Young Ki Hahn and Ji{-}Ho Park and Je{-}Kyun Park}, title = {Magnetophoretic Sorting of Single Cell-Containing Microdroplets}, journal = {Micromachines}, volume = {7}, number = {4}, pages = {56}, year = {2016}, url = {https://doi.org/10.3390/mi7040056}, doi = {10.3390/MI7040056}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/micromachines/JoSHPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mis/ParkSJK16, author = {Yu Jin Park and Ki Eun Seong and Seol{-}Young Jeong and Soon{-}Ju Kang}, title = {Self-Organizing Wearable Device Platform for Assisting and Reminding Humans in Real Time}, journal = {Mob. Inf. Syst.}, volume = {2016}, pages = {6048213:1--6048213:15}, year = {2016}, url = {https://doi.org/10.1155/2016/6048213}, doi = {10.1155/2016/6048213}, timestamp = {Thu, 01 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mis/ParkSJK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JeongKP16, author = {Young{-}Sik Jeong and Hyun{-}Woo Kim and Jong Hyuk Park}, title = {An effective locking scheme of smart multimedia devices with convenience and enhanced security}, journal = {Multim. Tools Appl.}, volume = {75}, number = {23}, pages = {15171--15183}, year = {2016}, url = {https://doi.org/10.1007/s11042-014-2208-7}, doi = {10.1007/S11042-014-2208-7}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/JeongKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JungPJ16, author = {Seung{-}Won Jung and Jong Hyuk Park and Young{-}Sik Jeong}, title = {All-in-focus and multi-focus color image reconstruction from a database of color and depth image pairs}, journal = {Multim. Tools Appl.}, volume = {75}, number = {23}, pages = {15493--15507}, year = {2016}, url = {https://doi.org/10.1007/s11042-015-2535-3}, doi = {10.1007/S11042-015-2535-3}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mta/JungPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimJLLPKKPJL16, author = {Kwang{-}Ho Kim and Dae{-}Young Jung and Donghyun Lee and Hyuk{-}Jun Lee and Sung{-}Yong Park and Myoung{-}Wan Koo and Ji{-}Hwan Kim and Jeong{-}Sik Park and Hyung{-}Bae Jeon and Yun{-}Keun Lee}, title = {Implementation of a large-scale language model adaptation in a cloud environment}, journal = {Multim. Tools Appl.}, volume = {75}, number = {9}, pages = {5029--5045}, year = {2016}, url = {https://doi.org/10.1007/s11042-013-1787-z}, doi = {10.1007/S11042-013-1787-Z}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimJLLPKKPJL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimKJP16, author = {Dong{-}Hyun Kim and Jin{-}Mook Kim and Young{-}Sik Jeong and Koo{-}Rock Park}, title = {A risk probability-map generation model on multimedia services environment}, journal = {Multim. Tools Appl.}, volume = {75}, number = {23}, pages = {15709--15727}, year = {2016}, url = {https://doi.org/10.1007/s11042-014-2441-0}, doi = {10.1007/S11042-014-2441-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimKJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkJYY16, author = {Jong Hyuk Park and Young{-}Sik Jeong and Gangman Yi and Neil Y. Yen}, title = {Guest Editorial: Technologies and Applications for Interactive {NUX/NUI}}, journal = {Multim. Tools Appl.}, volume = {75}, number = {23}, pages = {15151--15156}, year = {2016}, url = {https://doi.org/10.1007/s11042-016-3874-4}, doi = {10.1007/S11042-016-3874-4}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ParkJYY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/remotesensing/KimMAP16, author = {Wonkook Kim and Jeong{-}Eon Moon and Jae{-}Hyun Ahn and Young{-}Je Park}, title = {Evaluation of Stray Light Correction for {GOCI} Remote Sensing Reflectance Using \emph{in Situ} Measurements}, journal = {Remote. Sens.}, volume = {8}, number = {5}, pages = {378}, year = {2016}, url = {https://doi.org/10.3390/rs8050378}, doi = {10.3390/RS8050378}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/remotesensing/KimMAP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scn/NasridinovJB016, author = {Aziz Nasridinov and Young{-}Sik Jeong and JeongYong Byun and Young{-}Ho Park}, title = {A histogram-based method for efficient detection of rewriting attacks in simple object access protocol messages}, journal = {Secur. Commun. Networks}, volume = {9}, number = {6}, pages = {492--499}, year = {2016}, url = {https://doi.org/10.1002/sec.934}, doi = {10.1002/SEC.934}, timestamp = {Thu, 10 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scn/NasridinovJB016.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoCKPKP16, author = {Keunhee Cho and Jeong{-}Rae Cho and Sung Tae Kim and Sung Yong Park and Young{-}Jin Kim and Young{-}Hwan Park}, title = {Estimation of Prestress Force Distribution in Multi-Strand System of Prestressed Concrete Structures Using Field Data Measured by Electromagnetic Sensor}, journal = {Sensors}, volume = {16}, number = {8}, pages = {1317}, year = {2016}, url = {https://doi.org/10.3390/s16081317}, doi = {10.3390/S16081317}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoCKPKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KwonLKLKSP16, author = {Sungjun Kwon and Dongseok Lee and Jeehoon Kim and Youngki Lee and Seungwoo Kang and Sangwon Seo and Kwang Suk Park}, title = {Sinabro: {A} Smartphone-Integrated Opportunistic Electrocardiogram Monitoring System}, journal = {Sensors}, volume = {16}, number = {3}, pages = {361}, year = {2016}, url = {https://doi.org/10.3390/s16030361}, doi = {10.3390/S16030361}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KwonLKLKSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkHJLJPCC16, author = {IlHwan Park and Yeonhee Hong and Young{-}Hoo Jun and Ga{-}Yeon Lee and Hee{-}Sook Jun and Jae{-}Chul Pyun and Jeong{-}Woo Choi and Sungbo Cho}, title = {Electrical Impedance Monitoring of {C2C12} Myoblast Differentiation on an Indium Tin Oxide Electrode}, journal = {Sensors}, volume = {16}, number = {12}, pages = {2068}, year = {2016}, url = {https://doi.org/10.3390/s16122068}, doi = {10.3390/S16122068}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkHJLJPCC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ParkJMK16, author = {Jinhee Park and Rios Jesus Javier and Taesup Moon and Youngwook Kim}, title = {Micro-Doppler Based Classification of Human Aquatic Activities via Transfer Learning of Convolutional Neural Networks}, journal = {Sensors}, volume = {16}, number = {12}, pages = {1990}, year = {2016}, url = {https://doi.org/10.3390/s16121990}, doi = {10.3390/S16121990}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/ParkJMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Pham0KPJY16, author = {Tuyen Danh Pham and Young Ho Park and Seung Yong Kwon and Kang Ryoung Park and Dae Sik Jeong and Sungsoo Yoon}, title = {Efficient Banknote Recognition Based on Selection of Discriminative Regions with One-Dimensional Visible-Light Line Sensor}, journal = {Sensors}, volume = {16}, number = {3}, pages = {328}, year = {2016}, url = {https://doi.org/10.3390/s16030328}, doi = {10.3390/S16030328}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/Pham0KPJY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/soco/KimKCPHLH16, author = {Dongjin Kim and Yesol Kim and Seong{-}je Cho and Minkyu Park and Sangchul Han and Guk{-}seon Lee and Young{-}Sup Hwang}, title = {An effective and intelligent Windows application filtering system using software similarity}, journal = {Soft Comput.}, volume = {20}, number = {5}, pages = {1821--1827}, year = {2016}, url = {https://doi.org/10.1007/s00500-015-1678-5}, doi = {10.1007/S00500-015-1678-5}, timestamp = {Wed, 22 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/soco/KimKCPHLH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/ByunPJ16, author = {HwiRim Byun and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Optional Frame Selection Algorithm for Adaptive Symmetric Service of Augmented Reality Big Data on Smart Devices}, journal = {Symmetry}, volume = {8}, number = {5}, pages = {37}, year = {2016}, url = {https://doi.org/10.3390/sym8050037}, doi = {10.3390/SYM8050037}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/ByunPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/ParkLMZWMKLAKHK16, author = {Sung Ik Park and Jae{-}Young Lee and Seho Myoung and Liang Zhang and Yiyan Wu and Jon Montalban and Sunhyoung Kwon and Bo{-}Mi Lim and Pablo Angueira and Heung Mook Kim and Namho Hur and Jeongchang Kim}, title = {Low Complexity Layered Division Multiplexing for {ATSC} 3.0}, journal = {{IEEE} Trans. Broadcast.}, volume = {62}, number = {1}, pages = {233--243}, year = {2016}, url = {https://doi.org/10.1109/TBC.2015.2492459}, doi = {10.1109/TBC.2015.2492459}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/ParkLMZWMKLAKHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/LeeKPHHLL16, author = {Seung Min Lee and Jeong Hun Kim and Cheolsoo Park and Ji{-}Young Hwang and Joung{-}Sook Hong and Kwang Ho Lee and Sang{-}Hoon Lee}, title = {Self-Adhesive and Capacitive Carbon Nanotube-Based Electrode to Record Electroencephalograph Signals From the Hairy Scalp}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {63}, number = {1}, pages = {138--147}, year = {2016}, url = {https://doi.org/10.1109/TBME.2015.2478406}, doi = {10.1109/TBME.2015.2478406}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/LeeKPHHLL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcs/KimNPS16, author = {Youngho Kim and Joong Chae Na and Heejin Park and Jeong Seop Sim}, title = {A space-efficient alphabet-independent Four-Russians' lookup table and a multithreaded Four-Russians' edit distance algorithm}, journal = {Theor. Comput. Sci.}, volume = {656}, pages = {173--179}, year = {2016}, url = {https://doi.org/10.1016/j.tcs.2016.04.028}, doi = {10.1016/J.TCS.2016.04.028}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcs/KimNPS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/LeeYPJ16, author = {Hoyoung Lee and Seungha Yang and Younghyeon Park and Byeungwoo Jeon}, title = {Fast Quantization Method With Simplified Rate-Distortion Optimized Quantization for an {HEVC} Encoder}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {26}, number = {1}, pages = {107--116}, year = {2016}, url = {https://doi.org/10.1109/TCSVT.2015.2450151}, doi = {10.1109/TCSVT.2015.2450151}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcsv/LeeYPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/JeongYP16, author = {Hwa{-}Young Jeong and Gangman Yi and Jong Hyuk Park}, title = {A service composition model based on user experience in Ubi-cloud comp}, journal = {Telecommun. Syst.}, volume = {61}, number = {4}, pages = {897--907}, year = {2016}, url = {https://doi.org/10.1007/s11235-015-0045-2}, doi = {10.1007/S11235-015-0045-2}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/telsys/JeongYP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/ParkMJKKGY16, author = {Jeongpyo Park and Young{-}Jin Moon and Min{-}Gyu Jeong and Jin{-}Gyu Kang and Sang{-}Hyun Kim and Jung{-}Chul Gong and Changsik Yoo}, title = {Quasi-Resonant {(QR)} Controller With Adaptive Switching Frequency Reduction Scheme for Flyback Converter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {6}, pages = {3571--3581}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2523931}, doi = {10.1109/TIE.2016.2523931}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/ParkMJKKGY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiJPJJ16, author = {Cheol{-}Rim Choi and Hwa{-}Young Jeong and Jong Hyuk Park and Haeng Jin Jang and Young{-}Sik Jeong}, title = {Relative weight comparison between virtual key factors of cloud computing with analytic network process}, journal = {J. Supercomput.}, volume = {72}, number = {5}, pages = {1694--1714}, year = {2016}, url = {https://doi.org/10.1007/s11227-014-1311-x}, doi = {10.1007/S11227-014-1311-X}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiJPJJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/HaoPPLJ16, author = {Fei Hao and Doo{-}Soon Park and Zheng Pei and HwaMin Lee and Young{-}Sik Jeong}, title = {Identifying the social-balanced densest subgraph from signed social networks}, journal = {J. Supercomput.}, volume = {72}, number = {7}, pages = {2782--2795}, year = {2016}, url = {https://doi.org/10.1007/s11227-015-1606-6}, doi = {10.1007/S11227-015-1606-6}, timestamp = {Tue, 06 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/HaoPPLJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KangJLPK16, author = {HeeEun Kang and Kihyun Jeong and Kwonyong Lee and Sungyong Park and Youngjae Kim}, title = {Android {RMI:} a user-level remote method invocation mechanism between Android devices}, journal = {J. Supercomput.}, volume = {72}, number = {7}, pages = {2471--2487}, year = {2016}, url = {https://doi.org/10.1007/s11227-015-1471-3}, doi = {10.1007/S11227-015-1471-3}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KangJLPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KimPJ16, author = {Hyun{-}Woo Kim and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Human-centric storage resource mechanism for big data on cloud service architecture}, journal = {J. Supercomput.}, volume = {72}, number = {7}, pages = {2437--2452}, year = {2016}, url = {https://doi.org/10.1007/s11227-015-1390-3}, doi = {10.1007/S11227-015-1390-3}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tjs/KimPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/MoonLJP16, author = {Daesung Moon and Jae Dong Lee and Young{-}Sik Jeong and Jong Hyuk Park}, title = {{RTNSS:} a routing trace-based network security system for preventing {ARP} spoofing attacks}, journal = {J. Supercomput.}, volume = {72}, number = {5}, pages = {1740--1756}, year = {2016}, url = {https://doi.org/10.1007/s11227-014-1353-0}, doi = {10.1007/S11227-014-1353-0}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/MoonLJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KangJYPKJ16, author = {Kyoman Kang and Hanwool Jeong and Younghwi Yang and Juhyun Park and Ki{-}Ryong Kim and Seong{-}Ook Jung}, title = {Full-Swing Local Bitline {SRAM} Architecture Based on the 22-nm FinFET Technology for Low-Voltage Operation}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {4}, pages = {1342--1350}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2450500}, doi = {10.1109/TVLSI.2015.2450500}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KangJYPKJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/JeonPSMML16, author = {Younghyun Jeon and Seokhwan Park and Chang{-}Ick Song and Jihwan Moon and Seungjoo Maeng and Inkyu Lee}, title = {Joint Designs of Fronthaul Compression and Precoding for Full-Duplex Cloud Radio Access Networks}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {5}, number = {6}, pages = {632--635}, year = {2016}, url = {https://doi.org/10.1109/LWC.2016.2609410}, doi = {10.1109/LWC.2016.2609410}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/JeonPSMML16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KimPJ16, author = {Hyun{-}Woo Kim and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Efficient Resource Management Scheme for Storage Processing in Cloud Infrastructure with Internet of Things}, journal = {Wirel. Pers. Commun.}, volume = {91}, number = {4}, pages = {1635--1651}, year = {2016}, url = {https://doi.org/10.1007/s11277-015-3093-8}, doi = {10.1007/S11277-015-3093-8}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/wpc/KimPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/MoonKJIPJ16, author = {Daesung Moon and Ikkyun Kim and Jae Woong Joo and Hyungjin Im and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Intelligent Security Model of Smart Phone Based on Human Behavior in Mobile Cloud Computing}, journal = {Wirel. Pers. Commun.}, volume = {91}, number = {4}, pages = {1697--1710}, year = {2016}, url = {https://doi.org/10.1007/s11277-015-3121-8}, doi = {10.1007/S11277-015-3121-8}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/MoonKJIPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/LimPLKKK16, author = {Bo{-}Mi Lim and Sung Ik Park and Jae{-}Young Lee and Sunhyoung Kwon and Heung Mook Kim and Jeongchang Kim}, title = {Performance evaluation of frequency interleaver in {ATSC} 3.0}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2016, Nara, Japan, June 1-3, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/BMSB.2016.7521965}, doi = {10.1109/BMSB.2016.7521965}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/LimPLKKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/ParkJY16, author = {Hyun Soo Park and Young Bae Jeon and Ji Won Yoon}, editor = {Leonard Barolli and Fatos Xhafa and Kangbin Yim}, title = {A New Approach to Building a Disguised Server Using the Honey Port Against General Scanning Attacks}, booktitle = {Advances on Broad-Band Wireless Computing, Communication and Applications, Proceedings of the 11th International Conference On Broad-Band Wireless Computing, Communication and Applications, {BWCCA} 2016, Soonchunhyang University, Asan, Korea, November 5-7, 2016}, series = {Lecture Notes on Data Engineering and Communications Technologies}, volume = {2}, pages = {453--465}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-3-319-49106-6\_44}, doi = {10.1007/978-3-319-49106-6\_44}, timestamp = {Mon, 10 Jul 2017 17:07:40 +0200}, biburl = {https://dblp.org/rec/conf/bwcca/ParkJY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cec/ChoiSYPP16, author = {Su{-}Jeong Choi and Hyun{-}Je Song and Hee{-}Geun Yoon and Seong{-}Bae Park and Se{-}Young Park}, title = {A re-ranking model for accurate knowledge base completion with knowledge base schema and web statistic}, booktitle = {{IEEE} Congress on Evolutionary Computation, {CEC} 2016, Vancouver, BC, Canada, July 24-29, 2016}, pages = {4958--4964}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/CEC.2016.7744426}, doi = {10.1109/CEC.2016.7744426}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cec/ChoiSYPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chi/JeongNP16, author = {Yunwoo Jeong and Boram Noh and Young Woo Park}, editor = {Jofish Kaye and Allison Druin and Cliff Lampe and Dan Morris and Juan Pablo Hourcade}, title = {{SATURNO:} {A} Shadow-Pushing Lamp for Better Focusing and Reading}, booktitle = {Proceedings of the 2016 {CHI} Conference on Human Factors in Computing Systems, San Jose, CA, USA, May 7-12, 2016, Extended Abstracts}, pages = {9}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2851581.2889436}, doi = {10.1145/2851581.2889436}, timestamp = {Wed, 01 Jun 2022 08:38:38 +0200}, biburl = {https://dblp.org/rec/conf/chi/JeongNP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ByunPJ16, author = {HwiRim Byun and Boo{-}Kwang Park and Young{-}Sik Jeong}, editor = {James J. Park and Yi Pan and Gangman Yi and Vincenzo Loia}, title = {Mobile Agent Oriented Service for Offloading on Mobile Cloud Computing}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2016, Bangkok, Thailand, 19-21 December}, series = {Lecture Notes in Electrical Engineering}, volume = {421}, pages = {920--925}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-3023-9\_143}, doi = {10.1007/978-981-10-3023-9\_143}, timestamp = {Tue, 08 Oct 2019 14:37:27 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ByunPJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ParkHJ16, author = {Byeong{-}Seok Park and Yoon{-}A. Heo and Young{-}Sik Jeong}, editor = {James J. Park and Yi Pan and Gangman Yi and Vincenzo Loia}, title = {Survey of {MCC} Architectures for Computing Service}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA/CUTE} 2016, Bangkok, Thailand, 19-21 December}, series = {Lecture Notes in Electrical Engineering}, volume = {421}, pages = {185--191}, publisher = {Springer}, year = {2016}, url = {https://doi.org/10.1007/978-981-10-3023-9\_30}, doi = {10.1007/978-981-10-3023-9\_30}, timestamp = {Tue, 08 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ParkHJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dac/ParkJLSK16, author = {Jisung Park and Jaeyong Jeong and Sungjin Lee and Youngsun Song and Jihong Kim}, title = {Improving performance and lifetime of {NAND} storage systems using relaxed program sequence}, booktitle = {Proceedings of the 53rd Annual Design Automation Conference, {DAC} 2016, Austin, TX, USA, June 5-9, 2016}, pages = {63:1--63:6}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2897937.2898032}, doi = {10.1145/2897937.2898032}, timestamp = {Tue, 07 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dac/ParkJLSK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpca/SeoCYBPNLLCKCCJ16, author = {Sungyong Seo and Youngjin Cho and Youngkwang Yoo and Otae Bae and Jaegeun Park and Heehyun Nam and Sunmi Lee and Yongmyung Lee and Seungdo Chae and Moonsang Kwon and Jin{-}Hyeok Choi and Sangyeun Cho and Jaeheon Jeong and Duckhyun Chang}, title = {Design and implementation of a mobile storage leveraging the {DRAM} interface}, booktitle = {2016 {IEEE} International Symposium on High Performance Computer Architecture, {HPCA} 2016, Barcelona, Spain, March 12-16, 2016}, pages = {531--542}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/HPCA.2016.7446092}, doi = {10.1109/HPCA.2016.7446092}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpca/SeoCYBPNLLCKCCJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icc/HanedaTALWSLBLK16, author = {Katsuyuki Haneda and Lei Tian and Henrik Asplund and Jian Li and Yi Wang and David Steer and Clara Li and Tommaso Balercia and Sunguk Lee and YoungSuk Kim and Amitava Ghosh and Timothy A. Thomas and Takehiro Nakamura and Yuichi Kakishima and Tetsuro Imai and Haralabos C. Papadopoulos and Theodore S. Rappaport and George R. MacCartney and Mathew K. Samimi and Shu Sun and Ozge H. Koymen and Sooyoung Hur and Jeongho Park and Jianzhong Zhang and Evangelos Mellios and Andreas F. Molisch and Saeed S. Ghassamzadeh and Arun Ghosh}, title = {Indoor 5G 3GPP-like channel models for office and shopping mall environments}, booktitle = {{IEEE} International Conference on Communication, {ICC} 2015, London, United Kingdom, June 8-12, 2015, Workshop Proceedings}, pages = {694--699}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCW.2016.7503868}, doi = {10.1109/ICCW.2016.7503868}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icc/HanedaTALWSLBLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/LeeHJSJ16, author = {Chungsan Lee and Youngtak Han and Soobin Jeon and Dongmahn Seo and Inbum Jung}, title = {Smart parking system for Internet of Things}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2016, Las Vegas, NV, USA, January 7-11, 2016}, pages = {263--264}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICCE.2016.7430607}, doi = {10.1109/ICCE.2016.7430607}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iccel/LeeHJSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/SonLPK16, author = {Jeong Woo Son and Sang{-}Yun Lee and So{-}Young Park and Sun{-}Joong Kim}, title = {Video scene segmentation based on multiview shot representation}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {381--383}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763501}, doi = {10.1109/ICTC.2016.7763501}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/SonLPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/JeonJKPC16, author = {Juil Jeon and Myungin Ji and Juyoung Kim and Sangjoon Park and Youngsu Cho}, title = {Design of positioning {DB} automatic update method using Google tango tablet for image based localization system}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {644--646}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537112}, doi = {10.1109/ICUFN.2016.7537112}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/icufn/JeonJKPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KimJJPC16, author = {Jooyoung Kim and Myungin Ji and Ju{-}Il Jeon and Sangjoon Park and Youngsu Cho}, title = {{K-NN} based positioning performance estimation for fingerprinting localization}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {468--470}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7537073}, doi = {10.1109/ICUFN.2016.7537073}, timestamp = {Wed, 20 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icufn/KimJJPC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/JeonPJNBHK16, author = {Jinwoo Jeon and Jun{-}Cheol Park and Youngjoo Jo and Changmo Nam and Kyung{-}Hoon Bae and Youngkyoo Hwang and Dae{-}Shik Kim}, title = {A Real-time Facial Expression Recognizer using Deep Neural Network}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {94:1--94:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857642}, doi = {10.1145/2857546.2857642}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/JeonPJNBHK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/JoPJNHPK16, author = {Youngjoo Jo and Jun{-}Cheol Park and Jinwoo Jeon and Changmo Nam and Junghee Han and Yongin Park and Dae{-}Shik Kim}, title = {A Real-time Object Tracker equipped with Deep Object Recognizer}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {99:1--99:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857647}, doi = {10.1145/2857546.2857647}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/JoPJNHPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismar/IhmKLJP16, author = {Insung Ihm and Youngwook Kim and Jaehyun Lee and Jiman Jeong and Ingu Park}, editor = {Eduardo E. Veas and Tobias Langlotz and Jos{\'{e}} Mart{\'{\i}}nez{-}Carranza and Rapha{\"{e}}l Grasset and Maki Sugimoto and Alejandro Mart{\'{\i}}n}, title = {Low-Cost Depth Camera Pose Tracking for Mobile Platforms}, booktitle = {2016 {IEEE} International Symposium on Mixed and Augmented Reality, {ISMAR} 2016 Adjunct, Merida, Yucatan, Mexico, September 19-23, 2016}, pages = {123--126}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ISMAR-Adjunct.2016.0057}, doi = {10.1109/ISMAR-ADJUNCT.2016.0057}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ismar/IhmKLJP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeJPL16, author = {Hyun{-}Young Lee and Byeong{-}Chan Jeon and Won{-}ki Park and Sung{-}Chul Lee}, title = {Design and verification of sensorless {BLDC} motor start-up logic with {FPGA}}, booktitle = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea, October 23-26, 2016}, pages = {341--342}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISOCC.2016.7799817}, doi = {10.1109/ISOCC.2016.7799817}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/LeeJPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/ParkJJM16, author = {Eun{-}Ae Park and Hyuntae Ju and Yong Mu Jeong and Soo{-}Young Min}, title = {A study on improvement of vision-based traffic condition analysis system by comparing feature data of images}, booktitle = {International SoC Design Conference, {ISOCC} 2016, Jeju, South Korea, October 23-26, 2016}, pages = {235--236}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISOCC.2016.7799874}, doi = {10.1109/ISOCC.2016.7799874}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/ParkJJM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangJKKCKRKLKLY16, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Jeong{-}Don Ihm and Doo{-}Gon Kim and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.1 256Gb 3b/cell {V-NAND} flash memory with 48 stacked {WL} layers}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {130--131}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417941}, doi = {10.1109/ISSCC.2016.7417941}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangJKKCKRKLKLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPHOJ16, author = {Jun{-}Eun Park and Jiheon Park and Young{-}Ha Hwang and Jonghyun Oh and Deog{-}Kyoon Jeong}, title = {11.6 {A} 100-TRX-channel configurable 85-to-385Hz-frame-rate analog front-end for touch controller with highly enhanced noise immunity of 20Vpp}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {210--211}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417981}, doi = {10.1109/ISSCC.2016.7417981}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPHOJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SohnYOOSPSJSRYJ16, author = {Kyomin Sohn and Won{-}Joo Yun and Reum Oh and Chi{-}Sung Oh and Seong{-}Young Seo and Min{-}Sang Park and Dong{-}Hak Shin and Won{-}Chang Jung and Sang{-}Hoon Shin and Je{-}Min Ryu and Hye{-}Seung Yu and Jae{-}Hun Jung and Kyung{-}Woo Nam and Seouk{-}Kyu Choi and Jaewook Lee and Uksong Kang and Young{-}Soo Sohn and Jung{-}Hwan Choi and Chi{-}Wook Kim and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {18.2 {A} 1.2V 20nm 307GB/s {HBM} {DRAM} with at-speed wafer-level {I/O} test scheme and adaptive refresh considering temperature distribution}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {316--317}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7418034}, doi = {10.1109/ISSCC.2016.7418034}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SohnYOOSPSJSRYJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kdd/CartonHJMPWCPHG16, author = {Samuel Carton and Jennifer Helsby and Kenneth Joseph and Ayesha Mahmud and Youngsoo Park and Joe Walsh and Crystal Cody and C. P. T. Estella Patterson and Lauren Haynes and Rayid Ghani}, editor = {Balaji Krishnapuram and Mohak Shah and Alexander J. Smola and Charu C. Aggarwal and Dou Shen and Rajeev Rastogi}, title = {Identifying Police Officers at Risk of Adverse Events}, booktitle = {Proceedings of the 22nd {ACM} {SIGKDD} International Conference on Knowledge Discovery and Data Mining, San Francisco, CA, USA, August 13-17, 2016}, pages = {67--76}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2939672.2939698}, doi = {10.1145/2939672.2939698}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/kdd/CartonHJMPWCPHG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/YoonSPP16, author = {Hee{-}Geun Yoon and Hyun{-}Je Song and Seong{-}Bae Park and Se{-}Young Park}, editor = {Kevin Knight and Ani Nenkova and Owen Rambow}, title = {A Translation-Based Knowledge Graph Embedding Preserving Logical Property of Relations}, booktitle = {{NAACL} {HLT} 2016, The 2016 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, San Diego California, USA, June 12-17, 2016}, pages = {907--916}, publisher = {The Association for Computational Linguistics}, year = {2016}, url = {https://doi.org/10.18653/v1/n16-1105}, doi = {10.18653/V1/N16-1105}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/YoonSPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/JeonSMPL16, author = {Younghyun Jeon and Chang{-}Ick Song and Seungjoo Maeng and Myonghee Park and Inkyu Lee}, title = {{MMSE} based two-stage beamforming for large-scale multi-user {MISO} systems}, booktitle = {27th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communications, {PIMRC} 2016, Valencia, Spain, September 4-8, 2016}, pages = {1--6}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/PIMRC.2016.7794666}, doi = {10.1109/PIMRC.2016.7794666}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/JeonSMPL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/plans/JeonPP16, author = {Hyun{-}Cheol Jeon and Young{-}Bum Park and Chan Gook Park}, title = {Robust performance of Terrain Referenced Navigation using flash LiDAR}, booktitle = {{IEEE/ION} Position, Location and Navigation Symposium, {PLANS} 2016, Savannah, GA, USA, April 11-14, 2016}, pages = {970--975}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/PLANS.2016.7479796}, doi = {10.1109/PLANS.2016.7479796}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/plans/JeonPP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/KimYP16, author = {Jeongwoo Kim and Youngmi Yoon and Sanghyun Park}, editor = {Sascha Ossowski}, title = {{IDO:} inferring describable disease-gene relationships using opinion sentences}, booktitle = {Proceedings of the 31st Annual {ACM} Symposium on Applied Computing, Pisa, Italy, April 4-8, 2016}, pages = {15--22}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2851613.2851616}, doi = {10.1145/2851613.2851616}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/KimYP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seke/DashDPG16, author = {Debasis Dash and Juthika Dash and Younghee Park and Jerry Gao}, editor = {Jerry Gou}, title = {Integrated Data Management System for Data Center}, booktitle = {The 28th International Conference on Software Engineering and Knowledge Engineering, {SEKE} 2016, Redwood City, San Francisco Bay, USA, July 1-3, 2016}, pages = {99--104}, publisher = {{KSI} Research Inc. and Knowledge Systems Institute Graduate School}, year = {2016}, url = {https://doi.org/10.18293/SEKE2016-128}, doi = {10.18293/SEKE2016-128}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/seke/DashDPG16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/ChoiKCPK16, author = {Yunchul Choi and Dongmyoung Kim and Younghwan Choi and Jungsoo Park and JeongGil Ko}, editor = {Philip Alexander Levis and Steve Eglash and Lama Nachman and Anthony Rowe}, title = {Utilizing IP-over-NFC for Secure Data Transmissions: Demo Abstract}, booktitle = {Proceedings of the 14th {ACM} Conference on Embedded Network Sensor Systems, SenSys 2016, Stanford, CA, USA, November 14-16, 2016}, pages = {306--307}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2994551.2996535}, doi = {10.1145/2994551.2996535}, timestamp = {Tue, 23 May 2023 07:16:12 +0200}, biburl = {https://dblp.org/rec/conf/sensys/ChoiKCPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sensys/LeePKKPYK16, author = {Sukhoon Lee and Jaeyeon Park and Doyeop Kim and Tae Young Kim and Rae Woong Park and Dukyong Yoon and JeongGil Ko}, editor = {Philip Alexander Levis and Steve Eglash and Lama Nachman and Anthony Rowe}, title = {Constructing a Bio-Signal Repository from an Intensive Care Unit for Effective Big-data Analysis: Poster Abstract}, booktitle = {Proceedings of the 14th {ACM} Conference on Embedded Network Sensor Systems, SenSys 2016, Stanford, CA, USA, November 14-16, 2016}, pages = {372--373}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2994551.2996712}, doi = {10.1145/2994551.2996712}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/sensys/LeePKKPYK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/KimYKYP16, author = {Jungrim Kim and Yunku Yeu and Jeongwoo Kim and Youngmi Yoon and Sanghyun Park}, title = {{DSS:} {A} biclustering method to identify diverse and state specific gene modules in gene expression data}, booktitle = {2016 {IEEE} International Conference on Systems, Man, and Cybernetics, {SMC} 2016, Budapest, Hungary, October 9-12, 2016}, pages = {430--436}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/SMC.2016.7844279}, doi = {10.1109/SMC.2016.7844279}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/smc/KimYKYP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/HanedaTZALWSLBL16, author = {Katsuyuki Haneda and Lei Tan and Yi Zheng and Henrik Asplund and Jian Li and Yi Wang and David Steer and Clara Li and Tommaso Balercia and Sunguk Lee and YoungSuk Kim and Amitava Ghosh and Timothy A. Thomas and Takehiro Nakamura and Yuichi Kakishima and Tetsuro Imai and Haralabos C. Papadopoulos and Theodore S. Rappaport and George R. MacCartney and Mathew K. Samimi and Shu Sun and Ozge H. Koymen and Sooyoung Hur and Jeongho Park and Jianzhong Charlie Zhang and Evangelos Mellios and Andreas F. Molisch and Saeed S. Ghassamzadeh and Arun Ghosh}, title = {5G 3GPP-Like Channel Models for Outdoor Urban Microcellular and Macrocellular Environments}, booktitle = {{IEEE} 83rd Vehicular Technology Conference, {VTC} Spring 2016, Nanjing, China, May 15-18, 2016}, pages = {1--7}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VTCSpring.2016.7503971}, doi = {10.1109/VTCSPRING.2016.7503971}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/HanedaTZALWSLBL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HanedaTALWSLBLK16, author = {Katsuyuki Haneda and Lei Tian and Henrik Asplund and Jian Li and Yi Wang and David Steer and Clara Li and Tommaso Balercia and Sunguk Lee and YoungSuk Kim and Amitava Ghosh and Timothy A. Thomas and Takehiro Nakamura and Yuichi Kakishima and Tetsuro Imai and Haralabos C. Papadopoulos and Theodore S. Rappaport and George R. MacCartney Jr. and Mathew K. Samimi and Shu Sun and Ozge H. Koymen and Sooyoung Hur and Jeongho Park and Jianzhong Charlie Zhang and Evangelos Mellios and Andreas F. Molisch and Saeed S. Ghassamzadah and Arun Ghosh}, title = {Indoor 5G 3GPP-like Channel Models for Office and Shopping Mall Environments}, journal = {CoRR}, volume = {abs/1603.04079}, year = {2016}, url = {http://arxiv.org/abs/1603.04079}, eprinttype = {arXiv}, eprint = {1603.04079}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HanedaTALWSLBLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/HanedaTZALWSLBL16, author = {Katsuyuki Haneda and Lei Tian and Yi Zheng and Henrik Asplund and Jian Li and Yi Wang and David Steer and Clara Li and Tommaso Balercia and Sunguk Lee and YoungSuk Kim and Amitava Ghosh and Timothy A. Thomas and Takehiro Nakamura and Yuichi Kakishima and Tetsuro Imai and Haralabos C. Papadopoulos and Theodore S. Rappaport and George R. MacCartney Jr. and Mathew K. Samimi and Shu Sun and Ozge H. Koymen and Sooyoung Hur and Jeongho Park and Jianzhong Charlie Zhang and Evangelos Mellios and Andreas F. Molisch and Saeed S. Ghassamzadah and Arun Ghosh}, title = {5G 3GPP-like Channel Models for Outdoor Urban Microcellular and Macrocellular Environments}, journal = {CoRR}, volume = {abs/1602.07533}, year = {2016}, url = {http://arxiv.org/abs/1602.07533}, eprinttype = {arXiv}, eprint = {1602.07533}, timestamp = {Sat, 29 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/HanedaTZALWSLBL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KimLKCYKGNHJKPK15, author = {Dae{-}Kyum Kim and Jaewook Lee and Sae Rom Kim and Dong{-}Sic Choi and Yae Jin Yoon and Ji Hyun Kim and Gyeongyun Go and Dinh Nhung and Kahye Hong and Su Chul Jang and Si{-}Hyun Kim and Kyong{-}Su Park and Oh Youn Kim and Hyun Taek Park and Ji Hye Seo and Elena Aikawa and Monika Baj{-}Krzyworzeka and Bas W. M. van Balkom and Mattias Belting and Lionel Blanc and Vincent Bond and Antonella Bongiovanni and Francesc E. Borr{\`{a}}s and Luc Bu{\'{e}}e and Edit I. Buz{\'{a}}s and Lesley Cheng and Aled Clayton and Emanuele Cocucci and Charles S. Dela Cruz and Dominic M. Desiderio and Dolores Di Vizio and Karin Ekstr{\"{o}}m and Juan M. Falcon{-}Perez and Chris Gardiner and Bernd Giebel and David W. Greening and Julia Christina Gross and Dwijendra Gupta and An Hendrix and Andrew F. Hill and Michelle M. Hill and Esther Nolte{-}'t Hoen and Do Won Hwang and Jameel Inal and Medicharla V. Jagannadham and Muthuvel Jayachandran and Young{-}Koo Jee and Malene J{\o}rgensen and Kwang Pyo Kim and Yoon{-}Keun Kim and Thomas Kislinger and Cecilia L{\"{a}}sser and Dong Soo Lee and Hakmo Lee and Johannes van Leeuwen and Thomas Lener and Ming{-}Lin Liu and Jan L{\"{o}}tvall and Antonio Marcilla and Suresh Mathivanan and Andreas M{\"{o}}ller and Jess Morhayim and Fran{\c{c}}ois Mullier and Irina Nazarenko and Rienk Nieuwland and Diana N. Nunes and Ken C. Pang and Jaesung Park and Tushar Patel and Gabriella Pocsfalvi and Hernando del Portillo and Ulrich Putz and Marcel I. Ramirez and Marcio L. Rodrigues and Tae{-}Young Roh and Felix Royo and Susmita Sahoo and Raymond Schiffelers and Shivani Sharma and Pia Siljander and Richard J. Simpson and Carolina Soekmadji and Philip Stahl and Allan Stensballe and Ewa L. Stepien and Hidetoshi Tahara and Arne Trummer and Hadi Valadi and Laura J. Vella and Sun Nyunt Wai and Kenneth W. Witwer and Mar{\'{\i}}a Y{\'{a}}{\~{n}}ez{-}M{\'{o}} and Hyewon Youn and Reinhard Zeidler and Yong Song Gho}, title = {EVpedia: a community web portal for extracellular vesicles research}, journal = {Bioinform.}, volume = {31}, number = {6}, pages = {933--939}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btu741}, doi = {10.1093/BIOINFORMATICS/BTU741}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KimLKCYKGNHJKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KimPJ15, author = {Hyun{-}Woo Kim and Jong Hyuk Park and Young{-}Sik Jeong}, title = {An efficient character input scheme with a gyro sensor of smartphone on ubiquitous cluster computing}, journal = {Clust. Comput.}, volume = {18}, number = {1}, pages = {147--156}, year = {2015}, url = {https://doi.org/10.1007/s10586-014-0382-z}, doi = {10.1007/S10586-014-0382-Z}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cluster/KimPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/ChoJP15, author = {Jung{-}Sik Cho and Young{-}Sik Jeong and Sang Oh Park}, title = {Consideration on the brute-force attack cost and retrieval cost: {A} hash-based radio-frequency identification {(RFID)} tag mutual authentication protocol}, journal = {Comput. Math. Appl.}, volume = {69}, number = {1}, pages = {58--65}, year = {2015}, url = {https://doi.org/10.1016/j.camwa.2012.02.025}, doi = {10.1016/J.CAMWA.2012.02.025}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cma/ChoJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecoi/NamKJYPC15, author = {Youngwoo Nam and Sang{-}Hyun Koh and Sung{-}Jae Jeon and Ho{-}Joong Youn and Young{-}Seuk Park and Won Il Choi}, title = {Hazard rating of coastal pine forests for a black pine bast scale using self-organizing map {(SOM)} and random forest approaches}, journal = {Ecol. Informatics}, volume = {29}, pages = {206--213}, year = {2015}, url = {https://doi.org/10.1016/j.ecoinf.2014.11.001}, doi = {10.1016/J.ECOINF.2014.11.001}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ecoi/NamKJYPC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hcis/LeeJP15, author = {Jeong Kyu Lee and Young{-}Sik Jeong and Jong Hyuk Park}, title = {s-ITSF: a service based intelligent transportation system framework for smart accident management}, journal = {Hum. centric Comput. Inf. Sci.}, volume = {5}, pages = {34}, year = {2015}, url = {https://doi.org/10.1186/s13673-015-0054-x}, doi = {10.1186/S13673-015-0054-X}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hcis/LeeJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/JeongPP15, author = {Young{-}Sik Jeong and Ji Soo Park and Jong Hyuk Park}, title = {An efficient authentication system of smart device using multi factors in mobile cloud service architecture}, journal = {Int. J. Commun. Syst.}, volume = {28}, number = {4}, pages = {659--674}, year = {2015}, url = {https://doi.org/10.1002/dac.2694}, doi = {10.1002/DAC.2694}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/JeongPP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/JeongKYP15, author = {Young{-}Sik Jeong and Hyun{-}Woo Kim and Neil Y. Yen and Jong Hyuk Park}, title = {Multi-WSN Simulator with Log Data for Efficient Sensing on Internet of Things}, journal = {Int. J. Distributed Sens. Networks}, volume = {11}, pages = {348682:1--348682:11}, year = {2015}, url = {https://doi.org/10.1155/2015/348682}, doi = {10.1155/2015/348682}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/JeongKYP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/ParkLHKHKH15, author = {Hayoung Park and Sang{-}il Lee and Hee Hwang and Yoon Kim and Eun{-}Young Heo and Jeong{-}Whun Kim and Kyooseob Ha}, title = {Can a health information exchange save healthcare costs? Evidence from a pilot program in South Korea}, journal = {Int. J. Medical Informatics}, volume = {84}, number = {9}, pages = {658--666}, year = {2015}, url = {https://doi.org/10.1016/j.ijmedinf.2015.05.008}, doi = {10.1016/J.IJMEDINF.2015.05.008}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/ParkLHKHKH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/ChoKPPL15, author = {Nam{-}Gyu Cho and Young{-}Ji Kim and Unsang Park and Jeong{-}Seon Park and Seong{-}Whan Lee}, title = {Group Activity Recognition with Group Interaction Zone Based on Relative Distance Between Human Objects}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {29}, number = {5}, pages = {1555007:1--1555007:15}, year = {2015}, url = {https://doi.org/10.1142/S0218001415550071}, doi = {10.1142/S0218001415550071}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijprai/ChoKPPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsn/LeeKJKICPLK15, author = {Ki Young Lee and Aleum Kim and Ye Eun Jeon and Jeong{-}Joon Kim and Yong Soon Im and Gyoo{-}Seok Choi and Sang{-}Bong Park and Yun Sik Lim and Jeong Jin Kang}, title = {Spatio-temporal {XACML:} the expansion of {XACML} for access control}, journal = {Int. J. Secur. Networks}, volume = {10}, number = {1}, pages = {56--63}, year = {2015}, url = {https://doi.org/10.1504/IJSN.2015.068412}, doi = {10.1504/IJSN.2015.068412}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsn/LeeKJKICPLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jaise/ParkJ15, author = {Jong Hyuk Park and Hwa{-}Young Jeong}, title = {Service based {AEHS} for human centric learning environments}, journal = {J. Ambient Intell. Smart Environ.}, volume = {7}, number = {2}, pages = {231--242}, year = {2015}, url = {https://doi.org/10.3233/AIS-150305}, doi = {10.3233/AIS-150305}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jaise/ParkJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/KimKYP15, author = {Jeongwoo Kim and Hyunjin Kim and Youngmi Yoon and Sanghyun Park}, title = {LGscore: {A} method to identify disease-related genes using biological literature and Google data}, journal = {J. Biomed. Informatics}, volume = {54}, pages = {270--282}, year = {2015}, url = {https://doi.org/10.1016/j.jbi.2015.01.003}, doi = {10.1016/J.JBI.2015.01.003}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jbi/KimKYP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcphy/AhnJP15, author = {Chi Young Ahn and Kiwan Jeon and Won{-}Kwang Park}, title = {Analysis of MUSIC-type imaging functional for single, thin electromagnetic inhomogeneity in limited-view inverse scattering problem}, journal = {J. Comput. Phys.}, volume = {291}, pages = {198--217}, year = {2015}, url = {https://doi.org/10.1016/j.jcp.2015.03.018}, doi = {10.1016/J.JCP.2015.03.018}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jcphy/AhnJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongLKSPKHJLCSY15, author = {Keunsoo Song and Sangkwon Lee and Dongkyun Kim and Youngbo Shim and Sangil Park and Bokrim Ko and Duckhwa Hong and Yongsuk Joo and Wooyoung Lee and Yongdeok Cho and Wooyeol Shin and Jaewoong Yun and Hyengouk Lee and Jeonghun Lee and Eunryeong Lee and Namkyu Jang and Jaemo Yang and Haekang Jung and Joohwan Cho and Hyeongon Kim and Jinkook Kim}, title = {A 1.1 {V} 2y-nm 4.35 Gb/s/pin 8 Gb {LPDDR4} Mobile Device With Bandwidth Improvement Techniques}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {8}, pages = {1945--1959}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2015.2429588}, doi = {10.1109/JSSC.2015.2429588}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongLKSPKHJLCSY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/LeeOSPY15, author = {Taegyu Lee and Hyun Oh Oh and Jeongil Seo and Young{-}Cheol Park and Dae Hee Youn}, title = {Scalable Multiband Binaural Renderer for {MPEG-H} 3D Audio}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {9}, number = {5}, pages = {907--920}, year = {2015}, url = {https://doi.org/10.1109/JSTSP.2015.2425799}, doi = {10.1109/JSTSP.2015.2425799}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/LeeOSPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiKLJAKJPYCKK15, author = {Mi{-}Ri Choi and Hyung{-}Giun Kim and Taeg{-}Woo Lee and Young{-}Jun Jeon and Yong{-}Keun Ahn and Kyo{-}Wang Koo and You{-}Cheol Jang and So{-}Yeon Park and Jae{-}Hak Yee and Nam{-}Kwon Cho and Il{-}Tae Kang and Sangshik Kim and Seung{-}Zeon Han and Sung{-}Hwan Lim}, title = {Microstructural evaluation and failure analysis of Ag wire bonded to Al pads}, journal = {Microelectron. Reliab.}, volume = {55}, number = {11}, pages = {2306--2315}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.07.038}, doi = {10.1016/J.MICROREL.2015.07.038}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChoiKLJAKJPYCKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ChoiJPJ15, author = {Cheol{-}Rim Choi and Hwa{-}Young Jeong and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Relative weight evaluation of the factors inducing social media service use}, journal = {Multim. Tools Appl.}, volume = {74}, number = {14}, pages = {5041--5054}, year = {2015}, url = {https://doi.org/10.1007/s11042-013-1713-4}, doi = {10.1007/S11042-013-1713-4}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ChoiJPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JeongHP15, author = {Hwa{-}Young Jeong and Bong{-}Hwa Hong and Jong Hyuk Park}, title = {User tailored cloud-learning system using {SNS} and learning resources}, journal = {Multim. Tools Appl.}, volume = {74}, number = {14}, pages = {5073--5084}, year = {2015}, url = {https://doi.org/10.1007/s11042-013-1717-0}, doi = {10.1007/S11042-013-1717-0}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JeongHP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nar/SquizzatoPBGCLU15, author = {Silvano Squizzato and Young Mi Park and Nicola Buso and Tamer Gur and Andrew Peter Cowley and Weizhong Li and Mahmut Uludag and Sangya Pundir and Jennifer A. Cham and Hamish McWilliam and Rodrigo Lopez}, title = {The {EBI} Search engine: providing search and retrieval functionality for biological data from {EMBL-EBI}}, journal = {Nucleic Acids Res.}, volume = {43}, number = {Webserver-Issue}, pages = {W585--W588}, year = {2015}, url = {https://doi.org/10.1093/nar/gkv316}, doi = {10.1093/NAR/GKV316}, timestamp = {Fri, 10 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nar/SquizzatoPBGCLU15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuroimage/HamCLBSHSSLL15, author = {Jee Hyun Ham and Jungho Cha and Jae Jung Lee and Gwang{-}Min Baek and Mun Kyung Sunwoo and Jin Yong Hong and Na{-}Young Shin and Young Ho Sohn and Jong{-}Min Lee and Phil Hyu Lee}, title = {Nigrostriatal dopamine-independent resting-state functional networks in Parkinson's disease}, journal = {NeuroImage}, volume = {119}, pages = {296--304}, year = {2015}, url = {https://doi.org/10.1016/j.neuroimage.2015.06.077}, doi = {10.1016/J.NEUROIMAGE.2015.06.077}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/neuroimage/HamCLBSHSSLL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/0003KPPJY15, author = {Young Ho Park and Seung Yong Kwon and Tuyen Danh Pham and Kang Ryoung Park and Dae Sik Jeong and Sungsoo Yoon}, title = {A High Performance Banknote Recognition System Based on a One-Dimensional Visible Light Line Sensor}, journal = {Sensors}, volume = {15}, number = {6}, pages = {14093--14115}, year = {2015}, url = {https://doi.org/10.3390/s150614093}, doi = {10.3390/S150614093}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/0003KPPJY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoPCKP15, author = {Keunhee Cho and Sung Yong Park and Jeong{-}Rae Cho and Sung Tae Kim and Young{-}Hwan Park}, title = {Estimation of Prestress Force Distribution in the Multi-Strand System of Prestressed Concrete Structures}, journal = {Sensors}, volume = {15}, number = {6}, pages = {14079--14092}, year = {2015}, url = {https://doi.org/10.3390/s150614079}, doi = {10.3390/S150614079}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoPCKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPPCC15, author = {Sung Tae Kim and Young{-}Hwan Park and Sung Yong Park and Keunhee Cho and Jeong{-}Rae Cho}, title = {A Sensor-Type {PC} Strand with an Embedded {FBG} Sensor for Monitoring Prestress Forces}, journal = {Sensors}, volume = {15}, number = {1}, pages = {1060--1070}, year = {2015}, url = {https://doi.org/10.3390/s150101060}, doi = {10.3390/S150101060}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimPPCC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Pham0KNVPJY15, author = {Tuyen Danh Pham and Young Ho Park and Seung Yong Kwon and Dat Tien Nguyen and Husan Vokhidov and Kang Ryoung Park and Dae Sik Jeong and Sungsoo Yoon}, title = {Recognizing Banknote Fitness with a Visible Light One Dimensional Line Image Sensor}, journal = {Sensors}, volume = {15}, number = {9}, pages = {21016--21032}, year = {2015}, url = {https://doi.org/10.3390/s150921016}, doi = {10.3390/S150921016}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/Pham0KNVPJY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/JeonPY15, author = {Se{-}Woon Jeon and Young{-}Cheol Park and Dae Hee Youn}, title = {Auditory Distance Rendering Based on {ICPD} Control for Stereophonic 3D Audio System}, journal = {{IEEE} Signal Process. Lett.}, volume = {22}, number = {5}, pages = {529--533}, year = {2015}, url = {https://doi.org/10.1109/LSP.2014.2363455}, doi = {10.1109/LSP.2014.2363455}, timestamp = {Sun, 28 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/JeonPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LeePJP15, author = {Jang Ho Lee and Doo{-}Soon Park and Young{-}Sik Jeong and Jong Hyuk Park}, title = {Live Mobile Distance Learning System for Smart Devices}, journal = {Symmetry}, volume = {7}, number = {2}, pages = {294--304}, year = {2015}, url = {https://doi.org/10.3390/sym7020294}, doi = {10.3390/SYM7020294}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/LeePJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/KuiHCJPOS15, author = {Zifeng Kui and Jae{-}Shin Han and Jeong Min Choi and Sungho Jeon and Joosung Park and Youngho Oh and Jong{-}Soo Seo}, title = {Advanced Preamble Transmit Diversity of Polarized {DVB-T2} {MISO} System Using Hybrid Differential Modulation}, journal = {{IEEE} Trans. Broadcast.}, volume = {61}, number = {4}, pages = {723--728}, year = {2015}, url = {https://doi.org/10.1109/TBC.2015.2465142}, doi = {10.1109/TBC.2015.2465142}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/KuiHCJPOS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/LeeSPJ15, author = {Hoyoung Lee and Huik Jae Shim and Younghyeon Park and Byeungwoo Jeon}, title = {Early Skip Mode Decision for {HEVC} Encoder With Emphasis on Coding Quality}, journal = {{IEEE} Trans. Broadcast.}, volume = {61}, number = {3}, pages = {388--397}, year = {2015}, url = {https://doi.org/10.1109/TBC.2015.2419172}, doi = {10.1109/TBC.2015.2419172}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/LeeSPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KwonSLGHHLPY15, author = {Jin{-}hee Kwon and Mincheol Seo and Hwiseob Lee and Je{-}hyun Gu and Junghyun Ham and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Cheon{-}Seok Park and Youngoo Yang}, title = {Broadband Doherty Power Amplifier Based on Asymmetric Load Matching Networks}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {62-II}, number = {6}, pages = {533--537}, year = {2015}, url = {https://doi.org/10.1109/TCSII.2015.2407197}, doi = {10.1109/TCSII.2015.2407197}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KwonSLGHHLPY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KimAP15, author = {Wonkook Kim and Jae{-}Hyun Ahn and Young{-}Je Park}, title = {Correction of Stray-Light-Driven Interslot Radiometric Discrepancy {(ISRD)} Present in Radiometric Products of Geostationary Ocean Color Imager {(GOCI)}}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {53}, number = {10}, pages = {5458--5472}, year = {2015}, url = {https://doi.org/10.1109/TGRS.2015.2422831}, doi = {10.1109/TGRS.2015.2422831}, timestamp = {Tue, 12 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tgrs/KimAP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:books/sp/15/KwonLRHCKJOLKCPL15, author = {Oh{-}Woog Kwon and Ki{-}Young Lee and Yoon{-}Hyung Roh and Jin{-}Xia Huang and Sung{-}Kwon Choi and Young Kil Kim and Hyung{-}Bae Jeon and Yoo Rhee Oh and Yun{-}Kyung Lee and Byung Ok Kang and Euisok Chung and Jeon Gue Park and Yunkeun Lee}, editor = {Gary Geunbae Lee and Hong Kook Kim and Minwoo Jeong and Ji{-}Hwan Kim}, title = {GenieTutor: {A} Computer-Assisted Second-Language Learning System Based on Spoken Language Understanding}, booktitle = {Natural Language Dialog Systems and Intelligent Assistants, 6th International Workshop on Spoken Dialogue Systems, {IWSDS} 2015, Busan, Korea, January 11-13, 2015}, pages = {257--262}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19291-8\_26}, doi = {10.1007/978-3-319-19291-8\_26}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/15/KwonLRHCKJOLKCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/KimSPJ15, author = {Hyun{-}Woo Kim and Eun{-}Ha Song and Jong Hyuk Park and Young{-}Sik Jeong}, editor = {Leonard Barolli and Makoto Takizawa and Fatos Xhafa and Tomoya Enokido and Jong Hyuk Park}, title = {Parallel Processing Simulator for Separate Sensor of {WSN} Simulator with {GPU}}, booktitle = {29th {IEEE} International Conference on Advanced Information Networking and Applications, {AINA} 2015, Gwangju, South Korea, March 24-27, 2015}, pages = {255--262}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/AINA.2015.193}, doi = {10.1109/AINA.2015.193}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/KimSPJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apgv/JeongSCPL15, author = {Kyungho Jeong and Youngah Seong and Junsung Chung and Yonggook Park and Woohyoung Lee}, editor = {Laura C. Trutoiu and Michael Geuss and Scott Kuhl and Betsy Sanders and Rafal Mantiuk}, title = {Directional thermal perception for wearable device}, booktitle = {Proceedings of the {ACM} {SIGGRAPH} Symposium on Applied Perception, {SAP} '15, T{\"{u}}bingen, Germany, September 13-14, 2015}, pages = {133}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2804408.2814184}, doi = {10.1145/2804408.2814184}, timestamp = {Tue, 06 Nov 2018 11:07:21 +0100}, biburl = {https://dblp.org/rec/conf/apgv/JeongSCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/KimLSP15, author = {Jeu{-}Young Kim and Hark{-}Jin Lee and Jiyeon Son and Jun{-}Hee Park}, title = {Smart home web of objects-based IoT management model and methods for home data mining}, booktitle = {17th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2015, Busan, South Korea, August 19-21, 2015}, pages = {327--331}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/APNOMS.2015.7275448}, doi = {10.1109/APNOMS.2015.7275448}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/KimLSP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/JeongKYLPKK15, author = {Eun{-}Jin Jeong and Hakseung Kim and Xiao ke Yang and Hack{-}Jin Lee and Dae{-}Hyeon Park and Young{-}Tak Kim and Dong{-}Joo Kim}, title = {Morphological landmark detection in arterial blood pressure and intracranial pressure: Preliminary procedures for intracranial pressure waveform analysis}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073049}, doi = {10.1109/IWW-BCI.2015.7073049}, timestamp = {Wed, 23 Mar 2022 08:10:08 +0100}, biburl = {https://dblp.org/rec/conf/bci3/JeongKYLPKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/KimKPYLJK15, author = {Young{-}Tak Kim and Hakseung Kim and Dae{-}Hyeon Park and Xiao ke Yang and Hack{-}Jin Lee and Eun{-}Jin Jeong and Dong{-}Joo Kim}, title = {Automated phase segmentation in cerebrospinal fluid infusion test}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073047}, doi = {10.1109/IWW-BCI.2015.7073047}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/KimKPYLJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/KimYKLJPK15, author = {Hakseung Kim and Xiao ke Yang and Young{-}Tak Kim and Hack{-}Jin Lee and Eun{-}Jin Jeong and Dae{-}Hyeon Park and Dong{-}Joo Kim}, title = {The age-related difference in computed tomography density distribution: {A} preliminary report}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073041}, doi = {10.1109/IWW-BCI.2015.7073041}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/KimYKLJPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/LeeKLYJPKK15, author = {Hack{-}Jin Lee and Hakseung Kim and Dong{-}Ho Lee and Xiao ke Yang and Eun{-}Jin Jeong and Dae{-}Hyeon Park and Young{-}Tak Kim and Dong{-}Joo Kim}, title = {Noninvasive assessment of intracranial pressure using functional matrix estimation method}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073052}, doi = {10.1109/IWW-BCI.2015.7073052}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/LeeKLYJPKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/ParkKKYLJK15, author = {Dae{-}Hyeon Park and Hakseung Kim and Young{-}Tak Kim and Xiao ke Yang and Hack{-}Jin Lee and Eun{-}Jin Jeong and Dong{-}Joo Kim}, title = {Automated artefact elimination in computed tomography: {A} preliminary report for traumatic brain injury and stroke}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073038}, doi = {10.1109/IWW-BCI.2015.7073038}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/ParkKKYLJK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bci3/YangKYJPKLK15, author = {Xiao ke Yang and Hakseung Kim and Seong Yi and Eun{-}Jin Jeong and Dae{-}Hyeon Park and Young{-}Tak Kim and Hack{-}Jin Lee and Dong{-}Joo Kim}, title = {Semi-automatic designation and segmentation of vertebra and spinal cord in spinal {MR} imaging: {A} preliminary report}, booktitle = {The 3rd International Winter Conference on Brain-Computer Interface, {BCI} 2015, Gangwon-Do, South Korea, January 12-14, 2015}, pages = {1--2}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IWW-BCI.2015.7073045}, doi = {10.1109/IWW-BCI.2015.7073045}, timestamp = {Wed, 23 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bci3/YangKYJPKLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/KimP15, author = {Je{-}Min Kim and Young{-}Tack Park}, title = {Scalable OWL-Horst ontology reasoning using {SPARK}}, booktitle = {2015 International Conference on Big Data and Smart Computing, {BIGCOMP} 2015, Jeju, South Korea, February 9-11, 2015}, pages = {79--86}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/35021BIGCOMP.2015.7072815}, doi = {10.1109/35021BIGCOMP.2015.7072815}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/KimP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataservice/LiZGP15, author = {Shuyu Li and Tao Zhang and Jerry Gao and Younghee Park}, title = {A Sticky Policy Framework for Big Data Security}, booktitle = {First {IEEE} International Conference on Big Data Computing Service and Applications, BigDataService 2015, Redwood City, CA, USA, March 30 - April 2, 2015}, pages = {130--137}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BigDataService.2015.71}, doi = {10.1109/BIGDATASERVICE.2015.71}, timestamp = {Thu, 01 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataservice/LiZGP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigdataservice/SongPGNZ15, author = {Chungsik Song and Younghee Park and Jerry Gao and Sri Kinnera Nanduri and William Zegers}, title = {Favored Encryption Techniques for Cloud Storage}, booktitle = {First {IEEE} International Conference on Big Data Computing Service and Applications, BigDataService 2015, Redwood City, CA, USA, March 30 - April 2, 2015}, pages = {267--274}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/BigDataService.2015.75}, doi = {10.1109/BIGDATASERVICE.2015.75}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bigdataservice/SongPGNZ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KwonPLKHK15, author = {Sunhyoung Kwon and Sung Ik Park and Jae Young Lee and Heung Mook Kim and Namho Hur and Jeongchang Kim}, title = {Simplified non-uniform constellation demapping scheme for the next broadcasting system}, booktitle = {2015 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2015, Ghent, Belgium, June 17-19, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BMSB.2015.7177239}, doi = {10.1109/BMSB.2015.7177239}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmsb/KwonPLKHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/ParkWZMLAKKHK15, author = {Sung Ik Park and Yiyan Wu and Liang Zhang and Jon Montalban and Jae{-}Young Lee and Pablo Angueira and Sunhyoung Kwon and Heung Mook Kim and Namho Hur and Jeongchang Kim}, title = {Low complexity layered division multiplexing system for the next generation terrestrial broadcasting}, booktitle = {2015 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2015, Ghent, Belgium, June 17-19, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BMSB.2015.7177208}, doi = {10.1109/BMSB.2015.7177208}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/ParkWZMLAKKHK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/JeongPS15, author = {Wang{-}Boo Jeong and Dong{-}Won Park and Young{-}Ho Sohn}, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Optimization of {LSPL} Algorithm for Data Transfer in Sensor Networks Based on {LEACH}}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {789--796}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_111}, doi = {10.1007/978-981-10-0281-6\_111}, timestamp = {Fri, 08 Mar 2019 11:06:31 +0100}, biburl = {https://dblp.org/rec/conf/csa2/JeongPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/KimPBHJ15, author = {Hyun{-}Woo Kim and Boo{-}Kwang Park and HwiRim Byun and Yoon{-}A. Heo and Young{-}Sik Jeong}, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Efficient Character Input Scheme Based on Gyro-Accelerometer Sensor for {NUI}}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {101--107}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_15}, doi = {10.1007/978-981-10-0281-6\_15}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csa2/KimPBHJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/csa2/ParkKHKLKJKLHPS15, author = {Joonheung Park and Taehoon Koh and Jun{-}Ho Huh and Taeyoung Kim and Jeongho Lee and Jaesoon Kang and Donghyun Ju and Jeongdae Kim and Junwon Lee and Taewook Hwang and Youngjoon Park and Kyungryong Seo}, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Design of the Real-Time Mobile Push System for Implementation of the Shipboard Smart Working}, booktitle = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, pages = {541--548}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6\_78}, doi = {10.1007/978-981-10-0281-6\_78}, timestamp = {Wed, 01 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/csa2/ParkKHKLKJKLHPS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscw/ParkKLYJC15, author = {Sungkyu Park and Inyeop Kim and Sang Won Lee and Jaehyun Yoo and Bumseok Jeong and Meeyoung Cha}, editor = {Dan Cosley and Andrea Forte and Luigina Ciolfi and David McDonald}, title = {Manifestation of Depression and Loneliness on Social Networks: {A} Case Study of Young Adults on Facebook}, booktitle = {Proceedings of the 18th {ACM} Conference on Computer Supported Cooperative Work {\&} Social Computing, {CSCW} 2015, Vancouver, BC, Canada, March 14 - 18, 2015}, pages = {557--570}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2675133.2675139}, doi = {10.1145/2675133.2675139}, timestamp = {Fri, 28 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cscw/ParkKLYJC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/ParkKK15, author = {Jeongsook Park and Hongyeon Kim and Youngkyun Kim}, title = {Torus Networking for Exascale Cloud Storage System}, booktitle = {9th International Conference on Future Generation Communication and Networking, {FGCN} 2015, Jeju Island, South Korea, November 25-28, 2015}, pages = {37--40}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/FGCN.2015.16}, doi = {10.1109/FGCN.2015.16}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/ParkKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimAKPWCP15, author = {Kwang Taik Kim and Seok{-}Ki Ahn and Young{-}Han Kim and Hosung Park and Lele Wang and Chiao{-}Yi Chen and Jeongho Park}, title = {Adaptive Sliding-Window Coded Modulation in Cellular Networks}, booktitle = {2015 {IEEE} Global Communications Conference, {GLOBECOM} 2015, San Diego, CA, USA, December 6-10, 2015}, pages = {1--7}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/GLOCOM.2014.7417816}, doi = {10.1109/GLOCOM.2014.7417816}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimAKPWCP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/DesaiPGCS15, author = {Sanket Desai and Younghee Park and Jerry Zeyu Gao and Sang{-}Yoon Chang and Chungsik Song}, title = {Improving Encryption Performance Using MapReduce}, booktitle = {17th {IEEE} International Conference on High Performance Computing and Communications, {HPCC} 2015, 7th {IEEE} International Symposium on Cyberspace Safety and Security, {CSS} 2015, and 12th {IEEE} International Conference on Embedded Software and Systems, {ICESS} 2015, New York, NY, USA, August 24-26, 2015}, pages = {1350--1355}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HPCC-CSS-ICESS.2015.206}, doi = {10.1109/HPCC-CSS-ICESS.2015.206}, timestamp = {Mon, 14 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hpcc/DesaiPGCS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ict-dm/SeoJPKL15, author = {Jiwon Seo and Inkyu Jeong and Yejing Park and Jinyoung Kim and Jung Tak Lim}, editor = {Yassine Hadjadj Aoul}, title = {Development of open platform for enhancing disaster risk management}, booktitle = {2nd International Conference on Information and Communication Technologies for Disaster Management, {ICT-DM} 2015, Rennes, France, November 30 - December 2, 2015}, pages = {287--288}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICT-DM.2015.7402016}, doi = {10.1109/ICT-DM.2015.7402016}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/ict-dm/SeoJPKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AhnLKYKHLP15, author = {Jinhong Ahn and Jeaheung Lim and Seok{-}Hyang Kim and Jun{-}Yeon Yun and Changhyun Kim and Sang{-}Hoon Hong and Myoung{-}Jin Lee and Youngjune Park}, title = {16.6 Double-side {CMOS-CNT} biosensor array with padless structure for simple bare-die measurements in a medical environment}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063045}, doi = {10.1109/ISSCC.2015.7063045}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AhnLKYKHLP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ivs/KimJJP15, author = {Jinsoo Kim and Jin Han Jeong and Kyung Young Jhang and Jahng Hyon Park}, title = {Demonstration of disturbance propagation and amplification in car-following situation for enhancement of vehicle platoon system}, booktitle = {2015 {IEEE} Intelligent Vehicles Symposium, {IV} 2015, Seoul, South Korea, June 28 - July 1, 2015}, pages = {999--1005}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IVS.2015.7225815}, doi = {10.1109/IVS.2015.7225815}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/ivs/KimJJP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kse/ParkJJM15, author = {Eun{-}Ae Park and Hyuntae Ju and Yong Mu Jeong and Soo{-}Young Min}, editor = {Bernard M{\'{e}}rialdo and Minh Le Nguyen and Duy{-}Dinh Le and Duc Anh Duong and Satoshi Tojo}, title = {Tracking-Learning-Detection Adopted Unsupervised Learning Algorithm}, booktitle = {2015 Seventh International Conference on Knowledge and Systems Engineering, {KSE} 2015, Ho Chi Minh City, Vietnam, October 8-10, 2015}, pages = {234--237}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/KSE.2015.59}, doi = {10.1109/KSE.2015.59}, timestamp = {Tue, 18 Oct 2022 10:42:25 +0200}, biburl = {https://dblp.org/rec/conf/kse/ParkJJM15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/JeongPKS15, author = {Soyun Jeong and Youngmin Park and Sangwoo Kang and Jungyun Seo}, title = {Improved Entity Linking with User History and News Articles}, booktitle = {Proceedings of the 29th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 29, Shanghai, China, October 30 - November 1, 2015}, publisher = {{ACL}}, year = {2015}, url = {https://aclanthology.org/Y15-2003/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/JeongPKS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/paclic/KimPJCGH15, author = {Jong Myoung Kim and Hancheol Park and Young{-}Seob Jeong and Ho{-}Jin Choi and Gahgene Gweon and Jeong Hur}, title = {Measuring Popularity of Machine-Generated Sentences Using Term Count, Document Frequency, and Dependency Language Model}, booktitle = {Proceedings of the 29th Pacific Asia Conference on Language, Information and Computation, {PACLIC} 29, Shanghai, China, October 30 - November 1, 2015}, publisher = {{ACL}}, year = {2015}, url = {https://aclanthology.org/Y15-2037/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/paclic/KimPJCGH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/prdc/PakHPKSK15, author = {Eunji Pak and Young{-}Mok Ha and Jeman Park and Yongyeon Kim and Moonsub Song and Taeho Kim}, editor = {Guojun Wang and Tatsuhiro Tsuchiya and Dong Xiang}, title = {{SYNDICATE:} Software Platform for Distributed Real-Time System}, booktitle = {21st {IEEE} Pacific Rim International Symposium on Dependable Computing, {PRDC} 2015, Zhangjiajie, China, November 18-20, 2015}, pages = {327--328}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/PRDC.2015.39}, doi = {10.1109/PRDC.2015.39}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/prdc/PakHPKSK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/JeongYAYP15, author = {Daye Jeong and Yunku Yeu and Jaegyoon Ahn and Youngmi Yoon and Sanghyun Park}, editor = {Roger L. Wainwright and Juan Manuel Corchado and Alessio Bechini and Jiman Hong}, title = {Inference of disease-specific gene interaction network using a Bayesian network learned by genetic algorithm}, booktitle = {Proceedings of the 30th Annual {ACM} Symposium on Applied Computing, Salamanca, Spain, April 13-17, 2015}, pages = {47--53}, publisher = {{ACM}}, year = {2015}, url = {https://doi.org/10.1145/2695664.2695944}, doi = {10.1145/2695664.2695944}, timestamp = {Wed, 15 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/JeongYAYP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sose/ZegersCPG15, author = {William Zegers and Sang{-}Yoon Chang and Younghee Park and Jerry Gao}, title = {A Lightweight Encryption and Secure Protocol for Smartphone Cloud}, booktitle = {2015 {IEEE} Symposium on Service-Oriented System Engineering, {SOSE} 2015, San Francisco Bay, CA, USA, March 30 - April 3, 2015}, pages = {259--266}, publisher = {{IEEE} Computer Society}, year = {2015}, url = {https://doi.org/10.1109/SOSE.2015.47}, doi = {10.1109/SOSE.2015.47}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sose/ZegersCPG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/YuNJPW15, author = {Jeongmin Yu and Seungtak Noh and Youngkyoon Jang and Gabyong Park and Woontack Woo}, title = {A hand-based collaboration framework in egocentric coexistence reality}, booktitle = {12th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2015, Goyang, South Korea, October 28-30, 2015}, pages = {545--548}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/URAI.2015.7358826}, doi = {10.1109/URAI.2015.7358826}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/YuNJPW15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/csa2/2015, editor = {Doo{-}Soon Park and Han{-}Chieh Chao and Young{-}Sik Jeong and James Jong Hyuk Park}, title = {Advances in Computer Science and Ubiquitous Computing - {CSA} {\&} {CUTE} 2015, Cebu, Philippines, December 15-17, 2015}, series = {Lecture Notes in Electrical Engineering}, volume = {373}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-981-10-0281-6}, doi = {10.1007/978-981-10-0281-6}, isbn = {978-981-10-0280-9}, timestamp = {Fri, 08 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/csa2/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/chinaf/ParkPK14, author = {Jaekwan Park and Jeyun Park and Youngki Kim}, title = {System lifecycle processes for cyber security in a research reactor facility}, journal = {Sci. China Inf. Sci.}, volume = {57}, number = {7}, pages = {1--12}, year = {2014}, url = {https://doi.org/10.1007/s11432-013-4792-y}, doi = {10.1007/S11432-013-4792-Y}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/chinaf/ParkPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KangBPJ14, author = {An{-}Na Kang and Leonard Barolli and Jong Hyuk Park and Young{-}Sik Jeong}, title = {A strengthening plan for enterprise information security based on cloud computing}, journal = {Clust. Comput.}, volume = {17}, number = {3}, pages = {703--710}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0327-y}, doi = {10.1007/S10586-013-0327-Y}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/KangBPJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/KimJCKP14, author = {Jin{-}Mook Kim and Hwa{-}Young Jeong and IlKwon Cho and Sun Moo Kang and Jong Hyuk Park}, title = {A secure smart-work service model based OpenStack for Cloud computing}, journal = {Clust. Comput.}, volume = {17}, number = {3}, pages = {691--702}, year = {2014}, url = {https://doi.org/10.1007/s10586-013-0251-1}, doi = {10.1007/S10586-013-0251-1}, timestamp = {Tue, 29 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/KimJCKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cma/JeonPS14, author = {Youngmok Jeon and Eun{-}Jae Park and Dongwoo Sheen}, title = {A hybridized finite element method for the Stokes problem}, journal = {Comput. Math. Appl.}, volume = {68}, number = {12}, pages = {2222--2232}, year = {2014}, url = {https://doi.org/10.1016/j.camwa.2014.08.005}, doi = {10.1016/J.CAMWA.2014.08.005}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cma/JeonPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecr/ParkYJ14, author = {Jong Hyuk Park and Ki Jung Yi and Young{-}Sik Jeong}, title = {An enhanced smartphone security model based on information security management system {(ISMS)}}, journal = {Electron. Commer. Res.}, volume = {14}, number = {3}, pages = {321--348}, year = {2014}, url = {https://doi.org/10.1007/s10660-014-9146-3}, doi = {10.1007/S10660-014-9146-3}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecr/ParkYJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimSBBLPPKDC14, author = {Kwang Jin Kim and Byung Hee Son and Bruce Burgess and Sung Wan Bang and Jeong Woo Lee and Ho{-}Hyun Park and Jaehwa Park and Young{-}Bin Kown and John F. Donegan and Young{-}Wan Choi}, title = {Accurate relative position indicator for tracking-based position estimation system}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {4}, pages = {20130939}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20130939}, doi = {10.1587/ELEX.11.20130939}, timestamp = {Wed, 02 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimSBBLPPKDC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/ParkK14, author = {Jeong{-}Geun Park and Che{-}Young Kim}, title = {Analysis and design of the {CRLH} {SICL} unit cell using effective parameters}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {3}, pages = {20131000}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20131000}, doi = {10.1587/ELEX.11.20131000}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/ParkK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/JeongPY14, author = {Jae{-}woong Jeong and Young{-}Cheol Park and Dae Hee Youn}, title = {Approximated Virtual Source Imaging System for a Pair of Closely Spaced Loudspeakers}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {97-D}, number = {9}, pages = {2526--2529}, year = {2014}, url = {https://doi.org/10.1587/transinf.2014EDL8073}, doi = {10.1587/TRANSINF.2014EDL8073}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/JeongPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/JeongP14, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Visualization of dynamic fault tolerance rerouting for data traffic in wireless sensor network}, journal = {Int. J. Commun. Syst.}, volume = {27}, number = {8}, pages = {1186--1200}, year = {2014}, url = {https://doi.org/10.1002/dac.2406}, doi = {10.1002/DAC.2406}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/JeongP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/ParkKJL14, author = {JiSu Park and Hyongsoon Kim and Young{-}Sik Jeong and EunYoung Lee}, title = {Two-phase grouping-based resource management for big data processing in mobile cloud computing}, journal = {Int. J. Commun. Syst.}, volume = {27}, number = {6}, pages = {839--851}, year = {2014}, url = {https://doi.org/10.1002/dac.2627}, doi = {10.1002/DAC.2627}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/ParkKJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/JeongKP14, author = {Young{-}Sik Jeong and Hyun{-}Woo Kim and Jong Hyuk Park}, title = {Visual Scheme Monitoring of Sensors for Fault Tolerance on Wireless Body Area Networks with Cloud Service Infrastructure}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/154180}, doi = {10.1155/2014/154180}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/JeongKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/JeongPJ14, author = {Hwa{-}Young Jeong and Jong Hyuk Park and Young{-}Sik Jeong}, title = {An ANP-Based Practical Quality Model for a Secure Embedded System with Sensor Network}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/505242}, doi = {10.1155/2014/505242}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/JeongPJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmi/YooKLJYPMH14, author = {Sooyoung Yoo and Seok Kim and Kee{-}Hyuck Lee and Chang Wook Jeong and Sang Woong Youn and Kyoung Un Park and So Young Moon and Hee Hwang}, title = {Electronically implemented clinical indicators based on a data warehouse in a tertiary hospital: Its clinical benefit and effectiveness}, journal = {Int. J. Medical Informatics}, volume = {83}, number = {7}, pages = {507--516}, year = {2014}, url = {https://doi.org/10.1016/j.ijmedinf.2014.04.001}, doi = {10.1016/J.IJMEDINF.2014.04.001}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijmi/YooKLJYPMH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/JeongLLJP14, author = {Young{-}Sik Jeong and Jae Dong Lee and Jeong{-}Bae Lee and Jai{-}Jin Jung and Jong Hyuk Park}, title = {An Efficient and Secure \emph{m}-IPS Scheme of Mobile Devices for Human-Centric Computing}, journal = {J. Appl. Math.}, volume = {2014}, pages = {198580:1--198580:8}, year = {2014}, url = {https://doi.org/10.1155/2014/198580}, doi = {10.1155/2014/198580}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/JeongLLJP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jam/ParkJJC14, author = {Jong Hyuk Park and Hwa{-}Young Jeong and Young{-}Sik Jeong and Min Choi}, title = {REST-MapReduce: An Integrated Interface but Differentiated Service}, journal = {J. Appl. Math.}, volume = {2014}, pages = {170723:1--170723:10}, year = {2014}, url = {https://doi.org/10.1155/2014/170723}, doi = {10.1155/2014/170723}, timestamp = {Thu, 16 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jam/ParkJJC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jise/ParkJ14, author = {Kwangjin Park and Young{-}Sik Jeong}, title = {A Caching Strategy for Spatial Queries in Mobile Networks}, journal = {J. Inf. Sci. Eng.}, volume = {30}, number = {4}, pages = {1187--1207}, year = {2014}, url = {http://www.iis.sinica.edu.tw/page/jise/2014/201407\_15.html}, timestamp = {Fri, 16 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jise/ParkJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/KooLGKLLLPL14, author = {Hye Ran Koo and Young{-}Jae Lee and Sunok Gi and Seonah Khang and Joohyeon Lee and Jae{-}Ho Lee and Min{-}Gyu Lim and Hee{-}Jung Park and Jeong{-}Whan Lee}, title = {The Effect of Textile-Based Inductive Coil Sensor Positions for Heart Rate Monitoring}, journal = {J. Medical Syst.}, volume = {38}, number = {2}, pages = {2}, year = {2014}, url = {https://doi.org/10.1007/s10916-013-0002-0}, doi = {10.1007/S10916-013-0002-0}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/KooLGKLLLPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nature/HoJLALISMTAPGKR14, author = {Joshua Wing Kei Ho and Youngsook L. Jung and Tao Liu and Burak Han Alver and Soohyun Lee and Kohta Ikegami and Kyung{-}Ah Sohn and Aki Minoda and Michael Y. Tolstorukov and Alex Appert and Stephen C. J. Parker and Tingting Gu and Anshul Kundaje and Nicole C. Riddle and Eric Bishop and Thea A. Egelhofer and Sheng'en Shawn Hu and Artyom A. Alekseyenko and Andreas Rechtsteiner and Dalal Asker and Jason A. Belsky and Sarah K. Bowman and Q. Brent Chen and Ron A.{-}J. Chen and Daniel S. Day and Yan Dong and Andrea C. Dose and Xikun Duan and Charles B. Epstein and Sevinc Ercan and Elise A. Feingold and Francesco Ferrari and Jacob M. Garrigues and Nils Gehlenborg and Peter J. Good and Psalm Haseley and Daniel He and Moritz Herrmann and Michael M. Hoffman and Tess E. Jeffers and Peter V. Kharchenko and Paulina Kolasinska{-}Zwierz and Chitra V. Kotwaliwale and Nischay Kumar and Sasha A. Langley and Erica Larschan and Isabel Latorre and Maxwell W. Libbrecht and Xueqiu Lin and Richard Park and Michael J. Pazin and Hoang N. Pham and Annette Plachetka and Bo Qin and Yuri B. Schwartz and Noam Shoresh and Przemyslaw Stempor and Anne Vielle and Chengyang Wang and Christina M. Whittle and Huiling Xue and Robert E. Kingston and Ju Han Kim and Bradley E. Bernstein and Abby F. Dernburg and Vincenzo Pirrotta and Mitzi I. Kuroda and William S. Noble and Thomas D. Tullius and Manolis Kellis and David M. MacAlpine and Susan Strome and Sarah C. R. Elgin and Xiaole Shirley Liu and Jason D. Lieb and Julie Ahringer and Gary H. Karpen and Peter J. Park}, title = {Comparative analysis of metazoan chromatin organization Open}, journal = {Nat.}, volume = {512}, number = {7515}, pages = {449--452}, year = {2014}, url = {https://doi.org/10.1038/nature13415}, doi = {10.1038/NATURE13415}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/nature/HoJLALISMTAPGKR14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcbb/KimKCJMPSKKK14, author = {ChangKug Kim and Jin{-}A. Kim and Ji{-}Weon Choi and In{-}Seon Jeong and Yi{-}Seul Moon and Dong{-}Suk Park and Young{-}Joo Seol and Yong{-}Kab Kim and Yong{-}Hwan Kim and Yeon{-}Ki Kim}, title = {A Multi-Layered Screening Method to Identify Plant Regulatory Genes}, journal = {{IEEE} {ACM} Trans. Comput. Biol. Bioinform.}, volume = {11}, number = {2}, pages = {293--303}, year = {2014}, url = {https://doi.org/10.1109/TCBB.2013.2296308}, doi = {10.1109/TCBB.2013.2296308}, timestamp = {Mon, 03 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcbb/KimKCJMPSKKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimJJKSHPY14, author = {Min{-}Su Kim and Sung{-}Chan Jung and Jonghyuk Jeong and Hyungchul Kim and Mincheol Seo and Junghyun Ham and Cheon{-}Seok Park and Youngoo Yang}, title = {Adaptive {TX} Leakage Canceler for the {UHF} {RFID} Reader Front End Using a Direct Leaky Coupling Method}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {61}, number = {4}, pages = {2081--2087}, year = {2014}, url = {https://doi.org/10.1109/TIE.2013.2267932}, doi = {10.1109/TIE.2013.2267932}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimJJKSHPY14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/IhmNLP14, author = {Sun{-}Young Ihm and Aziz Nasridinov and Jeong{-}Hoon Lee and Young{-}Ho Park}, title = {Efficient duality-based subsequent matching on time-series data in green computing}, journal = {J. Supercomput.}, volume = {69}, number = {3}, pages = {1039--1053}, year = {2014}, url = {https://doi.org/10.1007/s11227-013-1028-2}, doi = {10.1007/S11227-013-1028-2}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/IhmNLP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ParkJ14, author = {Jong Hyuk Park and Hwa{-}Young Jeong}, title = {Cloud computing-based jam management for a manufacturing system in a Green {IT} environment}, journal = {J. Supercomput.}, volume = {69}, number = {3}, pages = {1054--1067}, year = {2014}, url = {https://doi.org/10.1007/s11227-013-1007-7}, doi = {10.1007/S11227-013-1007-7}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ParkJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ParkJPS14, author = {Young{-}Hoon Park and Dong{-}Hyun Je and Min{-}Ho Park and Seung{-}Woo Seo}, title = {Efficient Rekeying Framework for SecureMulticast with Diverse-Subscription-Period Mobile Users}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {13}, number = {4}, pages = {783--796}, year = {2014}, url = {https://doi.org/10.1109/TMC.2013.40}, doi = {10.1109/TMC.2013.40}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ParkJPS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/JeongPL14, author = {Hwa{-}Young Jeong and Jong Hyuk Park and Jae Dong Lee}, editor = {Leonard Barolli and Kin Fun Li and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {The Cloud Storage Model for Manufacturing System in Global Factory Automation}, booktitle = {28th International Conference on Advanced Information Networking and Applications Workshops, {AINA} 2014 Workshops, Victoria, BC, Canada, May 13-16, 2014}, pages = {895--899}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/WAINA.2014.138}, doi = {10.1109/WAINA.2014.138}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/JeongPL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bigcomp/JeongCKKLKPK14, author = {Young{-}Seob Jeong and Ho{-}Jin Choi and Yong{-}Jin Kwon and Kyu{-}Chang Kang and Ju{-}Yeon Lee and Hye{-}Hyon Kim and Hyun{-}Ae Park and Ju{-}Han Kim}, title = {Semi-automated lifestyle manager for obesity}, booktitle = {International Conference on Big Data and Smart Computing, {BIGCOMP} 2014, Bangkok, Thailand, January 15-17, 2014}, pages = {229--230}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/BIGCOMP.2014.6741441}, doi = {10.1109/BIGCOMP.2014.6741441}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bigcomp/JeongCKKLKPK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/ChoiGLKJKPP14, author = {Hyunchul Choi and Gwangjun Go and Cheong Lee and Seong Young Ko and Semi Jeong and Kiduk Kwon and Jong{-}Oh Park and Sukho Park}, title = {Electromagnetic actuation system for locomotive intravascular therapeutic microrobot}, booktitle = {5th {IEEE} {RAS/EMBS} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2014, Sao Paulo, Brazil, August 12-15, 2014}, pages = {831--834}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BIOROB.2014.6913882}, doi = {10.1109/BIOROB.2014.6913882}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/biorob/ChoiGLKJKPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/ChoiJLGKKPP14, author = {Hyunchul Choi and Semi Jeong and Cheong Lee and Gwangjun Go and Kiduk Kwon and Seong Young Ko and Jong{-}Oh Park and Sukho Park}, title = {Biomimetic swimming tadpole microrobot using 3-pairs Helmholtz coils}, booktitle = {5th {IEEE} {RAS/EMBS} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2014, Sao Paulo, Brazil, August 12-15, 2014}, pages = {841--844}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BIOROB.2014.6913884}, doi = {10.1109/BIOROB.2014.6913884}, timestamp = {Mon, 30 Apr 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/ChoiJLGKKPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biorob/LeeCGRJKKPP14, author = {Cheong Lee and Hyunchul Choi and Gwangjun Go and Hernando Leon Rodriguez and Semi Jeong and Kiduk Kwon and Seong Young Ko and Jong{-}Oh Park and Sukho Park}, title = {Helical motion and 2D locomotion of magnetic capsule endoscope using precessional and gradient magnetic field}, booktitle = {5th {IEEE} {RAS/EMBS} International Conference on Biomedical Robotics and Biomechatronics, BioRob 2014, Sao Paulo, Brazil, August 12-15, 2014}, pages = {1063--1067}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BIOROB.2014.6913921}, doi = {10.1109/BIOROB.2014.6913921}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/biorob/LeeCGRJKKPP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KwonPWLKHK14, author = {Sunhyoung Kwon and Sung Ik Park and Yiyan Wu and Jae{-}Young Lee and Heung Mook Kim and Namho Hur and Jeongchang Kim}, title = {Analysis on two dimensional block interleaver for the cloud transmission system}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2014, Beijing, China, June 25-27, 2014}, pages = {1--3}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BMSB.2014.6873530}, doi = {10.1109/BMSB.2014.6873530}, timestamp = {Thu, 28 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/KwonPWLKHK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/SongLKSPKHJLCSYLLLYJJCK14, author = {Keunsoo Song and Sangkwon Lee and Dongkyun Kim and Youngbo Shim and Sangil Park and Bokrim Ko and Duckhwa Hong and Yongsuk Joo and Wooyoung Lee and Yongdeok Cho and Wooyeol Shin and Jaewoong Yun and Hyengouk Lee and Jeonghun Lee and Eunryeong Lee and Jaemo Yang and Haekang Jung and Namkyu Jang and Joohwan Cho and Hyeongon Kim}, title = {A 1.1V 2y-nm 4.35Gb/s/pin 8Gb {LPDDR4} mobile device with bandwidth improvement techniques}, booktitle = {Proceedings of the {IEEE} 2014 Custom Integrated Circuits Conference, {CICC} 2014, San Jose, CA, USA, September 15-17, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/CICC.2014.6946032}, doi = {10.1109/CICC.2014.6946032}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/SongLKSPKHJLCSYLLLYJJCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/HongKNPJL14, author = {Jihye Hong and Hyunwook Kim and Waqas Nawaz and Kisung Park and Byeong{-}Soo Jeong and Young{-}Koo Lee}, editor = {Wook{-}Shin Han and Mong{-}Li Lee and Agus Muliantara and Ngurah Agus Sanjaya and Bernhard Thalheim and Shuigeng Zhou}, title = {Distributed K-Distance Indexing Approach for Efficient Shortest Path Discovery on Large Graphs}, booktitle = {Database Systems for Advanced Applications - 19th International Conference, {DASFAA} 2014, International Workshops: BDMA, DaMEN, {SIM} - 3 - , UnCrowd; Bali, Indonesia, April 21-24, 2014, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8505}, pages = {75--88}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-662-43984-5\_6}, doi = {10.1007/978-3-662-43984-5\_6}, timestamp = {Wed, 25 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dasfaa/HongKNPJL14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dphoto/LeeYKPJ14, author = {Min Woo Lee and Jee Young Yeom and Jong Ho Kim and Hyun Hee Park and Seul Ki Jang}, editor = {Nitin Sampat and Radka Tezaur and Sebastiano Battiato and Boyd A. Fowler}, title = {A method of mobile display {(OLED/LCD)} sharpness assessment through the perceptual brightness and edge characteristic of display and image}, booktitle = {Digital Photography X, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Francisco, California, USA, February 2, 2014, Proceedings}, series = {{SPIE} Proceedings}, volume = {9023}, pages = {902310}, publisher = {SPIE/IS{\&}T}, year = {2014}, url = {https://doi.org/10.1117/12.2039177}, doi = {10.1117/12.2039177}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dphoto/LeeYKPJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/ShinJLPM14, author = {Do{-}Kyung Shin and Woo Jin Jeong and Jong Min Lee and Ki Tae Park and Young Shik Moon}, title = {Car accident detection in parking lots}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914378}, doi = {10.1109/ELINFOCOM.2014.6914378}, timestamp = {Mon, 13 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/ShinJLPM14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcc/LeeKMJJJP14, author = {Jae Dong Lee and Won Min Kang and Chang Hyun Moon and Hwa{-}Young Jeong and Young{-}Sik Jeong and Jai{-}Jin Jung and Jong Hyuk Park}, editor = {James Jong Hyuk Park and Albert Y. Zomaya and Hwa{-}Young Jeong and Mohammad S. Obaidat}, title = {Rhythm-Based Authentication Scheme on Smart Devices}, booktitle = {Frontier and Innovation in Future Computing and Communications, Proceedings of the 2014 {FTRA} International Symposium on Frontier and Innovation in Future Computing and Communications, {FCC} 2014, Auckland, New Zealand, January 13-16, 2014}, series = {Lecture Notes in Electrical Engineering}, volume = {301}, pages = {313--319}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-94-017-8798-7\_38}, doi = {10.1007/978-94-017-8798-7\_38}, timestamp = {Fri, 27 Mar 2020 08:55:45 +0100}, biburl = {https://dblp.org/rec/conf/fcc/LeeKMJJJP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/ParkBWP14, author = {Soojin Park and Jeongwon Byeon and Mansoo Whang and Young B. Park}, title = {Bad Symptoms for Usability of Mobile Applications}, booktitle = {2014 International Conference on {IT} Convergence and Security, 10.1109/ICITCS.2014, Beijing, China, October 28-30, 2014}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2014}, url = {https://doi.org/10.1109/ICITCS.2014.7021744}, doi = {10.1109/ICITCS.2014.7021744}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/ParkBWP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/AhnLKJKKPJPLPCC14, author = {JungChak Ahn and Kyungho Lee and Yitae Kim and Heegeun Jeong and Bumsuk Kim and Hongki Kim and Jongeun Park and Taesub Jung and Wonje Park and Taeheon Lee and Eunkyung Park and Sangjun Choi and Gyehun Choi and Haeyong Park and Yujung Choi and Seungwook Lee and Yunkyung Kim and Y. J. Jung and Donghyuk Park and Seungjoo Nah and Youngsun Oh and Mihye Kim and Yooseung Lee and Youngwoo Chung and Ihara Hisanori and Joon{-}Hyuk Im and Daniel{-}K J Lee and Byunghyun Yim and GiDoo Lee and Heesang Kown and Sungho Choi and Jeonsook Lee and Dongyoung Jang and Youngchan Kim and Tae Chan Kim and Hiroshige Goto and Chi{-}Young Choi and Duckhyung Lee and Gab{-}soo Han}, title = {7.1 {A} 1/4-inch 8Mpixel {CMOS} image sensor with 3D backside-illuminated 1.12{\(\mu\)}m pixel with front-side deep-trench isolation and vertical transfer gate}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {124--125}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757365}, doi = {10.1109/ISSCC.2014.6757365}, timestamp = {Sun, 04 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/AhnLKJKKPJPLPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKKKKPKKPSCKK14, author = {Dong{-}Uk Lee and Kyung Whan Kim and Kwan{-}Weon Kim and Hongjung Kim and Ju Young Kim and Young Jun Park and Jae Hwan Kim and Dae Suk Kim and Heat Bit Park and Jin Wook Shin and Jang Hwan Cho and Ki Hun Kwon and Min Jeong Kim and Jaejin Lee and Kunwoo Park and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.2 {A} 1.2V 8Gb 8-channel 128GB/s high-bandwidth memory {(HBM)} stacked {DRAM} with effective microbump {I/O} test methods using 29nm process and {TSV}}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {432--433}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757501}, doi = {10.1109/ISSCC.2014.6757501}, timestamp = {Sun, 11 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKKKKPKKPSCKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ndss/GoJWKKP14, author = {Younghwan Go and Eunyoung Jeong and Jongil Won and Yongdae Kim and Denis Foo Kune and KyoungSoo Park}, title = {Gaining Control of Cellular Traffic Accounting by Spurious {TCP} Retransmission}, booktitle = {21st Annual Network and Distributed System Security Symposium, {NDSS} 2014, San Diego, California, USA, February 23-26, 2014}, publisher = {The Internet Society}, year = {2014}, url = {https://www.ndss-symposium.org/ndss2014/gaining-control-cellular-traffic-accounting-spurious-tcp-retransmission}, timestamp = {Mon, 01 Feb 2021 08:42:18 +0100}, biburl = {https://dblp.org/rec/conf/ndss/GoJWKKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nsdi/JeongWJJIHP14, author = {Eunyoung Jeong and Shinae Woo and Muhammad Asim Jamshed and Haewon Jeong and Sunghwan Ihm and Dongsu Han and KyoungSoo Park}, editor = {Ratul Mahajan and Ion Stoica}, title = {mTCP: a Highly Scalable User-level {TCP} Stack for Multicore Systems}, booktitle = {Proceedings of the 11th {USENIX} Symposium on Networked Systems Design and Implementation, {NSDI} 2014, Seattle, WA, USA, April 2-4, 2014}, pages = {489--502}, publisher = {{USENIX} Association}, year = {2014}, url = {https://www.usenix.org/conference/nsdi14/technical-sessions/presentation/jeong}, timestamp = {Tue, 02 Feb 2021 08:05:43 +0100}, biburl = {https://dblp.org/rec/conf/nsdi/JeongWJJIHP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pacis/GashamiCRP14, author = {Jean Pierre Gashami and Younghoon Chang and Jae Jung Rho and Myeong{-}Cheol Park}, editor = {Keng Siau and Qing Li and Xunhua Guo}, title = {Understanding the Trade-Off between Privacy Concerns and Perceived Benefits in SaaS Individual Adoption}, booktitle = {18th Pacific Asia Conference on Information Systems, {PACIS} 2014, Chengdu, China, June 24-28, 2014}, pages = {354}, year = {2014}, url = {http://aisel.aisnet.org/pacis2014/354}, timestamp = {Tue, 25 Nov 2014 12:58:45 +0100}, biburl = {https://dblp.org/rec/conf/pacis/GashamiCRP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pimrc/JeonMNP14, author = {Youngil Jeon and Sung{-}Hyun Moon and Gosan Noh and Youn{-}Ok Park}, title = {Beamforming and relay selection schemes for multi-antenna two-way relaying systems with physical network coding}, booktitle = {25th {IEEE} Annual International Symposium on Personal, Indoor, and Mobile Radio Communication, {PIMRC} 2014, Washington DC, USA, September 2-5, 2014}, pages = {242--246}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/PIMRC.2014.7136168}, doi = {10.1109/PIMRC.2014.7136168}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/pimrc/JeonMNP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkSJLK14, author = {Kibaek Park and Seunghak Shin and Hae{-}Gon Jeon and Joon{-}Young Lee and In{-}So Kweon}, title = {Motion deblurring using coded exposure for a wheeled mobile robot}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {665--671}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057492}, doi = {10.1109/URAI.2014.7057492}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/urai/ParkSJLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkJS14, author = {Young Deok Park and Jae{-}Pil Jeong and Young{-}Joo Suh}, title = {Exploiting Additional Active Time of WiFi Interface to Reduce Power Consumption of Smartphones}, booktitle = {{IEEE} 79th Vehicular Technology Conference, {VTC} Spring 2014, Seoul, South Korea, May 18-21, 2014}, pages = {1--5}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/VTCSpring.2014.7022922}, doi = {10.1109/VTCSPRING.2014.7022922}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkJS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fcc/2014, editor = {James Jong Hyuk Park and Albert Y. Zomaya and Hwa{-}Young Jeong and Mohammad S. Obaidat}, title = {Frontier and Innovation in Future Computing and Communications, Proceedings of the 2014 {FTRA} International Symposium on Frontier and Innovation in Future Computing and Communications, {FCC} 2014, Auckland, New Zealand, January 13-16, 2014}, series = {Lecture Notes in Electrical Engineering}, volume = {301}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-94-017-8798-7}, doi = {10.1007/978-94-017-8798-7}, isbn = {978-94-017-8797-0}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fcc/2014.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/biodb/HongLBJJYKPKS13, author = {Dongwan Hong and Jongkeun Lee and Thomas Bleazard and Hyunchul Jung and Young Seok Ju and Saet{-}Byeol Yu and Sujung Kim and Sung{-}Soo Park and Jong{-}Il Kim and Jeong{-}Sun Seo}, title = {{TIARA} genome database: update 2013}, journal = {Database J. Biol. Databases Curation}, volume = {2013}, year = {2013}, url = {https://doi.org/10.1093/database/bat003}, doi = {10.1093/DATABASE/BAT003}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/biodb/HongLBJJYKPKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ParkPL13, author = {Joong Yull Park and Chan Young Park and Jeong Min Lee}, title = {Estimation of saline-mixed tissue conductivity and ablation lesion size}, journal = {Comput. Biol. Medicine}, volume = {43}, number = {5}, pages = {504--512}, year = {2013}, url = {https://doi.org/10.1016/j.compbiomed.2013.02.012}, doi = {10.1016/J.COMPBIOMED.2013.02.012}, timestamp = {Wed, 02 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ParkPL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cee/JeongP13, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {High availability and efficient energy consumption for cloud computing service with grid infrastructure}, journal = {Comput. Electr. Eng.}, volume = {39}, number = {1}, pages = {15--23}, year = {2013}, url = {https://doi.org/10.1016/j.compeleceng.2012.03.005}, doi = {10.1016/J.COMPELECENG.2012.03.005}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cee/JeongP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cj/JeongSP13, author = {Young{-}Sik Jeong and Damien Sauveron and Jong Hyuk Park}, title = {Advanced Security Technologies and Services for Future Computing Environments}, journal = {Comput. J.}, volume = {56}, number = {10}, pages = {1151--1153}, year = {2013}, url = {https://doi.org/10.1093/comjnl/bxt085}, doi = {10.1093/COMJNL/BXT085}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cj/JeongSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cluster/LeeLPJ13, author = {DaeWon Lee and HwaMin Lee and Doo{-}Soon Park and Young{-}Sik Jeong}, title = {Proxy based seamless connection management method in mobile cloud computing}, journal = {Clust. Comput.}, volume = {16}, number = {4}, pages = {733--744}, year = {2013}, url = {https://doi.org/10.1007/s10586-013-0249-8}, doi = {10.1007/S10586-013-0249-8}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cluster/LeeLPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/computing/JeongP13, author = {Young{-}Sik Jeong and Jong Hyuk Park}, title = {Adaptive network-based fuzzy inference model on {CPS} for large scale intelligent and cooperative surveillance}, journal = {Computing}, volume = {95}, number = {10-11}, pages = {977--992}, year = {2013}, url = {https://doi.org/10.1007/s00607-013-0317-1}, doi = {10.1007/S00607-013-0317-1}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/computing/JeongP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ecr/JeongYPP13, author = {Young{-}Sik Jeong and Tae{-}Kyu Yeom and Ji Soo Park and Jong Hyuk Park}, title = {Efficient model of Korean graphemes based on a smartphone keyboard}, journal = {Electron. Commer. Res.}, volume = {13}, number = {3}, pages = {357--377}, year = {2013}, url = {https://doi.org/10.1007/s10660-013-9118-z}, doi = {10.1007/S10660-013-9118-Z}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ecr/JeongYPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieeemm/DollerTTYPKSD13, author = {Mario D{\"{o}}ller and Rub{\'{e}}n Tous and Frederik Temmermans and Kyoungro Yoon and Je{-}Ho Park and Youngseop Kim and Florian Stegmaier and Jaime Delgado}, title = {JPEG's JPSearch Standard: Harmonizing Image Management and Search}, journal = {{IEEE} Multim.}, volume = {20}, number = {4}, pages = {38--48}, year = {2013}, url = {https://doi.org/10.1109/MMUL.2012.60}, doi = {10.1109/MMUL.2012.60}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieeemm/DollerTTYPKSD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcat/WeonKCPC13, author = {Sunhee Weon and Gye{-}Young Kim and Jeong{-}Hee Cha and KeeHong Park and Hyung{-}Il Choi}, title = {A mapping method for 3D satellite and sensor images using a road extraction algorithm for occlusion processing of virtual targets}, journal = {Int. J. Comput. Appl. Technol.}, volume = {46}, number = {1}, pages = {45--53}, year = {2013}, url = {https://doi.org/10.1504/IJCAT.2013.051387}, doi = {10.1504/IJCAT.2013.051387}, timestamp = {Thu, 17 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcat/WeonKCPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcomsys/ParkDJK13, author = {Sang Oh Park and Tae Hoon Do and Young{-}Sik Jeong and Sung Jo Kim}, title = {A dynamic control middleware for cyber physical systems on an IPv6-based global network}, journal = {Int. J. Commun. Syst.}, volume = {26}, number = {6}, pages = {690--704}, year = {2013}, url = {https://doi.org/10.1002/dac.1382}, doi = {10.1002/DAC.1382}, timestamp = {Thu, 30 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcomsys/ParkDJK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/ChoiJP13, author = {Min Choi and Young{-}Sik Jeong and Jong Hyuk Park}, title = {Improving Performance through {REST} Open {API} Grouping for Wireless Sensor Network}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/958241}, doi = {10.1155/2013/958241}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/ChoiJP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/GilPJ13, author = {Joon{-}Min Gil and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Maximizing Network Lifetime of Directional Sensor Networks Considering Coverage Reliability}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/583753}, doi = {10.1155/2013/583753}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/GilPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/JeongKP13, author = {Young{-}Sik Jeong and Hyun{-}Woo Kim and Jong Hyuk Park}, title = {Visual Scheme for the Detection of Mobile Attack on {WSN} Simulator}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/502082}, doi = {10.1155/2013/502082}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/JeongKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KangPBJ13, author = {An{-}Na Kang and Jong Hyuk Park and Leonard Barolli and Hwa{-}Young Jeong}, title = {A QoS Model for a {RFID} Enabled Application with Next-Generation Sensors for Manufacturing Systems}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/829691}, doi = {10.1155/2013/829691}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/KangPBJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/NguyenKP13, author = {Khac{-}Duy Nguyen and Jeong{-}Tae Kim and Young{-}Hwan Park}, title = {Long-Term Vibration Monitoring of Cable-Stayed Bridge Using Wireless Sensor Network}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/804516}, doi = {10.1155/2013/804516}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/NguyenKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/NguyenKP13a, author = {Khac{-}Duy Nguyen and Jeong{-}Tae Kim and Young{-}Hwan Park}, title = {Multiscale Structural Health Monitoring of Cable-Anchorage System Using Piezoelectric {PZT} Sensors}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/254785}, doi = {10.1155/2013/254785}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/NguyenKP13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/YiPLHKS13, author = {Jin{-}Hak Yi and Woo{-}Sun Park and So{-}Young Lee and Thanh{-}Canh Huynh and Jeong{-}Tae Kim and Chun{-}Kyo Seo}, title = {Evaluation of Vibration Characteristics of an Existing Harbor Caisson Structure Using Tugboat Impact Tests and Modal Analysis}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/806482}, doi = {10.1155/2013/806482}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/YiPLHKS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/KimLKPCK13, author = {Young Jae Kim and Seung Hyun Lee and Tae Yun Kim and Jeongyun Park and Seung Hong Choi and Kwang Gi Kim}, title = {Body Fat Assessment Method Using {CT} Images with Separation Mask Algorithm}, journal = {J. Digit. Imaging}, volume = {26}, number = {2}, pages = {155--162}, year = {2013}, url = {https://doi.org/10.1007/s10278-012-9488-0}, doi = {10.1007/S10278-012-9488-0}, timestamp = {Tue, 14 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jdi/KimLKPCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhKPHLKWY13, author = {Jinwook Oh and Gyeonghoon Kim and Junyoung Park and Injoon Hong and Seungjin Lee and Joo{-}Young Kim and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {A 320 mW 342 {GOPS} Real-Time Dynamic Object Recognition Processor for {HD} 720p Video Streams}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {33--45}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2220651}, doi = {10.1109/JSSC.2012.2220651}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhKPHLKWY13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/ParkPJ13, author = {Sang Oh Park and Jong Hyuk Park and Young{-}Sik Jeong}, title = {An Efficient Dynamic Integration Middleware for Cyber-Physical Systems in Mobile Environments}, journal = {Mob. Networks Appl.}, volume = {18}, number = {1}, pages = {110--115}, year = {2013}, url = {https://doi.org/10.1007/s11036-012-0376-0}, doi = {10.1007/S11036-012-0376-0}, timestamp = {Thu, 24 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/monet/ParkPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nm/JeonP13, author = {Youngmok Jeon and Eun{-}Jae Park}, title = {New locally conservative finite element methods on a rectangular mesh}, journal = {Numerische Mathematik}, volume = {123}, number = {1}, pages = {97--119}, year = {2013}, url = {https://doi.org/10.1007/s00211-012-0477-5}, doi = {10.1007/S00211-012-0477-5}, timestamp = {Fri, 30 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nm/JeonP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/KimJP13, author = {Soo{-}Cheol Kim and Young{-}Sik Jeong and Sang Oh Park}, title = {RFID-based indoor location tracking to ensure the safety of the elderly in smart home environments}, journal = {Pers. Ubiquitous Comput.}, volume = {17}, number = {8}, pages = {1699--1707}, year = {2013}, url = {https://doi.org/10.1007/s00779-012-0604-4}, doi = {10.1007/S00779-012-0604-4}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/KimJP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPAHC13, author = {Jeong{-}Heon Kim and Bo{-}Young Park and Farhan Akram and Byung{-}Woo Hong and Kwang Nam Choi}, title = {Multipass Active Contours for an Adaptive Contour Map}, journal = {Sensors}, volume = {13}, number = {3}, pages = {3724--3738}, year = {2013}, url = {https://doi.org/10.3390/s130303724}, doi = {10.3390/S130303724}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimPAHC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/telsys/ChunPLKPL13, author = {Ingeol Chun and Jeongmin Park and Hae Young Lee and Wontae Kim and Seung{-}Min Park and Eunseok Lee}, title = {An agent-based self-adaptation architecture for implementing smart devices in Smart Space}, journal = {Telecommun. Syst.}, volume = {52}, number = {4}, pages = {2335--2346}, year = {2013}, url = {https://doi.org/10.1007/s11235-011-9547-8}, doi = {10.1007/S11235-011-9547-8}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/telsys/ChunPLKPL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiPJ13, author = {Min Choi and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Mobile cloud computing framework for a pervasive and ubiquitous environment}, journal = {J. Supercomput.}, volume = {64}, number = {2}, pages = {331--356}, year = {2013}, url = {https://doi.org/10.1007/s11227-011-0681-6}, doi = {10.1007/S11227-011-0681-6}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ChoiPJ13a, author = {Min Choi and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Revisiting reorder buffer architecture for next generation high performance computing}, journal = {J. Supercomput.}, volume = {65}, number = {2}, pages = {484--495}, year = {2013}, url = {https://doi.org/10.1007/s11227-011-0734-x}, doi = {10.1007/S11227-011-0734-X}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ChoiPJ13a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/GilPJ13, author = {Joon{-}Min Gil and Jong Hyuk Park and Young{-}Sik Jeong}, title = {Data center selection based on neuro-fuzzy inference systems in cloud computing environments}, journal = {J. Supercomput.}, volume = {66}, number = {3}, pages = {1194--1214}, year = {2013}, url = {https://doi.org/10.1007/s11227-011-0667-4}, doi = {10.1007/S11227-011-0667-4}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/GilPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KimHJP13, author = {Yong{-}hwan Kim and Youn{-}Hee Han and Young{-}Sik Jeong and Doo{-}Soon Park}, title = {Lifetime maximization considering target coverage and connectivity in directional image/video sensor networks}, journal = {J. Supercomput.}, volume = {65}, number = {1}, pages = {365--382}, year = {2013}, url = {https://doi.org/10.1007/s11227-011-0646-9}, doi = {10.1007/S11227-011-0646-9}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KimHJP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/KimKHJP13, author = {Yong{-}hwan Kim and Chan{-}Myung Kim and Youn{-}Hee Han and Young{-}Sik Jeong and Doo{-}Soon Park}, title = {An efficient strategy of nonuniform sensor deployment in cyber physical systems}, journal = {J. Supercomput.}, volume = {66}, number = {1}, pages = {70--80}, year = {2013}, url = {https://doi.org/10.1007/s11227-013-0977-9}, doi = {10.1007/S11227-013-0977-9}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/KimKHJP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ParkJ13, author = {James (Jong Huk) Park and Hwa{-}Young Jeong}, title = {The QoS-based {MCDM} system for SaaS {ERP} applications with Social Network}, journal = {J. Supercomput.}, volume = {66}, number = {2}, pages = {614--632}, year = {2013}, url = {https://doi.org/10.1007/s11227-012-0832-4}, doi = {10.1007/S11227-012-0832-4}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ParkJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tjs/ParkXLJ13, author = {Sang Oh Park and Bin Xiao and Victor C. M. Leung and Young{-}Sik Jeong}, title = {Advanced technologies and applications for Highly-Reliable Cyber Physical System {(HRCPS)}}, journal = {J. Supercomput.}, volume = {66}, number = {1}, pages = {1--3}, year = {2013}, url = {https://doi.org/10.1007/s11227-013-1027-3}, doi = {10.1007/S11227-013-1027-3}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tjs/ParkXLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmc/ParkPJS13, author = {Min{-}Ho Park and Young{-}Hoon Park and Han{-}You Jeong and Seung{-}Woo Seo}, title = {Key Management for Multiple Multicast Groups in Wireless Networks}, journal = {{IEEE} Trans. Mob. Comput.}, volume = {12}, number = {9}, pages = {1712--1723}, year = {2013}, url = {https://doi.org/10.1109/TMC.2012.135}, doi = {10.1109/TMC.2012.135}, timestamp = {Fri, 24 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmc/ParkPJS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/YoonKKLKCPK13, author = {Jae{-}Sung Yoon and Jeong{-}Hyun Kim and Hyo{-}Eun Kim and Won{-}Young Lee and Seok{-}Hoon Kim and Kyusik Chung and Jun{-}Seok Park and Lee{-}Sup Kim}, title = {A Unified Graphics and Vision Processor With a 0.89 {\(\mathrm{\mu}\)}W/fps Pose Estimation Engine for Augmented Reality}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {21}, number = {2}, pages = {206--216}, year = {2013}, url = {https://doi.org/10.1109/TVLSI.2012.2186157}, doi = {10.1109/TVLSI.2012.2186157}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/YoonKKLKCPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/JeongPJP13, author = {Hwa{-}Young Jeong and Jong Hyuk Park and Young{-}Sik Jeong and Doo{-}Soon Park}, editor = {Leonard Barolli and Fatos Xhafa and Makoto Takizawa and Tomoya Enokido and Hui{-}Huang Hsu}, title = {Petri-Net Based User Profile Data Ontology for {SNS}}, booktitle = {27th International Conference on Advanced Information Networking and Applications Workshops, {WAINA} 2013, Barcelona, Spain, March 25-28, 2013}, pages = {744--748}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/WAINA.2013.145}, doi = {10.1109/WAINA.2013.145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/JeongPJP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/amia/ArciaBBCGLPPVWYKMB13, author = {Adriana Arcia and Michael E. Bales and William Brown III and Manuel Co Jr. and Melinda Gilmore and Young Ji Lee and Chin S. Park and Jennifer E. Prey and Mark Velez and Janet Woollen and Sunmoo Yoon and Rita Kukafka and Jacqueline Merrill and Suzanne Bakken}, title = {Method for the Development of Data Visualizations for Community Members with Varying Levels of Health Literacy}, booktitle = {{AMIA} 2013, American Medical Informatics Association Annual Symposium, Washington, DC, USA, November 16-20, 2013}, publisher = {{AMIA}}, year = {2013}, url = {https://knowledge.amia.org/amia-55142-a2013e-1.580047/t-05-1.583941/f-005-1.583942/a-012-1.584324/a-014-1.584319}, timestamp = {Wed, 17 Apr 2024 11:47:55 +0200}, biburl = {https://dblp.org/rec/conf/amia/ArciaBBCGLPPVWYKMB13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apnoms/KimLSP13, author = {Jeu{-}Young Kim and Jihyun Lee and Jiyeon Son and Jun{-}Hee Park}, title = {Resource relation map based fault diagnosis and fault tolerance methods for home network environments}, booktitle = {15th Asia-Pacific Network Operations and Management Symposium, {APNOMS} 2013, Hiroshima, Japan, September 25-27, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://ieeexplore.ieee.org/document/6665262/}, timestamp = {Sun, 08 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apnoms/KimLSP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bwcca/KangPBJ13, author = {An{-}Na Kang and Jong Hyuk Park and Leonard Barolli and Hwa{-}Young Jeong}, title = {{CMMI} Security Model for Cloud Manufacturing System's Network}, booktitle = {2013 Eighth International Conference on Broadband and Wireless Computing, Communication and Applications, Compiegne, France, October 28-30, 2013}, pages = {449--452}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BWCCA.2013.78}, doi = {10.1109/BWCCA.2013.78}, timestamp = {Fri, 27 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bwcca/KangPBJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clef/LeeCPJ13, author = {Taemin Lee and Jeongmin Chae and Kinam Park and Soonyoung Jung}, editor = {Pamela Forner and Roberto Navigli and Dan Tufis and Nicola Ferro}, title = {CopyCaptor : Plagiarized Source Retrieval System using Global Word Frequency and Local Feedback Notebook for {PAN} at {CLEF} 2013}, booktitle = {Working Notes for {CLEF} 2013 Conference , Valencia, Spain, September 23-26, 2013}, series = {{CEUR} Workshop Proceedings}, volume = {1179}, publisher = {CEUR-WS.org}, year = {2013}, url = {https://ceur-ws.org/Vol-1179/CLEF2013wn-PAN-LeeEt2013.pdf}, timestamp = {Fri, 10 Mar 2023 16:23:37 +0100}, biburl = {https://dblp.org/rec/conf/clef/LeeCPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ei-iss/ChoiKOPCJHCPJOA13, author = {Sung Ho Choi and Yi Tae Kim and Min Seok Oh and Younghwan Park and Jeongjin Cho and Youngheup Jang and Hyungjun Han and Jongwon Choi and Howoo Park and Sangil Jung and Hoon Sang Oh and Jung Chak Ahn and Hiroshige Goto and Chi{-}Young Choi and Yonghan Roh}, editor = {Ralf Widenhorn and Antoine Dupret}, title = {A novel pixel design with hybrid type isolation scheme for low dark current in {CMOS} image sensor}, booktitle = {Sensors, Cameras, and Systems for Industrial and Scientific Applications XIV, Burlingame, California, USA, February 3-7, 2013}, series = {{SPIE} Proceedings}, volume = {8659}, pages = {86590F}, publisher = {{SPIE}}, year = {2013}, url = {https://doi.org/10.1117/12.2003697}, doi = {10.1117/12.2003697}, timestamp = {Wed, 02 Aug 2023 16:01:06 +0200}, biburl = {https://dblp.org/rec/conf/ei-iss/ChoiKOPCJHCPJOA13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/KimYUJYP13, author = {Tae{-}Ho Kim and Young{-}Gyu Yoon and Jinu Uhm and Dae{-}Woong Jeong and Seung Zhoo Yoon and Sang{-}Hyun Park}, title = {A cepstral analysis based method for quantifying the depth of anesthesia from human {EEG}}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {5994--5997}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610918}, doi = {10.1109/EMBC.2013.6610918}, timestamp = {Sun, 06 Oct 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/KimYUJYP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeKKYWCPC13, author = {Jihyun Lee and Hye Jin Kam and Ha{-}Young Kim and Sanghyun Yoo and Kyoung{-}Gu Woo and Yoon{-}Ho Choi and Jeong Euy Park and Soo Jin Cho}, title = {Prediction of 4-year risk for coronary artery calcification using ensemble-based classification}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {3210--3213}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610224}, doi = {10.1109/EMBC.2013.6610224}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LeeKKYWCPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KimPSJCR13, author = {Taeyoung Kim and Jeongho Park and Ji{-}Yun Seol and Suryong Jeong and Jaeweon Cho and Wonil Roh}, title = {Tens of Gbps support with mmWave beamforming systems for next generation communications}, booktitle = {2013 {IEEE} Global Communications Conference, {GLOBECOM} 2013, Atlanta, GA, USA, December 9-13, 2013}, pages = {3685--3690}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/GLOCOM.2013.6831646}, doi = {10.1109/GLOCOM.2013.6831646}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KimPSJCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/gpc/ParkCJ13, author = {Seung Yoon Park and Jae Young Choi and Jong Pil Jeong}, editor = {James J. Park and Hamid R. Arabnia and Cheonshik Kim and Weisong Shi and Joon{-}Min Gil}, title = {{AMM-PF:} Additional Mobility Management Scheme Based on Pointer Forwarding in PMIPv6 Networks}, booktitle = {Grid and Pervasive Computing - 8th International Conference, {GPC} 2013 and Colocated Workshops, Seoul, Korea, May 9-11, 2013. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7861}, pages = {309--316}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-38027-3\_33}, doi = {10.1007/978-3-642-38027-3\_33}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/gpc/ParkCJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ic3d/HongYJKPHL13, author = {Jong{-}Young Hong and Jiwoon Yeom and Youngmo Jeong and Jonghyun Kim and Soon{-}gi Park and Keehoon Hong and Byoungho Lee}, title = {Table-top display using integral floating display}, booktitle = {International Conference on 3D Imaging, {IC3D} 2013, Li{\`{e}}ge, Belgium, December 3-5, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/IC3D.2013.6732077}, doi = {10.1109/IC3D.2013.6732077}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ic3d/HongYJKPHL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icawst/KangBLPJ13, author = {An{-}Na Kang and Leonard Barolli and Jae Dong Lee and Jong Hyuk Park and Hwa{-}Young Jeong}, title = {Information success model for learning system in cloud computing environment}, booktitle = {International Joint Conference on Awareness Science and Technology {\&} Ubi-Media Computing, iCAST 2013 {\&} {UMEDIA} 2013, Aizuwakamatsu, Japan, November 2-4, 2013}, pages = {764--768}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICAwST.2013.6765539}, doi = {10.1109/ICAWST.2013.6765539}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/icawst/KangBLPJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JeongKLP13, author = {Young Ju Jeong and Jiwon Kim and Ho Young Lee and Du{-}Sik Park}, title = {Confidence stereo matching using complementary tree structures and global depth-color fitting}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {468--469}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486979}, doi = {10.1109/ICCE.2013.6486979}, timestamp = {Sat, 18 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/JeongKLP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkCKKO13, author = {Sung Ik Park and Young Min Choi and Heung Mook Kim and Jeongchang Kim and Wangrok Oh}, title = {Enhanced min-sum decoding for {DVB-T2} {LDPC} codes}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {576--577}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6487024}, doi = {10.1109/ICCE.2013.6487024}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ParkCKKO13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/ParkLM13, author = {Ki Tae Park and Jeong Ho Lee and Young Shik Moon}, title = {Visual saliency based on selective integration of feature maps in frequency domain}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {43--44}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486787}, doi = {10.1109/ICCE.2013.6486787}, timestamp = {Wed, 17 Jan 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/ParkLM13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/LeeLAPK13, author = {Yong{-}Hwan Lee and Yukong Lee and Hyochang Ahn and Je{-}Ho Park and Youngseop Kim}, title = {Implementation of Image Descriptor Based on {SURF} and {DCD}}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579395}, doi = {10.1109/ICISA.2013.6579395}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/LeeLAPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/LeeLPHK13, author = {Yong{-}Hwan Lee and Yukyong Lee and Je{-}Ho Park and Woori Han and Youngseop Kim}, title = {Emotional Recognition System Using Curve Fitting with Bezier Cubics}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579398}, doi = {10.1109/ICISA.2013.6579398}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/LeeLPHK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/LeeLYPK13, author = {Yong{-}Hwan Lee and Yukyong Lee and Dong{-}Seok Yang and Je{-}Ho Park and Youngseop Kim}, title = {Facial Feature Extraction Using Enhanced Active Shape Model}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579397}, doi = {10.1109/ICISA.2013.6579397}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/LeeLYPK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisa/ParkPH13, author = {Je{-}Ho Park and Young Bom Park and Hyung Kil Ham}, title = {Fragmentation Problem in Android}, booktitle = {International Conference on Information Science and Applications, {ICISA} 2013, Hilton Pattaya Hotel, Pattaya, Thailand, June 24-26, 2013}, pages = {1--2}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.ieeecomputersociety.org/10.1109/ICISA.2013.6579465}, doi = {10.1109/ICISA.2013.6579465}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisa/ParkPH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/KangKP13, author = {Jeong Seok Kang and Young Gyu Kim and Hong Seong Park}, title = {Remote Data Transmission Middleware for Telerobotics}, booktitle = {2013 International Conference on {IT} Convergence and Security, {ICITCS} 2013, Macau, China, December 16-18, 2013}, pages = {1--4}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ICITCS.2013.6717875}, doi = {10.1109/ICITCS.2013.6717875}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/KangKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iconip/JeongKP13, author = {Il{-}Young Jeong and Biho Kim and Hyung{-}Min Park}, editor = {Minho Lee and Akira Hirose and Zeng{-}Guang Hou and Rhee Man Kil}, title = {Single-Channel Speech Dereverberation Based on Non-negative Blind Deconvolution and Prior Imposition on Speech and Filter}, booktitle = {Neural Information Processing - 20th International Conference, {ICONIP} 2013, Daegu, Korea, November 3-7, 2013. Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {8228}, pages = {469--476}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-42051-1\_58}, doi = {10.1007/978-3-642-42051-1\_58}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iconip/JeongKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/NguyenSLKKHPOLJ13, author = {Dat Tien Nguyen and Kwang Yong Shin and Won Oh Lee and Yeong Gon Kim and Ki{-}Wan Kim and Hyung Gil Hong and Kang Ryoung Park and CheonIn Oh and Hankyu Lee and Youngho Jeong}, title = {Gaze detection based on head pose estimation in smart {TV}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {283--288}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675358}, doi = {10.1109/ICTC.2013.6675358}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/NguyenSLKKHPOLJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imis/ChoiHCYWPSC13, author = {JongCheon Choi and Yongman Han and Seong{-}je Cho and Haeyoung Yoo and Jinwoon Woo and Minkyu Park and Youngsang Song and Lawrence Chung}, editor = {Leonard Barolli and Ilsun You and Fatos Xhafa and Fang{-}Yie Leu and Hsing{-}Chung Chen}, title = {A Static Birthmark for {MS} Windows Applications Using Import Address Table}, booktitle = {Seventh International Conference on Innovative Mobile and Internet Services in Ubiquitous Computing, {IMIS} 2013, Taichung, Taiwan, July 3-5, 2013}, pages = {129--134}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/IMIS.2013.159}, doi = {10.1109/IMIS.2013.159}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/imis/ChoiHCYWPSC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/JeongP13, author = {Hwa{-}Young Jeong and Jong Hyuk Park}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {A Component Model for Manufacturing System in Cloud Computing}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {501--508}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_53}, doi = {10.1007/978-94-007-6996-0\_53}, timestamp = {Tue, 07 Nov 2023 11:31:29 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/JeongP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itcs2/JungLPKKPJL13, author = {Dae{-}Young Jung and Hyuk{-}Jun Lee and Sung{-}Yong Park and Myoung{-}Wan Koo and Ji{-}Hwan Kim and Jeong{-}Sik Park and Hyung{-}Bae Jeon and Yun{-}Keun Lee}, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Implementation of a Large-Scale Language Model in a Cloud Environment for Human-Robot Interaction}, booktitle = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, pages = {957--965}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0\_101}, doi = {10.1007/978-94-007-6996-0\_101}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/itcs2/JungLPKKPJL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/itng/ParkSKLBLSS13, author = {Sang Hun Park and Dong Ho Shin and Bang{-}Young Kim and Myoung Young Lee and Heung Ki Baik and Dong Heon Lee and Jeong{-}Hwan Seo and Chul{-}Gyu Song}, editor = {Shahram Latifi}, title = {Real-time, High-resolution Diagnosis for Osteoarthritis in the Rat Using Common-Path Fourier-Domain Optical Coherence Tomography}, booktitle = {Tenth International Conference on Information Technology: New Generations, {ITNG} 2013, 15-17 April, 2013, Las Vegas, Nevada, {USA}}, pages = {671--673}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/ITNG.2013.133}, doi = {10.1109/ITNG.2013.133}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itng/ParkSKLBLSS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmvr/ChoiKYJKP13, author = {Jin Hyeok Choi and Youngjun Kim and Tae{-}kyoung Yi and Jekyo Jung and Yong Kim and Se Hyung Park}, editor = {James D. Westwood and Susan W. Westwood and Li Fell{\"{a}}nder{-}Tsai and Randy S. Haluck and Richard A. Robb and Steven Senger and Kirby G. Vosburgh}, title = {Optimized Marker for Template-Guided Intraoral Surgery}, booktitle = {Medicine Meets Virtual Reality 20 - NextMed, {MMVR} 2013, San Diego, California, USA, February 20-23, 2013}, series = {Studies in Health Technology and Informatics}, volume = {184}, pages = {85--91}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-209-7-85}, doi = {10.3233/978-1-61499-209-7-85}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmvr/ChoiKYJKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/WooJPLIP13, author = {Shinae Woo and Eunyoung Jeong and Shinjo Park and Jong Min Lee and Sunghwan Ihm and KyoungSoo Park}, editor = {Hao{-}Hua Chu and Polly Huang and Romit Roy Choudhury and Feng Zhao}, title = {Comparison of caching strategies in modern cellular backhaul networks}, booktitle = {The 11th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys'13, Taipei, Taiwan, June 25-28, 2013}, pages = {319--332}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2462456.2464442}, doi = {10.1145/2462456.2464442}, timestamp = {Sat, 22 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/WooJPLIP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/music/NasridinovIJP13, author = {Aziz Nasridinov and Sun{-}Young Ihm and Young{-}Sik Jeong and Young{-}Ho Park}, editor = {James J. Park and Hojjat Adeli and Namje Park and Isaac Woungang}, title = {Event Detection in Wireless Sensor Networks: Survey and Challenges}, booktitle = {Mobile, Ubiquitous, and Intelligent Computing - {MUSIC} 2013, {FTRA} 4th International Conference on Mobile, Ubiquitous, and Intelligent Computing, September 4-6, 2013, Gwangju, Korea}, series = {Lecture Notes in Electrical Engineering}, volume = {274}, pages = {585--590}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40675-1\_87}, doi = {10.1007/978-3-642-40675-1\_87}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/music/NasridinovIJP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/SongGPP13, author = {Hyun{-}Je Song and Jun{-}Ho Go and Seong{-}Bae Park and Se{-}Young Park}, editor = {Lucy Vanderwende and Hal Daum{\'{e}} III and Katrin Kirchhoff}, title = {A Just-In-Time Keyword Extraction from Meeting Transcripts}, booktitle = {Human Language Technologies: Conference of the North American Chapter of the Association of Computational Linguistics, Proceedings, June 9-14, 2013, Westin Peachtree Plaza Hotel, Atlanta, Georgia, {USA}}, pages = {888--896}, publisher = {The Association for Computational Linguistics}, year = {2013}, url = {https://aclanthology.org/N13-1109/}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/naacl/SongGPP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nbis/JeongP13, author = {Hwa{-}Young Jeong and Jong Hyuk Park}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa and James J. Park}, title = {Service Based User Oriented Adaptive Learning System}, booktitle = {16th International Conference on Network-Based Information Systems, NBiS 2013, Seo-gu, Gwangju, CA, Korea (South), September 4-6, 2013}, pages = {292--295}, publisher = {{IEEE} Computer Society}, year = {2013}, url = {https://doi.org/10.1109/NBiS.2013.45}, doi = {10.1109/NBIS.2013.45}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nbis/JeongP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nof/JeongPS13, author = {Jae{-}Pil Jeong and Young Deok Park and Young{-}Joo Suh}, title = {Handoff performance enhancement scheme for multi-interface enterprise WLANs}, booktitle = {Fourth International Conference on the Network of the Future, {NOF} 2013, Pohang, Korea (South), October 23-25, 2013}, pages = {1--5}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/NOF.2013.6724508}, doi = {10.1109/NOF.2013.6724508}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/nof/JeongPS13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoJKMKPC13, author = {Kyeong Ho Cho and Young Hoon Jin and Ho Moon Kim and Hyungpil Moon and Jachoon Koo and Yeong Je Park and Hyouk Ryeol Choi}, title = {Development of multifunctional robotic crawler for cable inspection (MRC\({}^{\mbox{2}}\)IN)}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {123--124}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677488}, doi = {10.1109/URAI.2013.6677488}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoJKMKPC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JeonLKRP13, author = {Jun{-}Ho Jeon and Dong{-}Soo Lee and Changhwa Kim and Young{-}Sun Ryuh and Sung{-}Joon Park}, title = {Research and development of an acoustic modem for underwater bio-mimetic fish robots}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {432--435}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677303}, doi = {10.1109/URAI.2013.6677303}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/JeonLKRP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimKP13, author = {Young Gyu Kim and Jeong Seok Kang and Hong Seong Park}, title = {Publish/subscribe model based communication for telerobotics}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {305--308}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677372}, doi = {10.1109/URAI.2013.6677372}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimKP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkLCKYJR13, author = {Hoon Chae Park and Ji Eun Lee and Hang Seok Choi and Jin Ho Kyung and Dongwon Yun and Sanghyun Jeong and Youngsun Ryuh}, title = {Application of {FSI} (Fluid Structure Interaction) to biomimetic robot fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {439--441}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677305}, doi = {10.1109/URAI.2013.6677305}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkLCKYJR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/YunKJPCR13, author = {Dongwon Yun and Jin Ho Kyung and Sanghyun Jeong and Chanhun Park and Hang Seok Choi and Youngsun Ryuh}, title = {Water tank experiment for a robotic fish}, booktitle = {10th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2013, Jeju, Korea (South), October 30 - Nov. 2, 2013}, pages = {436--438}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/URAI.2013.6677304}, doi = {10.1109/URAI.2013.6677304}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/YunKJPCR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/itcs2/2013, editor = {James Jong Hyuk Park and Leonard Barolli and Fatos Xhafa and Hwa{-}Young Jeong}, title = {Information Technology Convergence - Security, Robotics, Automations and Communication, 5th International Conference on Information Technology Convergence and Services, {ITCS} 2013, Fukuoka, Japan, July 8-10, 2013}, series = {Lecture Notes in Electrical Engineering}, volume = {253}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6996-0}, doi = {10.1007/978-94-007-6996-0}, isbn = {978-94-007-6995-3}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/itcs2/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/mue/2013, editor = {James J. Park and Joseph Kee{-}Yin Ng and Hwa{-}Young Jeong and Agustinus Borgy Waluyo}, title = {Multimedia and Ubiquitous Engineering, {MUE} 2013, May 9-11, 2013, Seoul, Korea}, series = {Lecture Notes in Electrical Engineering}, volume = {240}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-94-007-6738-6}, doi = {10.1007/978-94-007-6738-6}, isbn = {978-94-007-6737-9}, timestamp = {Sun, 04 Jun 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mue/2013.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/JeongLPCPYKLL13, author = {Hwancheol Jeong and Weonjong Lee and Jeonghwan Pak and Kwang{-}jong Choi and Sang{-}Hyun Park and Jun{-}sik Yoo and Joo Hwan Kim and Joungjin Lee and Young Woo Lee}, title = {Performance of Kepler {GTX} Titan GPUs and Xeon Phi System}, journal = {CoRR}, volume = {abs/1311.0590}, year = {2013}, url = {http://arxiv.org/abs/1311.0590}, eprinttype = {arXiv}, eprint = {1311.0590}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/JeongLPCPYKLL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/HongRPLJKYBPRCYLKLKS12, author = {Dongwan Hong and Arang Rhie and Sung{-}Soo Park and Jongkeun Lee and Young Seok Ju and Sujung Kim and Saet{-}Byeol Yu and Thomas Bleazard and Hyun Seok Park and Hwanseok Rhee and Hyonyong Chong and Kap{-}Seok Yang and Yeon{-}Su Lee and In{-}Hoo Kim and Jin Soo Lee and Jong{-}Il Kim and Jeong{-}Sun Seo}, title = {{FX:} an RNA-Seq analysis tool on the cloud}, journal = {Bioinform.}, volume = {28}, number = {5}, pages = {721--723}, year = {2012}, url = {https://doi.org/10.1093/bioinformatics/bts023}, doi = {10.1093/BIOINFORMATICS/BTS023}, timestamp = {Mon, 02 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/HongRPLJKYBPRCYLKLKS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cm/KangPCJKLI12, author = {Kyu{-}Min Kang and Jae Cheol Park and Sang{-}In Cho and Byung Jang Jeong and Young{-}Jin Kim and Hyoung{-}Jin Lim and Gi{-}Hong Im}, title = {Deployment and coverage of cognitive radio networks in {TV} white space}, journal = {{IEEE} Commun. Mag.}, volume = {50}, number = {12}, pages = {88--94}, year = {2012}, url = {https://doi.org/10.1109/MCOM.2012.6384456}, doi = {10.1109/MCOM.2012.6384456}, timestamp = {Tue, 25 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cm/KangPCJKLI12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ejwcn/JeongHPL12, author = {Young{-}Sik Jeong and Youn{-}Hee Han and Jong Hyuk Park and SooYoung Lee}, title = {{MSNS:} mobile sensor network simulator for area coverage and obstacle avoidance based on {GML}}, journal = {{EURASIP} J. Wirel. Commun. Netw.}, volume = {2012}, pages = {95}, year = {2012}, url = {https://doi.org/10.1186/1687-1499-2012-95}, doi = {10.1186/1687-1499-2012-95}, timestamp = {Thu, 27 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ejwcn/JeongHPL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/ParkLYJJ12, author = {Jong In Park and Lu Liu and X. Philip Ye and Myong K. Jeong and Young{-}Seon Jeong}, title = {Improved prediction of biomass composition for switchgrass using reproducing kernel methods with wavelet compressed {FT-NIR} spectra}, journal = {Expert Syst. Appl.}, volume = {39}, number = {1}, pages = {1555--1564}, year = {2012}, url = {https://doi.org/10.1016/j.eswa.2011.05.012}, doi = {10.1016/J.ESWA.2011.05.012}, timestamp = {Mon, 25 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/eswa/ParkLYJJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/gandc/KimLHKP12, author = {Jung{-}Rack Kim and Shih{-}Yuan Lin and Jeong{-}Woo Hong and Young{-}Hwi Kim and Chin{-}Kang Park}, title = {Implementation of Martian virtual reality environment using very high-resolution stereo topographic data}, journal = {Comput. Geosci.}, volume = {44}, pages = {184--195}, year = {2012}, url = {https://doi.org/10.1016/j.cageo.2011.09.018}, doi = {10.1016/J.CAGEO.2011.09.018}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/gandc/KimLHKP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/ChungJSLJCP12, author = {In{-}Young Chung and Seong Yeol Jeong and Sung Min Seo and Myungjin Lee and Taesu Jang and Seon{-}Yong Cha and Young June Park}, title = {New Multiple-Times Programmable {CMOS} {ROM} Cell}, journal = {{IEICE} Trans. Electron.}, volume = {95-C}, number = {6}, pages = {1098--1103}, year = {2012}, url = {https://doi.org/10.1587/transele.E95.C.1098}, doi = {10.1587/TRANSELE.E95.C.1098}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/ChungJSLJCP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimCPSJ12, author = {Chul Keun Kim and Yongwoo Cho and Jongbin Park and Doug Young Suh and Byeungwoo Jeon}, title = {Quality and Complexity Controllable {DVC} Bitstream Organizer}, journal = {{IEICE} Trans. Commun.}, volume = {95-B}, number = {5}, pages = {1894--1897}, year = {2012}, url = {https://doi.org/10.1587/transcom.E95.B.1894}, doi = {10.1587/TRANSCOM.E95.B.1894}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimCPSJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijgbl/YoungKLP12, author = {Mark Young and Melanie Killen and Jennie Lee{-}Kim and Yoonjung Park}, title = {Introducing Cool School: Where Peace Rules and Conflict Resolution can be Fun}, journal = {Int. J. Game Based Learn.}, volume = {2}, number = {4}, pages = {74--83}, year = {2012}, url = {https://doi.org/10.4018/ijgbl.2012100105}, doi = {10.4018/IJGBL.2012100105}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijgbl/YoungKLP12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/DuPTMS12, author = {Jing Du and Young{-}Taek Park and Nawanan Theera{-}Ampornpunt and Jeffrey S. McCullough and Stuart M. Speedie}, title = {The use of count data models in biomedical informatics evaluation research}, journal = {J. Am. Medical Informatics Assoc.}, volume = {19}, number = {1}, pages = {39--44}, year = {2012}, url = {https://doi.org/10.1136/amiajnl-2011-000256}, doi = {10.1136/AMIAJNL-2011-000256}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/DuPTMS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/KimHPCYSBKCJLJ12, author = {Sun Mi Kim and Heon Han and Jeong Mi Park and Yoon Jung Choi and Hoi Soo Yoon and Jung Hee Sohn and Moon Hee Baek and Yoon Nam Kim and Young Moon Chae and Jeon Jong June and Jiwon Lee and Yong Hwan Jeon}, title = {A Comparison of Logistic Regression Analysis and an Artificial Neural Network Using the {BI-RADS} Lexicon for Ultrasonography in Conjunction with Introbserver Variability}, journal = {J. Digit. Imaging}, volume = {25}, number = {5}, pages = {599--606}, year = {2012}, url = {https://doi.org/10.1007/s10278-012-9457-7}, doi = {10.1007/S10278-012-9457-7}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/KimHPCYSBKCJLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jms/KwonLKKPYSJ12, author = {Ki{-}Chul Kwon and Young{-}Tae Lim and Chul{-}Ho Kim and Nam Kim and Chan Park and Kwan{-}Hee Yoo and Seong{-}Ho Son and Soon{-}Ik Jeon}, title = {Microwave Tomography Analysis System for Breast Tumor Detection}, journal = {J. Medical Syst.}, volume = {36}, number = {3}, pages = {1757--1767}, year = {2012}, url = {https://doi.org/10.1007/s10916-010-9635-4}, doi = {10.1007/S10916-010-9635-4}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jms/KwonLKKPYSJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jnca/ChoiPLJ12, author = {Min Choi and Jong Hyuk Park and Seungho Lim and Young{-}Sik Jeong}, title = {Achieving reliable system performance by fast recovery of branch miss prediction}, journal = {J. Netw. Comput. Appl.}, volume = {35}, number = {3}, pages = {982--991}, year = {2012}, url = {https://doi.org/10.1016/j.jnca.2011.03.015}, doi = {10.1016/J.JNCA.2011.03.015}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jnca/ChoiPLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeeSPS12, author = {Dong{-}Woo Jee and Young Hun Seo and Hong{-}June Park and Jae{-}Yoon Sim}, title = {A 2 GHz Fractional-N Digital {PLL} with 1b Noise Shaping {\(\Delta\)}{\(\Sigma\)} {TDC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {875--883}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185190}, doi = {10.1109/JSSC.2012.2185190}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JeeSPS12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12, author = {Chulbum Kim and Jinho Ryu and Tae{-}Sung Lee and Hyunggon Kim and Jaewoo Lim and Jaeyong Jeong and Seonghwan Seo and Hongsoo Jeon and Bokeun Kim and Inyoul Lee and Dooseop Lee and Pansuk Kwak and Seongsoon Cho and Yongsik Yim and Changhyun Cho and Woopyo Jeong and Kwang{-}Il Park and Jin{-}Man Han and Duheon Song and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 21 nm High Performance 64 Gb {MLC} {NAND} Flash Memory With 400 MB/s Asynchronous Toggle {DDR} Interface}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {4}, pages = {981--989}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185341}, doi = {10.1109/JSSC.2012.2185341}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimRLKLJSJKLLKCYCJPHSKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SeoCPYK12, author = {Heesong Seo and In Young Choi and Changjoon Park and Jehyung Yoon and Bumman Kim}, title = {A Wideband Digital {RF} Receiver Front-End Employing a New Discrete-Time Filter for m-WiMAX}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {5}, pages = {1165--1174}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2012.2185529}, doi = {10.1109/JSSC.2012.2185529}, timestamp = {Tue, 26 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/SeoCPYK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/micro/OhKHPLKWY12, author = {Jinwook Oh and Gyeonghoon Kim and Injoon Hong and Junyoung Park and Seungjin Lee and Joo{-}Young Kim and Jeong{-}Ho Woo and Hoi{-}Jun Yoo}, title = {Low-Power, Real-Time Object-Recognition Processors for Mobile Vision Systems}, journal = {{IEEE} Micro}, volume = {32}, number = {6}, pages = {38--50}, year = {2012}, url = {https://doi.org/10.1109/MM.2012.90}, doi = {10.1109/MM.2012.90}, timestamp = {Fri, 13 Nov 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/micro/OhKHPLKWY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/ParkJLJL12, author = {Kinam Park and Hyesung Jee and Taemin Lee and Soonyoung Jung and Heuiseok Lim}, title = {Automatic extraction of user's search intention from web search logs}, journal = {Multim. Tools Appl.}, volume = {61}, number = {1}, pages = {145--162}, year = {2012}, url = {https://doi.org/10.1007/s11042-010-0723-8}, doi = {10.1007/S11042-010-0723-8}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/ParkJLJL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.