default search action
Search dblp for Publications
export results for "Woo Hyun Kang"
@article{DBLP:journals/access/ChoKKKC24, author = {Chihyun Cho and Jae{-}Yong Kwon and Tae{-}Weon Kang and Hyunji Koo and Woohyun Chung}, title = {Design of a Waveguide Calibration Kit Consisting of Offset Shorts for Low Measurement Uncertainty}, journal = {{IEEE} Access}, volume = {12}, pages = {12902--12908}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3355496}, doi = {10.1109/ACCESS.2024.3355496}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoKKKC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongKJ24, author = {Jae Yeol Jeong and Hyung Woo Kang and Ik Rae Jeong}, title = {Concurrent Two-Party Key Exchange With Forward Unlinkability in Internet of Drones}, journal = {{IEEE} Access}, volume = {12}, pages = {77250--77256}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3404850}, doi = {10.1109/ACCESS.2024.3404850}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KoKK24, author = {Hyunjun Ko and Jin{-}Ku Kang and Yongwoo Kim}, title = {Adaptive Scaling Filter Pruning Method for Vision Networks With Embedded Devices}, journal = {{IEEE} Access}, volume = {12}, pages = {123771--123781}, year = {2024}, url = {https://doi.org/10.1109/ACCESS.2024.3454329}, doi = {10.1109/ACCESS.2024.3454329}, timestamp = {Fri, 20 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KoKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/ChoiWPLYLLYLCBKL24, author = {Seungyeop Choi and Sung{-}Hun Woo and Insu Park and Sena Lee and Kang In Yeo and Sang Hyun Lee and Sei Young Lee and Sejung Yang and Gyudo Lee and Woo{-}Jin Chang and Rashid Bashir and Yoon Suk Kim and Sang Woo Lee}, title = {Cellular subpopulations identified using an ensemble average of multiple dielectrophoresis measurements}, journal = {Comput. Biol. Medicine}, volume = {170}, pages = {108011}, year = {2024}, url = {https://doi.org/10.1016/j.compbiomed.2024.108011}, doi = {10.1016/J.COMPBIOMED.2024.108011}, timestamp = {Tue, 07 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/ChoiWPLYLLYLCBKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/eswa/AdesanyaORNOAKKKL24, author = {Misbaudeen Aderemi Adesanya and Hammed Obasekore and Anis Rabiu and Wook{-}Ho Na and Qazeem Opeyemi Ogunlowo and Timothy Denen Akpenpuun and Min{-}Hwi Kim and Hyeon{-}Tae Kim and Bo{-}Yeong Kang and Hyun{-}Woo Lee}, title = {Deep reinforcement learning for {PID} parameter tuning in greenhouse {HVAC} system energy Optimization: {A} TRNSYS-Python cosimulation approach}, journal = {Expert Syst. Appl.}, volume = {252}, pages = {124126}, year = {2024}, url = {https://doi.org/10.1016/j.eswa.2024.124126}, doi = {10.1016/J.ESWA.2024.124126}, timestamp = {Thu, 22 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/eswa/AdesanyaORNOAKKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/LeeKKPJKKLL24, author = {Kwang{-}Sig Lee and Su Jin Kim and Dong Cheol Kim and Sang{-}Hyun Park and Dong{-}Hyun Jang and Eung Hwi Kim and Youngshin Kang and Sijin Lee and Sung Woo Lee}, title = {Machine learning-based prediction of cerebral oxygen saturation based on multi-modal cerebral oximetry data}, journal = {Health Informatics J.}, volume = {30}, number = {2}, year = {2024}, url = {https://doi.org/10.1177/14604582241259341}, doi = {10.1177/14604582241259341}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/LeeKKPJKKLL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isrob/ChangJSPCC24, author = {Mun Hyeok Chang and Inchul Jung and Kang Woo Seo and Jonghoo Park and Hyungmin Choi and Kyu{-}Jin Cho}, title = {Posture-dependent variable transmission mechanism for prosthetic hand inspired by human grasping characteristics}, journal = {Intell. Serv. Robotics}, volume = {17}, number = {3}, pages = {389--399}, year = {2024}, url = {https://doi.org/10.1007/s11370-024-00516-7}, doi = {10.1007/S11370-024-00516-7}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isrob/ChangJSPCC24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KimKKCLAOCLCJBSL24, author = {Minwook Kim and Donggil Kang and Min Sun Kim and Jeong Cheon Choe and Sun{-}Hack Lee and Jin{-}Hee Ahn and Jun{-}Hyok Oh and Jung Hyun Choi and Han Cheol Lee and Kwang Soo Cha and Kyungtae Jang and Woor I Bong and Giltae Song and Hyewon Lee}, title = {Acute myocardial infarction prognosis prediction with reliable and interpretable artificial intelligence system}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {7}, pages = {1540--1550}, year = {2024}, url = {https://doi.org/10.1093/jamia/ocae114}, doi = {10.1093/JAMIA/OCAE114}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/KimKKCLAOCLCJBSL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/nn/JinLNKKL24, author = {Kyung{-}Min Jin and Gun{-}Hee Lee and Woo{-}Jeoung Nam and Tae{-}Kyung Kang and Hyun{-}Woo Kim and Seong{-}Whan Lee}, title = {Masked Kinematic Continuity-aware Hierarchical Attention Network for pose estimation in videos}, journal = {Neural Networks}, volume = {169}, pages = {282--292}, year = {2024}, url = {https://doi.org/10.1016/j.neunet.2023.10.038}, doi = {10.1016/J.NEUNET.2023.10.038}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/nn/JinLNKKL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/pr/KimLNJKYL24, author = {Hyun{-}Woo Kim and Gun{-}Hee Lee and Woo{-}Jeoung Nam and Kyung{-}Min Jin and Tae{-}Kyung Kang and Geon{-}Jun Yang and Seong{-}Whan Lee}, title = {MHCanonNet: Multi-Hypothesis Canonical lifting Network for self-supervised 3D human pose estimation in the wild video}, journal = {Pattern Recognit.}, volume = {145}, pages = {109908}, year = {2024}, url = {https://doi.org/10.1016/j.patcog.2023.109908}, doi = {10.1016/J.PATCOG.2023.109908}, timestamp = {Tue, 24 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/pr/KimLNJKYL24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/GoMKCK24, author = {Dong{-}Jae Go and Byung Cheol Min and Mun{-}Ju Kim and Hyun{-}Chul Choi and Kang{-}Wook Kim}, title = {Compact Ultra-Wideband Wilkinson Power Divider in Parallel Stripline with Modified Isolation Branches}, journal = {Sensors}, volume = {24}, number = {11}, pages = {3437}, year = {2024}, url = {https://doi.org/10.3390/s24113437}, doi = {10.3390/S24113437}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/GoMKCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimLMCKCK24, author = {Mun{-}Ju Kim and Jung{-}Seok Lee and Byung Cheol Min and Jeong{-}Sik Choi and Sachin Kumar and Hyun{-}Chul Choi and Kang{-}Wook Kim}, title = {Ultra-Wideband Vertical Transition in Coplanar Stripline for Ultra-High-Speed Digital Interfaces}, journal = {Sensors}, volume = {24}, number = {10}, pages = {3233}, year = {2024}, url = {https://doi.org/10.3390/s24103233}, doi = {10.3390/S24103233}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimLMCKCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcasII/KimKLPK24, author = {Jin{-}Ho Kim and Tae Ho Kim and Hyung{-}Wook Lee and Jeong{-}Mi Park and Jin{-}Ku Kang}, title = {1.4-8 Gb/s Low Power Quarter-Rate Single-Loop Referenceless {CDR} With Unlimited Capture Range}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {71}, number = {9}, pages = {4061--4065}, year = {2024}, url = {https://doi.org/10.1109/TCSII.2024.3378302}, doi = {10.1109/TCSII.2024.3378302}, timestamp = {Thu, 19 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcasII/KimKLPK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tgrs/KimKSJKK24, author = {Jaemyung Kim and Hyun{-}Ho Kim and Doo{-}Chun Seo and Jae{-}Heon Jeong and Jin{-}Ku Kang and Yongwoo Kim}, title = {{MASCAR:} Multidomain Adaptive Spatial-Spectral Variable Compression Artifact Removal Network for Multispectral Remote Sensing Images}, journal = {{IEEE} Trans. Geosci. Remote. Sens.}, volume = {62}, pages = {1--20}, year = {2024}, url = {https://doi.org/10.1109/TGRS.2023.3347518}, doi = {10.1109/TGRS.2023.3347518}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tgrs/KimKSJKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/LeeYSKBKKK24, author = {Kyungsik Lee and Hana Yoo and Sumin Shin and Wooyoung Kim and Yeonung Baek and Hyunjin Kang and Jaehyun Kim and Kee{-}Eung Kim}, editor = {Michael J. Wooldridge and Jennifer G. Dy and Sriraam Natarajan}, title = {A Submodular Optimization Approach to Accountable Loan Approval}, booktitle = {Thirty-Eighth {AAAI} Conference on Artificial Intelligence, {AAAI} 2024, Thirty-Sixth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2024, Fourteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2014, February 20-27, 2024, Vancouver, Canada}, pages = {22761--22769}, publisher = {{AAAI} Press}, year = {2024}, url = {https://doi.org/10.1609/aaai.v38i21.30310}, doi = {10.1609/AAAI.V38I21.30310}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/LeeYSKBKKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aicas/KoKK24, author = {Hyunjun Ko and Jin{-}Ku Kang and Yongwoo Kim}, title = {An Efficient and Fast Filter Pruning Method for Object Detection in Embedded Systems}, booktitle = {6th {IEEE} International Conference on {AI} Circuits and Systems, {AICAS} 2024, Abu Dhabi, United Arab Emirates, April 22-25, 2024}, pages = {204--207}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/AICAS59952.2024.10595873}, doi = {10.1109/AICAS59952.2024.10595873}, timestamp = {Wed, 31 Jul 2024 16:24:36 +0200}, biburl = {https://dblp.org/rec/conf/aicas/KoKK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/atal/HongYJLYHJMJLLJ24, author = {Sunghoon Hong and Deunsol Yoon and Whiyoung Jung and Jinsang Lee and Hyundam Yoo and Jiwon Ham and Suhyun Jung and Chanwoo Moon and Yeontae Jung and Kanghoon Lee and Woohyung Lim and Somin Jeon and Myounggu Lee and Sohui Hong and Jaesang Lee and Hangyoul Jang and Changhyun Kwak and Jeonghyeon Park and Changhoon Kang and Jungki Kim}, editor = {Mehdi Dastani and Jaime Sim{\~{a}}o Sichman and Natasha Alechina and Virginia Dignum}, title = {Naphtha Cracking Center Scheduling Optimization using Multi-Agent Reinforcement Learning}, booktitle = {Proceedings of the 23rd International Conference on Autonomous Agents and Multiagent Systems, {AAMAS} 2024, Auckland, New Zealand, May 6-10, 2024}, pages = {2806--2808}, publisher = {International Foundation for Autonomous Agents and Multiagent Systems / {ACM}}, year = {2024}, url = {https://dl.acm.org/doi/10.5555/3635637.3663294}, doi = {10.5555/3635637.3663294}, timestamp = {Wed, 26 Jun 2024 14:06:50 +0200}, biburl = {https://dblp.org/rec/conf/atal/HongYJLYHJMJLLJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/YoonCKKJKSR24, author = {Junwon Yoon and Hyun{-}Joon Chung and Jeon Seong Kang and Jung{-}Jun Kim and Kwang{-}Woo Jeon and SeungWoo Kim and Myounghoon Shim and Jae{-}Kwan Ryu}, title = {Enhancing GAN-Based Motion Data Augmentation Through Dynamic Time Warping Distance Filtering}, booktitle = {International Conference on Artificial Intelligence in Information and Communication , {ICAIIC} 2024, Osaka, Japan, February 19-22, 2024}, pages = {440--445}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ICAIIC60209.2024.10463284}, doi = {10.1109/ICAIIC60209.2024.10463284}, timestamp = {Tue, 02 Apr 2024 21:06:09 +0200}, biburl = {https://dblp.org/rec/conf/icaiic/YoonCKKJKSR24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24, author = {Hanjoon Kim and Younggeun Choi and Junyoung Park and Byeongwook Bae and Hyunmin Jeong and Sang Min Lee and Jeseung Yeon and Minho Kim and Changjae Park and Boncheol Gu and Changman Lee and Jaeick Bae and SungGyeong Bae and Yojung Cha and Wooyoung Choe and Jonguk Choi and Juho Ha and Hyuck Han and Namoh Hwang and Seokha Hwang and Kiseok Jang and Haechan Je and Hojin Jeon and Jaewoo Jeon and Hyunjun Jeong and Yeonsu Jung and Dongok Kang and Hyewon Kim and Minjae Kim and Muhwan Kim and Sewon Kim and Suhyung Kim and Won Kim and Yong Kim and Youngsik Kim and Younki Ku and Jeong Ki Lee and Juyun Lee and Kyungjae Lee and Seokho Lee and Minwoo Noh and Hyuntaek Oh and Gyunghee Park and Sanguk Park and Jimin Seo and Jungyoung Seong and June Paik and Nuno P. Lopes and Sungjoo Yoo}, title = {{TCP:} {A} Tensor Contraction Processor for {AI} Workloads Industrial Product}, booktitle = {51st {ACM/IEEE} Annual International Symposium on Computer Architecture, {ISCA} 2024, Buenos Aires, Argentina, June 29 - July 3, 2024}, pages = {890--902}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISCA59077.2024.00069}, doi = {10.1109/ISCA59077.2024.00069}, timestamp = {Fri, 16 Aug 2024 20:48:15 +0200}, biburl = {https://dblp.org/rec/conf/isca/KimCPBJLYKPGLBBCCCHHHHJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24, author = {Yangho Seo and Jihee Choi and Sunki Cho and Hyunwook Han and Wonjong Kim and Gyeongha Ryu and Jungil Ahn and Younga Cho and Sungphil Choi and Seohee Lee and Wooju Lee and Chaehyuk Lee and Kiup Kim and Seongseop Lee and Sangbeom Park and Minjun Choi and Sungwoo Lee and Mino Kim and Taekyun Shin and Hyeongsoo Jeong and Hyunseung Kim and Houk Song and Yunsuk Hong and Seokju Yoon and Giwook Park and Hokeun You and Changkyu Choi and Hae{-}Kang Jung and Joohwan Cho and Jonghwan Kim}, title = {13.8 {A} 1a-nm 1.05V 10.5Gb/s/pin 16Gb {LPDDR5} Turbo {DRAM} with {WCK} Correction Strategy, a Voltage-Offset-Calibrated Receiver and Parasitic Capacitance Reduction}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {246--248}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454381}, doi = {10.1109/ISSCC49657.2024.10454381}, timestamp = {Tue, 19 Mar 2024 09:04:31 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeoCCHKRACCLLLKLPCLKSJKSHYPYCJCK24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SeongOLBSLKKKMLKLPYRS24, author = {Kihwan Seong and Wooseuk Oh and Hyunwoo Lee and Gyeom{-}Je Bae and Youngseob Suh and Hyemun Lee and Juyoung Kim and Eunsu Kim and Yeongeon Kang and Gunhu Mo and Youjin Lee and Mingyeong Kim and Seongno Lee and Donguk Park and Byoung{-}Joo Yoo and Hyo{-}Gyuem Rhew and Jongshin Shin}, title = {13.10 {A} 4nm 48Gb/s/wire Single-Ended {NRZ} Parallel Transceiver with Offset-Calibration and Equalization Schemes for Next-Generation Memory Interfaces and Chiplets}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {250--252}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454481}, doi = {10.1109/ISSCC49657.2024.10454481}, timestamp = {Tue, 19 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/SeongOLBSLKKKMLKLPYRS24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24, author = {Chang{-}Hyo Yu and Hyo{-}Eun Kim and Sungho Shin and Kyeongryeol Bong and Hyunsuk Kim and Yoonho Boo and Jaewan Bae and Minjae Kwon and Karim Charfi and Jinseok Kim and Hongyun Kim and Myeongbo Shim and Changsoo Ha and Wongyu Shin and Jae{-}Sung Yoon and Miock Chi and Byungjae Lee and Sungpill Choi and Donghan Kim and Jeongseok Woo and Seokju Yoon and Hyunje Jo and Hyunho Kim and Hyun{-}Seok Heo and Young{-}Jae Jin and Jiun Yu and Jaehwan Lee and Hyunsung Kim and Minhoo Kang and Seokhyeon Choi and Seung{-}Goo Kim and Myung{-}Hoon Choi and Jungju Oh and Yunseong Kim and Haejoon Kim and Sangeun Je and Junhee Ham and Juyeong Yoon and Jaedon Lee and Seonhyeok Park and Youngseob Park and Jaebong Lee and Boeui Hong and Jaehun Ryu and Hyunseok Ko and Kwanghyun Chung and Jongho Choi and Sunwook Jung and Yashael Faith Arthanto and Jonghyeon Kim and Heejin Cho and Hyebin Jeong and Sungmin Choi and Sujin Han and Junkyu Park and Kwangbae Lee and Sung{-}Il Bae and Jaeho Bang and Kyeong{-}Jae Lee and Yeongsang Jang and Jungchul Park and Sanggyu Park and Jueon Park and Hyein Shin and Sunghyun Park and Jinwook Oh}, title = {2.4 {ATOMUS:} {A} 5nm 32TFLOPS/128TOPS {ML} System-on-Chip for Latency Critical Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2024, San Francisco, CA, USA, February 18-22, 2024}, pages = {42--44}, publisher = {{IEEE}}, year = {2024}, url = {https://doi.org/10.1109/ISSCC49657.2024.10454509}, doi = {10.1109/ISSCC49657.2024.10454509}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YuKSBKBBKCKKSHSYCLCKWYJKHJYLKKCKCOKKJ24.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2401-15938, author = {Sanghoon Jeon and Hyo Geon Lee and Jae{-}Sung Lee and Bo Min Kang and Byung{-}Wook Jeon and Jun Young Yoon and Jae{-}Sang Hyun}, title = {Motion-induced error reduction for high-speed dynamic digital fringe projection system}, journal = {CoRR}, volume = {abs/2401.15938}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2401.15938}, doi = {10.48550/ARXIV.2401.15938}, eprinttype = {arXiv}, eprint = {2401.15938}, timestamp = {Fri, 08 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2401-15938.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-01293, author = {Yuchen Zeng and Wonjun Kang and Yicong Chen and Hyung Il Koo and Kangwook Lee}, title = {Can MLLMs Perform Text-to-Image In-Context Learning?}, journal = {CoRR}, volume = {abs/2402.01293}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.01293}, doi = {10.48550/ARXIV.2402.01293}, eprinttype = {arXiv}, eprint = {2402.01293}, timestamp = {Fri, 09 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-01293.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2402-10380, author = {Junhyun Lee and Wooseong Yang and Jaewoo Kang}, title = {Subgraph-level Universal Prompt Tuning}, journal = {CoRR}, volume = {abs/2402.10380}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2402.10380}, doi = {10.48550/ARXIV.2402.10380}, eprinttype = {arXiv}, eprint = {2402.10380}, timestamp = {Mon, 26 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2402-10380.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2403-04460, author = {Minjin Kim and Minju Kim and Hana Kim and Beong{-}woo Kwak and Soyeon Chun and Hyunseo Kim and SeongKu Kang and Youngjae Yu and Jinyoung Yeo and Dongha Lee}, title = {Pearl: {A} Review-driven Persona-Knowledge Grounded Conversational Recommendation Dataset}, journal = {CoRR}, volume = {abs/2403.04460}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2403.04460}, doi = {10.48550/ARXIV.2403.04460}, eprinttype = {arXiv}, eprint = {2403.04460}, timestamp = {Wed, 07 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2403-04460.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2404-01954, author = {Kang Min Yoo and Jaegeun Han and Sookyo In and Heewon Jeon and Jisu Jeong and Jaewook Kang and Hyunwook Kim and Kyung{-}Min Kim and Munhyong Kim and Sungju Kim and Donghyun Kwak and Hanock Kwak and Se Jung Kwon and Bado Lee and Dongsoo Lee and Gichang Lee and Jooho Lee and Baeseong Park and Seongjin Shin and Joonsang Yu and Seolki Baek and Sumin Byeon and Eungsup Cho and Dooseok Choe and Jeeseung Han and Youngkyun Jin and Hyein Jun and Jaeseung Jung and Chanwoong Kim and Jinhong Kim and Jinuk Kim and Dokyeong Lee and Dong Wook Park and Jeong Min Sohn and Sujung Han and Jiae Heo and Sungju Hong and Mina Jeon and Hyunhoon Jung and Jungeun Jung and Wangkyo Jung and Chungjoon Kim and Hyeri Kim and Jonghyun Kim and Min Young Kim and Soeun Lee and Joonhee Park and Jieun Shin and Sojin Yang and Jungsoon Yoon and Hwaran Lee and Sanghwan Bae and Jeehwan Cha and Karl Gylleus and Donghoon Ham and Mihak Hong and Youngki Hong and Yunki Hong and Dahyun Jang and Hyojun Jeon and Yujin Jeon and Yeji Jeong and Myunggeun Ji and Yeguk Jin and Chansong Jo and Shinyoung Joo and Seunghwan Jung and Adrian Jungmyung Kim and Byoung Hoon Kim and Hyomin Kim and Jungwhan Kim and Minkyoung Kim and Minseung Kim and Sungdong Kim and Yonghee Kim and Youngjun Kim and Youngkwan Kim and Donghyeon Ko and Dughyun Lee and Hayoung Lee and Jaehong Lee and Jieun Lee and Jonghyun Lee and Jongjin Lee and Min Young Lee and Yehbin Lee and Taehong Min and Yuri Min and Kiyoon Moon and Hyangnam Oh and Jaesun Park and Kyuyon Park and Younghun Park and Hanbae Seo and Seunghyun Seo and Mihyun Sim and Gyubin Son and Matt Yeo and Kyung Hoon Yeom and Wonjoon Yoo}, title = {HyperCLOVA {X} Technical Report}, journal = {CoRR}, volume = {abs/2404.01954}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2404.01954}, doi = {10.48550/ARXIV.2404.01954}, eprinttype = {arXiv}, eprint = {2404.01954}, timestamp = {Fri, 10 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2404-01954.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2407-17003, author = {Dooseop Choi and Jungyu Kang and Taeg{-}Hyun An and Kyounghwan Ahn and KyoungWook Min}, title = {Progressive Query Refinement Framework for Bird's-Eye-View Semantic Segmentation from Surrounding Images}, journal = {CoRR}, volume = {abs/2407.17003}, year = {2024}, url = {https://doi.org/10.48550/arXiv.2407.17003}, doi = {10.48550/ARXIV.2407.17003}, eprinttype = {arXiv}, eprint = {2407.17003}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2407-17003.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChenCSJBBNCKLHY23, author = {Yifei Chen and Woojin Choi and Jaekyung Shin and Hyeongjin Jeon and Sooncheol Bae and Soohyun Bin and Sunwoo Nam and Young Chan Choi and Hyunuk Kang and Kang{-}Yoon Lee and Keum{-}Cheol Hwang and Youngoo Yang}, title = {New Compact Load Network for Doherty Power Amplifiers Based on L-Section Matching Network of the Carrier Amplifier and Post-Matching Network}, journal = {{IEEE} Access}, volume = {11}, pages = {66478--66487}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3291219}, doi = {10.1109/ACCESS.2023.3291219}, timestamp = {Fri, 21 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChenCSJBBNCKLHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinCCJBCKKWLHY23, author = {Jaekyung Shin and Woojin Choi and Yifei Chen and Hyeongjin Jeon and Sooncheol Bae and Young Chan Choi and Hyunuk Kang and Hyungmo Koo and Young Yun Woo and Kang{-}Yoon Lee and Keum{-}Cheol Hwang and Youngoo Yang}, title = {Broadband Virtual-Stub Doherty Power Amplifier Using Asymmetric Structure}, journal = {{IEEE} Access}, volume = {11}, pages = {101425--101434}, year = {2023}, url = {https://doi.org/10.1109/ACCESS.2023.3315608}, doi = {10.1109/ACCESS.2023.3315608}, timestamp = {Sat, 14 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinCCJBCKKWLHY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ShakerLLYLLKOKN23, author = {Bilal Shaker and Jingyu Lee and Yunhyeok Lee and Myeong{-}Sang Yu and Hyang{-}Mi Lee and Eunee Lee and Hoon{-}Chul Kang and Kwang{-}Seok Oh and Hyung Wook Kim and Dokyun Na}, title = {A machine learning-based quantitative model (LogBB{\_}Pred) to predict the blood-brain barrier permeability (logBB value) of drug compounds}, journal = {Bioinform.}, volume = {39}, number = {10}, year = {2023}, url = {https://doi.org/10.1093/bioinformatics/btad577}, doi = {10.1093/BIOINFORMATICS/BTAD577}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ShakerLLYLLKOKN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/KimKKKMSP23, author = {Geun{-}Hyeong Kim and Jae{-}Woo Kim and Ka{-}Hyun Kim and Hyeran Kang and Jae Young Moon and Yoon Mi Shin and Seung Park}, title = {{FT-GAT:} Graph neural network for predicting spontaneous breathing trial success in patients with mechanical ventilation}, journal = {Comput. Methods Programs Biomed.}, volume = {240}, pages = {107673}, year = {2023}, url = {https://doi.org/10.1016/j.cmpb.2023.107673}, doi = {10.1016/J.CMPB.2023.107673}, timestamp = {Wed, 01 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cmpb/KimKKKMSP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/entropy/KimLKKKYS23, author = {Hyunji Kim and Sejin Lim and Yeajun Kang and Wonwoong Kim and Dukyoung Kim and Seyoung Yoon and Hwajeong Seo}, title = {Deep-Learning-Based Cryptanalysis of Lightweight Block Ciphers Revisited}, journal = {Entropy}, volume = {25}, number = {7}, pages = {986}, year = {2023}, url = {https://doi.org/10.3390/e25070986}, doi = {10.3390/E25070986}, timestamp = {Sat, 13 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/entropy/KimLKKKYS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/hij/HongKPPK23, author = {Seok Woo Hong and Jeong{-}Hyun Kang and Jun Hyoung Park and Hee Jin Park and Eugene Kim}, title = {Quality and readability of online information on hand osteoarthritis}, journal = {Health Informatics J.}, volume = {29}, number = {1}, pages = {146045822311692}, year = {2023}, url = {https://doi.org/10.1177/14604582231169297}, doi = {10.1177/14604582231169297}, timestamp = {Thu, 15 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/hij/HongKPPK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-ipr/AnKM23, author = {Taeg{-}Hyun An and Jungyu Kang and Kyoung{-}Wook Min}, title = {Network adaptation for color image semantic segmentation}, journal = {{IET} Image Process.}, volume = {17}, number = {10}, pages = {2972--2983}, year = {2023}, url = {https://doi.org/10.1049/ipr2.12846}, doi = {10.1049/IPR2.12846}, timestamp = {Tue, 12 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-ipr/AnKM23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/AhnKCL23, author = {Woo{-}Jin Ahn and Geon Kang and Hyun Duck Choi and Myo{-}Taeg Lim}, title = {Domain adaptation for complex shadow removal with shadow transformer network}, journal = {Neurocomputing}, volume = {552}, pages = {126559}, year = {2023}, url = {https://doi.org/10.1016/j.neucom.2023.126559}, doi = {10.1016/J.NEUCOM.2023.126559}, timestamp = {Fri, 25 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/AhnKCL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/KimLCKL23, author = {HyungTae Kim and Duk{-}Yeon Lee and Dongwoon Choi and Jaehyeon Kang and Dong{-}Wook Lee}, title = {Parallel Implementations of Digital Focus Indices Based on Minimax Search Using Multi-Core Processors}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {17}, number = {2}, pages = {542--558}, year = {2023}, url = {https://doi.org/10.3837/tiis.2023.02.014}, doi = {10.3837/TIIS.2023.02.014}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/KimLCKL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/JangPHLKLN23, author = {Eun Chan Jang and Young Min Park and Hyun Wook Han and Christopher Seungkyu Lee and Eun Seok Kang and Yu Ho Lee and Sang Min Nam}, title = {Machine-learning enhancement of urine dipstick tests for chronic kidney disease detection}, journal = {J. Am. Medical Informatics Assoc.}, volume = {30}, number = {6}, pages = {1114--1124}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad051}, doi = {10.1093/JAMIA/OCAD051}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jamia/JangPHLKLN23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/LeeRKYLYPHTWCKKP23, author = {Chan Joo Lee and Tyler Hyungtaek Rim and Hyun Goo Kang and Joseph Keunhong Yi and Geunyoung Lee and Marco Yu and Soo{-}Hyun Park and Jin{-}Taek Hwang and Yih Chung Tham and Tien Yin Wong and Ching{-}Yu Cheng and Dong Wook Kim and Sung Soo Kim and Sungha Park}, title = {Pivotal trial of a deep-learning-based retinal biomarker (Reti-CVD) in the prediction of cardiovascular disease: data from {CMERC-HI}}, journal = {J. Am. Medical Informatics Assoc.}, volume = {31}, number = {1}, pages = {130--138}, year = {2023}, url = {https://doi.org/10.1093/jamia/ocad199}, doi = {10.1093/JAMIA/OCAD199}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/LeeRKYLYPHTWCKKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmmis/LeeYLK23, author = {Seongmin Lee and Hyunse Yoon and Sanghoon Lee and Jiwoo Kang}, title = {Temporal Facial Alignment with Triple Discriminators}, journal = {J. Multim. Inf. Syst.}, volume = {10}, number = {2}, pages = {101--108}, year = {2023}, url = {https://doi.org/10.33851/jmis.2023.10.2.101}, doi = {10.33851/JMIS.2023.10.2.101}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jmmis/LeeYLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChangJCSLKKSCKH23, author = {Ji{-}Soo Chang and Eunsang Jang and Youngkil Choi and Moonkyu Song and Sanghyo Lee and Gi{-}Jin Kang and Junho Kim and Uijong Song and Chang{-}Yeon Cho and Giyeong Ko and Hyunseok Hwang and Junseo Lee and Han{-}Sol Lee and Yong{-}Il Kwon and Kyungduck Seo and Taeseon Kim and Hyun{-}Wook Lim and Seongwook Song and Jae{-}Youl Lee and Sung{-}Ung Kwak}, title = {A 1.05-A/m Minimum Magnetic Field Strength Single-Chip, Fully Integrated Biometric Smart Card SoC Achieving 792.5-ms Transaction Time With Anti-Spoofing Fingerprint Authentication}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {155--166}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3220081}, doi = {10.1109/JSSC.2022.3220081}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChangJCSLKKSCKH23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KwonLKOPHKHPKKJ23, author = {Dae{-}Han Kwon and Seongju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jaewook Lee and Donguc Ko and Younggun Jun and Ilwoong Kim and Choungki Song and Ilkon Kim and Chanwook Park and Seho Kim and Chunseok Jeong and Euicheol Lim and Dongkyun Kim and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb 16Gb/s/Pin GDDR6-Based Accelerator-in-Memory Supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep Learning Application}, journal = {{IEEE} J. Solid State Circuits}, volume = {58}, number = {1}, pages = {291--302}, year = {2023}, url = {https://doi.org/10.1109/JSSC.2022.3200718}, doi = {10.1109/JSSC.2022.3200718}, timestamp = {Sun, 15 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KwonLKOPHKHPKKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/npjdm/JooRKYKLKKKP23, author = {Young Su Joo and Tyler Hyungtaek Rim and Hee Byung Koh and Joseph Yi and Hyeonmin Kim and Geunyoung Lee and Young Ah Kim and Shin{-}Wook Kang and Sung Soo Kim and Jung Tak Park}, title = {Non-invasive chronic kidney disease risk stratification tool derived from retina-based deep learning and clinical factors}, journal = {npj Digit. Medicine}, volume = {6}, year = {2023}, url = {https://doi.org/10.1038/s41746-023-00860-5}, doi = {10.1038/S41746-023-00860-5}, timestamp = {Sat, 08 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/npjdm/JooRKYKLKKKP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangKKJCP23, author = {Jeon Seong Kang and Jin Kyu Kang and Jung{-}Jun Kim and Kwang{-}Woo Jeon and Hyun{-}Joon Chung and Byung{-}Hoon Park}, title = {Neural Architecture Search Survey: {A} Computer Vision Perspective}, journal = {Sensors}, volume = {23}, number = {3}, pages = {1713}, year = {2023}, url = {https://doi.org/10.3390/s23031713}, doi = {10.3390/S23031713}, timestamp = {Mon, 01 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KangKKJCP23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimJLKKS23, author = {Hyunji Kim and Kyungbae Jang and Sejin Lim and Yeajun Kang and Won{-}Woong Kim and Hwajeong Seo}, title = {Quantum Neural Network Based Distinguisher on {SPECK-32/64}}, journal = {Sensors}, volume = {23}, number = {12}, pages = {5683}, year = {2023}, url = {https://doi.org/10.3390/s23125683}, doi = {10.3390/S23125683}, timestamp = {Thu, 13 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimJLKKS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJKPJJKKC23, author = {Junhyun Lee and Ho Jin and Khan{-}Hyuk Kim and Hyeonhu Park and Wooin Jo and Yunho Jang and Hyeonji Kang and Eunhyeuk Kim and Young{-}Jun Choi}, title = {Correction of Spacecraft Magnetic Field Noise: Initial Korean Pathfinder Lunar Orbiter MAGnetometer Observation in Solar Wind}, journal = {Sensors}, volume = {23}, number = {23}, pages = {9428}, year = {2023}, url = {https://doi.org/10.3390/s23239428}, doi = {10.3390/S23239428}, timestamp = {Tue, 13 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeJKPJJKKC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/TaTLLK23, author = {Minh Duc Ta and Van Gia Truong and Seonghee Lim and Byeong{-}Il Lee and Hyun Wook Kang}, title = {Comparative Evaluations on Real-Time Monitoring of Temperature Sensors during Endoscopic Laser Application}, journal = {Sensors}, volume = {23}, number = {13}, pages = {6069}, year = {2023}, url = {https://doi.org/10.3390/s23136069}, doi = {10.3390/S23136069}, timestamp = {Fri, 18 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/TaTLLK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/spl/YoonKUYK23, author = {Hyungchan Yoon and Changhwan Kim and Seyun Um and Hyun{-}Wook Yoon and Hong{-}Goo Kang}, title = {{SC-CNN:} Effective Speaker Conditioning Method for Zero-Shot Multi-Speaker Text-to-Speech Systems}, journal = {{IEEE} Signal Process. Lett.}, volume = {30}, pages = {593--597}, year = {2023}, url = {https://doi.org/10.1109/LSP.2023.3277786}, doi = {10.1109/LSP.2023.3277786}, timestamp = {Fri, 02 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/spl/YoonKUYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/twc/ParkKLCK23, author = {Hyunwoo Park and Jeongwan Kang and Sang{-}Woo Lee and Jun Won Choi and Sunwoo Kim}, title = {Deep Q-Network Based Beam Tracking for Mobile Millimeter-Wave Communications}, journal = {{IEEE} Trans. Wirel. Commun.}, volume = {22}, number = {2}, pages = {961--971}, year = {2023}, url = {https://doi.org/10.1109/TWC.2022.3199746}, doi = {10.1109/TWC.2022.3199746}, timestamp = {Thu, 15 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/twc/ParkKLCK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aaai/ChoKKLLYK23, author = {Hyunsoo Cho and Hyuhng Joon Kim and Junyeob Kim and Sang{-}Woo Lee and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, editor = {Brian Williams and Yiling Chen and Jennifer Neville}, title = {Prompt-Augmented Linear Probing: Scaling beyond the Limit of Few-Shot In-Context Learners}, booktitle = {Thirty-Seventh {AAAI} Conference on Artificial Intelligence, {AAAI} 2023, Thirty-Fifth Conference on Innovative Applications of Artificial Intelligence, {IAAI} 2023, Thirteenth Symposium on Educational Advances in Artificial Intelligence, {EAAI} 2023, Washington, DC, USA, February 7-14, 2023}, pages = {12709--12718}, publisher = {{AAAI} Press}, year = {2023}, url = {https://doi.org/10.1609/aaai.v37i11.26495}, doi = {10.1609/AAAI.V37I11.26495}, timestamp = {Mon, 05 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aaai/ChoKKLLYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/KimKLYKJY23, author = {Eun Kyu Kim and Bo Min Kang and Hyo Geon Lee and Hyeong Min Yoon and Jae Hyun Kim and Jae Woo Jung and Jun Young Yoon}, title = {Design and Control of PM-biased Bi-stable Latching Actuator for Low-power Micropump}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2023, Seattle, WA, USA, June 28-30, 2023}, pages = {549--554}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/AIM46323.2023.10196203}, doi = {10.1109/AIM46323.2023.10196203}, timestamp = {Mon, 14 Aug 2023 15:14:40 +0200}, biburl = {https://dblp.org/rec/conf/aimech/KimKLYKJY23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimCLKPLYK23, author = {Hyuhng Joon Kim and Hyunsoo Cho and Sang{-}Woo Lee and Junyeob Kim and Choonghyun Park and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Universal Domain Adaptation for Robust Handling of Distributional Shifts in {NLP}}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {5888--5905}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.findings-emnlp.392}, doi = {10.18653/V1/2023.FINDINGS-EMNLP.392}, timestamp = {Tue, 06 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KimCLKPLYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KoLKRK23, author = {Dohwan Ko and Ji Soo Lee and Woo{-}Young Kang and Byungseok Roh and Hyunwoo Kim}, editor = {Houda Bouamor and Juan Pino and Kalika Bali}, title = {Large Language Models are Temporal and Causal Reasoners for Video Question Answering}, booktitle = {Proceedings of the 2023 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2023, Singapore, December 6-10, 2023}, pages = {4300--4316}, publisher = {Association for Computational Linguistics}, year = {2023}, url = {https://doi.org/10.18653/v1/2023.emnlp-main.261}, doi = {10.18653/V1/2023.EMNLP-MAIN.261}, timestamp = {Fri, 12 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/KoLKRK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/ChoiKHKKPJHK23, author = {Chang Woo Choi and Hyoeun Kang and Yoonyoung Hong and Yong Su Kim and Guem Bo Kim and Aji Teguh Prihatno and Jang Hyun Ji and Seung Do Hong and Ho Won Kim}, title = {Indoor Space Flow Analysis Based on Deep Learning}, booktitle = {International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2023, Bali, Indonesia, February 20-23, 2023}, pages = {771--776}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICAIIC57133.2023.10067105}, doi = {10.1109/ICAIIC57133.2023.10067105}, timestamp = {Sun, 24 Mar 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icaiic/ChoiKHKKPJHK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/AlamKF23, author = {Jahangir Alam and Woo Hyun Kang and Abderrahim Fathan}, title = {Hybrid Neural Network with Cross- and Self-Module Attention Pooling for Text-Independent Speaker Verification}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing {ICASSP} 2023, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSP49357.2023.10096040}, doi = {10.1109/ICASSP49357.2023.10096040}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/AlamKF23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/FathanAK23, author = {Abderrahim Fathan and Jahangir Alam and Woo Hyun Kang}, title = {Investigation Of The Quality Of Pseudo-Labels For The Self-Supervised Speaker Verification Task}, booktitle = {{IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2023 - Workshops, Rhodes Island, Greece, June 4-10, 2023}, pages = {1--5}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICASSPW59220.2023.10193304}, doi = {10.1109/ICASSPW59220.2023.10193304}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/FathanAK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/KristanMDFCZLDZ23, author = {Matej Kristan and Jir{\'{\i}} Matas and Martin Danelljan and Michael Felsberg and Hyung Jin Chang and Luka Cehovin Zajc and Alan Lukezic and Ondrej Drbohlav and Zhongqun Zhang and Khanh{-}Tung Tran and Xuan{-}Son Vu and Johanna Bj{\"{o}}rklund and Christoph Mayer and Yushan Zhang and Lei Ke and Jie Zhao and Gustavo Fern{\'{a}}ndez and Noor Al{-}Shakarji and Dong An and Michael Arens and Stefan Becker and Goutam Bhat and Sebastian Bullinger and Antoni B. Chan and Shijie Chang and Hanyuan Chen and Xin Chen and Yan Chen and Zhenyu Chen and Yangming Cheng and Yutao Cui and Chunyuan Deng and Jiahua Dong and Matteo Dunnhofer and Wei Feng and Jianlong Fu and Jie Gao and Ruize Han and Zeqi Hao and Jun{-}Yan He and Keji He and Zhenyu He and Xiantao Hu and Kaer Huang and Yuqing Huang and Yi Jiang and Ben Kang and Jin{-}Peng Lan and Hyungjun Lee and Chenyang Li and Jiahao Li and Ning Li and Wangkai Li and Xiaodi Li and Xin Li and Pengyu Liu and Yue Liu and Huchuan Lu and Bin Luo and Ping Luo and Yinchao Ma and Deshui Miao and Christian Micheloni and Kannappan Palaniappan and Hancheol Park and Matthieu Paul and Houwen Peng and Zekun Qian and Gani Rahmon and Norbert Scherer{-}Negenborn and Pengcheng Shao and Wooksu Shin and Elham Soltani Kazemi and Tianhui Song and Rainer Stiefelhagen and Rui Sun and Chuanming Tang and Zhangyong Tang and Imad Eddine Toubal and Jack Valmadre and Joost van de Weijer and Luc Van Gool and Jash Vira and St{\'{e}}phane Vujasinovic and Cheng Wan and Jia Wan and Dong Wang and Fei Wang and Feifan Wang and He Wang and Limin Wang and Song Wang and Yaowei Wang and Zhepeng Wang and Gangshan Wu and Jiannan Wu and Qiangqiang Wu and Xiaojun Wu and Anqi Xiao and Jinxia Xie and Chenlong Xu and Min Xu and Tianyang Xu and Yuanyou Xu and Bin Yan and Dawei Yang and Ming{-}Hsuan Yang and Tianyu Yang and Yi Yang and Zongxin Yang and Xuanwu Yin and Fisher Yu and Hongyuan Yu and Qianjin Yu and Weichen Yu and Yongsheng Yuan and Zehuan Yuan and Jianlin Zhang and Lu Zhang and Tianzhu Zhang and Guodongfang Zhao and Shaochuan Zhao and Yaozong Zheng and Bineng Zhong and Jiawen Zhu and Xuefeng Zhu and Yueting Zhuang and ChengAo Zong and Kunlong Zuo}, title = {The First Visual Object Tracking Segmentation {VOTS2023} Challenge Results}, booktitle = {{IEEE/CVF} International Conference on Computer Vision, {ICCV} 2023 - Workshops, Paris, France, October 2-6, 2023}, pages = {1788--1810}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICCVW60793.2023.00195}, doi = {10.1109/ICCVW60793.2023.00195}, timestamp = {Mon, 19 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccvw/KristanMDFCZLDZ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/KangKKJKJ23, author = {Hoon{-}Chul Kang and Ki{-}Beom Kang and Dong{-}Hyun Kim and Myeong{-}Cheol Jwa and Tae{-}Seung Ko and Jeong{-}Woo Jwa}, title = {Smart tourism chatbot system using Multi-domain Tourism Information {DST}}, booktitle = {Fourteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2023, Paris, France, July 4-7, 2023}, pages = {608--612}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ICUFN57995.2023.10200288}, doi = {10.1109/ICUFN57995.2023.10200288}, timestamp = {Thu, 17 Aug 2023 15:10:39 +0200}, biburl = {https://dblp.org/rec/conf/icufn/KangKKJKJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/YoonKSYK23, author = {Hyungchan Yoon and Changhwan Kim and Eunwoo Song and Hyun{-}Wook Yoon and Hong{-}Goo Kang}, editor = {Naomi Harte and Julie Carson{-}Berndsen and Gareth Jones}, title = {Pruning Self-Attention for Zero-Shot Multi-Speaker Text-to-Speech}, booktitle = {24th Annual Conference of the International Speech Communication Association, Interspeech 2023, Dublin, Ireland, August 20-24, 2023}, pages = {4299--4303}, publisher = {{ISCA}}, year = {2023}, url = {https://doi.org/10.21437/Interspeech.2023-1301}, doi = {10.21437/INTERSPEECH.2023-1301}, timestamp = {Fri, 14 Jun 2024 14:12:12 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/YoonKSYK23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23, author = {Woongrae Kim and Chulmoon Jung and Seong Nyuh Yoo and Duckhwa Hong and Jeongjin Hwang and Jungmin Yoon and Oh{-}Yong Jung and Joonwoo Choi and Sanga Hyun and Mankeun Kang and Sangho Lee and Dohong Kim and Sanghyun Ku and Donhyun Choi and Nogeun Joo and Sangwoo Yoon and Junseok Noh and Byeongyong Go and Cheolhoe Kim and Sunil Hwang and Mihyun Hwang and Seol{-}Min Yi and Hyungmin Kim and Sanghyuk Heo and Yeonsu Jang and Kyoungchul Jang and Shinho Chu and Yoonna Oh and Kwidong Kim and Junghyun Kim and Soohwan Kim and Jeongtae Hwang and Sangil Park and Junphyo Lee and In{-}Chul Jeong and Joohwan Cho and Jonghwan Kim}, title = {A 1.1V 16Gb {DDR5} {DRAM} with Probabilistic-Aggressor Tracking, Refresh-Management Functionality, Per-Row Hammer Tracking, a Multi-Step Precharge, and Core-Bias Modulation for Security and Reliability Enhancement}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {414--415}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067805}, doi = {10.1109/ISSCC42615.2023.10067805}, timestamp = {Wed, 29 Mar 2023 15:53:39 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimJYHHYJCHKLKKCJYNGKHHYKHJJCOKKKHPLJ23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23, author = {Bvunarvul Kim and Seungpil Lee and Beomseok Hah and Kanawoo Park and Yongsoon Park and Kangwook Jo and Yujong Noh and Hyeon{-}Cheon Seol and Hyunsoo Lee and Jae{-}Hyeon Shin and Seongjin Choi and Youngdon Jung and Sungho Ahn and Yonghun Park and Sujeong Oh and Myungsu Kim and Seonauk Kim and Hyunwook Park and Taeho Lee and Haeun Won and Minsung Kim and Cheulhee Koo and Yeonjoo Choi and Suyoung Choi and Sechun Park and Dongkyu Youn and Junyoun Lim and Wonsun Park and Hwang Hur and Kichang Kwean and Hongsok Choi and Woopyo Jeong and Sungyong Chung and Jungdal Choi and Seonyong Cha}, title = {A High-Performance 1Tb 3b/Cell 3D-NAND Flash with a 194MB/s Write Throughput on over 300 Layers {\textdollar}{\textbackslash}mathsf\{i\}{\textdollar}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {402--403}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067666}, doi = {10.1109/ISSCC42615.2023.10067666}, timestamp = {Wed, 29 Mar 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLHPPJNSLSCJAPOKKPLWKKCCPYLPHKCJCCC23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwonJCKKYCLRLLJKKSLLWYBOSYL23, author = {Daehyun Kwon and Heon Su Jeong and Jaemin Choi and Wijong Kim and Jae Woong Kim and Junsub Yoon and Jungmin Choi and Sanguk Lee and Hyunsub Norbert Rie and Jin{-}Il Lee and Jongbum Lee and Taeseong Jang and JunHyung Kim and Sanghee Kang and Jung{-}Bum Shin and Yanggyoon Loh and Chang{-}Yong Lee and Junmyung Woo and Hye{-}Seung Yu and Changhyun Bae and Reum Oh and Young{-}Soo Sohn and Changsik Yoo and Jooyoung Lee}, title = {A 1.1V 6.4Gb/s/pin 24-Gb {DDR5} {SDRAM} with a Highly-Accurate Duty Corrector and NBTI-Tolerant {DLL}}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2023, San Francisco, CA, USA, February 19-23, 2023}, pages = {412--413}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/ISSCC42615.2023.10067651}, doi = {10.1109/ISSCC42615.2023.10067651}, timestamp = {Mon, 05 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwonJCKKYCLRLLJKKSLLWYBOSYL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/LeeYKKSHL23, author = {Seongmin Lee and Hyunse Yoon and Jiwoo Kang and Jungsu Kim and Jiwan Son and Jungwoo Huh and Sanghoon Lee}, title = {Video-Based Stabilized 3D Face Alignment Using Temporal Multi-Discrimination}, booktitle = {25th {IEEE} International Workshop on Multimedia Signal Processing, {MMSP} 2023, Poitiers, France, September 27-29, 2023}, pages = {1--6}, publisher = {{IEEE}}, year = {2023}, url = {https://doi.org/10.1109/MMSP59012.2023.10337645}, doi = {10.1109/MMSP59012.2023.10337645}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/LeeYKKSHL23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wisa/KimKKJS23, author = {Wonwoong Kim and Yeajun Kang and Hyunji Kim and Kyungbae Jang and Hwajeong Seo}, editor = {Howon Kim and Jonghee M. Youn}, title = {PQ-DPoL: An Efficient Post-Quantum Blockchain Consensus Algorithm}, booktitle = {Information Security Applications - 24th International Conference, {WISA} 2023, Jeju Island, South Korea, August 23-25, 2023, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {14402}, pages = {310--323}, publisher = {Springer}, year = {2023}, url = {https://doi.org/10.1007/978-981-99-8024-6\_24}, doi = {10.1007/978-981-99-8024-6\_24}, timestamp = {Sat, 10 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wisa/KimKKJS23.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2305-13902, author = {Hyunwoo Kang and Jaeho Shin and Jaewook Shin and Youngseok Jang and Seung Jae Lee}, title = {Design and Operation of Autonomous Wheelchair Towing Robot}, journal = {CoRR}, volume = {abs/2305.13902}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2305.13902}, doi = {10.48550/ARXIV.2305.13902}, eprinttype = {arXiv}, eprint = {2305.13902}, timestamp = {Mon, 02 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2305-13902.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2306-13020, author = {Jun{-}Ho Kim and Young Noh and Haejoon Lee and Seul Lee and Woo{-}Ram Kim and Koung Mi Kang and Eung{-}Yeop Kim and Mohammed A. Al{-}masni and Dong{-}Hyun Kim}, title = {Toward Automated Detection of Microbleeds with Anatomical Scale Localization: {A} Complete Clinical Diagnosis Support Using Deep Learning}, journal = {CoRR}, volume = {abs/2306.13020}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2306.13020}, doi = {10.48550/ARXIV.2306.13020}, eprinttype = {arXiv}, eprint = {2306.13020}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2306-13020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2308-14909, author = {Hyungchan Yoon and Changhwan Kim and Eunwoo Song and Hyun{-}Wook Yoon and Hong{-}Goo Kang}, title = {Pruning Self-Attention for Zero-Shot Multi-Speaker Text-to-Speech}, journal = {CoRR}, volume = {abs/2308.14909}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2308.14909}, doi = {10.48550/ARXIV.2308.14909}, eprinttype = {arXiv}, eprint = {2308.14909}, timestamp = {Mon, 04 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2308-14909.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-14849, author = {Hyuhng Joon Kim and Hyunsoo Cho and Sang{-}Woo Lee and Junyeob Kim and Choonghyun Park and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, title = {Universal Domain Adaptation for Robust Handling of Distributional Shifts in {NLP}}, journal = {CoRR}, volume = {abs/2310.14849}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.14849}, doi = {10.48550/ARXIV.2310.14849}, eprinttype = {arXiv}, eprint = {2310.14849}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-14849.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2310-15747, author = {Dohwan Ko and Ji Soo Lee and Woo{-}Young Kang and Byungseok Roh and Hyunwoo J. Kim}, title = {Large Language Models are Temporal and Causal Reasoners for Video Question Answering}, journal = {CoRR}, volume = {abs/2310.15747}, year = {2023}, url = {https://doi.org/10.48550/arXiv.2310.15747}, doi = {10.48550/ARXIV.2310.15747}, eprinttype = {arXiv}, eprint = {2310.15747}, timestamp = {Tue, 31 Oct 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2310-15747.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/JeongLKWK22, author = {Young{-}Seob Jeong and Sang{-}Min Lee and Jong{-}Hyun Kim and Jiyoung Woo and Ah Reum Kang}, title = {Malware Detection Using Byte Streams of Different File Formats}, journal = {{IEEE} Access}, volume = {10}, pages = {51041--51047}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3171775}, doi = {10.1109/ACCESS.2022.3171775}, timestamp = {Tue, 29 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/JeongLKWK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KooSCBOLLHY22, author = {Hyungmo Koo and Jaekyung Shin and Woojin Choi and Soohyun Bin and Hansik Oh and Hongjun Lim and Kang{-}Yoon Lee and Keum{-}Cheol Hwang and Youngoo Yang}, title = {Beamforming Algorithm Based on the Orthogonal Phase Bases With Trigonometric Estimation for Microwave Power Transfer Systems}, journal = {{IEEE} Access}, volume = {10}, pages = {125365--125375}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3225641}, doi = {10.1109/ACCESS.2022.3225641}, timestamp = {Sun, 25 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KooSCBOLLHY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKLCEDLLYKN22, author = {Joon{-}Woo Lee and HyungChul Kang and Yongwoo Lee and Woosuk Choi and Jieun Eom and Maxim Deryabin and Eunsang Lee and Junghyun Lee and Donghoon Yoo and Young{-}Sik Kim and Jong{-}Seon No}, title = {Privacy-Preserving Machine Learning With Fully Homomorphic Encryption for Deep Neural Network}, journal = {{IEEE} Access}, volume = {10}, pages = {30039--30054}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3159694}, doi = {10.1109/ACCESS.2022.3159694}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/LeeKLCEDLLYKN22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ParkYJPSLLPSKL22, author = {So{-}Hyun Park and Sun{-}Woo Yun and So{-}Eun Jeon and Na{-}Eun Park and Hye{-}Yeon Shim and Yu{-}Rim Lee and Sun{-}Jin Lee and Tae{-}Rim Park and Na{-}Yeon Shin and Min{-}Jin Kang and Il{-}Gu Lee}, title = {Performance Evaluation of Open-Source Endpoint Detection and Response Combining Google Rapid Response and Osquery for Threat Detection}, journal = {{IEEE} Access}, volume = {10}, pages = {20259--20269}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3152574}, doi = {10.1109/ACCESS.2022.3152574}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ParkYJPSLLPSKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PhamKLKP22, author = {Thi Tram Anh Pham and Hyeonsoo Kim and Yeachan Lee and Hyun Wook Kang and Suhyun Park}, title = {Universal Convolutional Neural Network for Histology-Independent Analysis of Collagen Fiber Organization in Scar Tissue}, journal = {{IEEE} Access}, volume = {10}, pages = {34379--34392}, year = {2022}, url = {https://doi.org/10.1109/ACCESS.2022.3162272}, doi = {10.1109/ACCESS.2022.3162272}, timestamp = {Wed, 27 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PhamKLKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/ShinCLPPKHOHKY22, author = {Hyun Kil Shin and Hang{-}Suk Chun and Sangwoo Lee and Se{-}Myo Park and Daeui Park and Myung{-}Gyun Kang and Sungbo Hwang and Jung{-}Hwa Oh and Hyoung{-}Yun Han and Woo{-}Keun Kim and Seokjoo Yoon}, title = {ToxSTAR: drug-induced liver injury prediction tool for the web environment}, journal = {Bioinform.}, volume = {38}, number = {18}, pages = {4426--4427}, year = {2022}, url = {https://doi.org/10.1093/bioinformatics/btac490}, doi = {10.1093/BIOINFORMATICS/BTAC490}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bioinformatics/ShinCLPPKHOHKY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/PhanTTPCKO22, author = {Duc Tri Phan and Van Nam Tran and Le Hai Tran and Sumin Park and Jaeyeop Choi and Hyun Wook Kang and Junghwan Oh}, title = {Enhanced precision of real-time control photothermal therapy using cost-effective infrared sensor array and artificial neural network}, journal = {Comput. Biol. Medicine}, volume = {141}, pages = {104960}, year = {2022}, url = {https://doi.org/10.1016/j.compbiomed.2021.104960}, doi = {10.1016/J.COMPBIOMED.2021.104960}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/PhanTTPCKO22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-spr/HwangKK22, author = {Woohyun Hwang and Dong{-}Hyun Kang and Deok{-}Hwan Kim}, title = {Brain lateralisation feature extraction and ant colony optimisation-bidirectional {LSTM} network model for emotion recognition}, journal = {{IET} Signal Process.}, volume = {16}, number = {1}, pages = {45--61}, year = {2022}, url = {https://doi.org/10.1049/sil2.12076}, doi = {10.1049/SIL2.12076}, timestamp = {Mon, 24 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-spr/HwangKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijon/AhnKCL22, author = {Woo{-}Jin Ahn and Tae{-}Koo Kang and Hyun Duck Choi and Myo Taeg Lim}, title = {Remove and recover: Deep end-to-end two-stage attention network for single-shot heavy rain removal}, journal = {Neurocomputing}, volume = {481}, pages = {216--227}, year = {2022}, url = {https://doi.org/10.1016/j.neucom.2022.01.041}, doi = {10.1016/J.NEUCOM.2022.01.041}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijon/AhnKCL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jstsp/KangAF22, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, title = {L-Mix: {A} Latent-Level Instance Mixup Regularization for Robust Self-Supervised Speaker Representation Learning}, journal = {{IEEE} J. Sel. Top. Signal Process.}, volume = {16}, number = {6}, pages = {1263--1272}, year = {2022}, url = {https://doi.org/10.1109/JSTSP.2022.3196562}, doi = {10.1109/JSTSP.2022.3196562}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jstsp/KangAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/midm/KimBKJKHKCKHH22, author = {Hyung Min Kim and Seok{-}Soo Byun and Jung Kwon Kim and Chang Wook Jeong and Cheol Kwak and Eu Chang Hwang and Seokho Kang and Jinsoo Chung and Yong{-}June Kim and Yun{-}Sok Ha and Sung{-}Hoo Hong}, title = {Machine learning-based prediction model for late recurrence after surgery in patients with renal cell carcinoma}, journal = {{BMC} Medical Informatics Decis. Mak.}, volume = {22}, number = {1}, pages = {241}, year = {2022}, url = {https://doi.org/10.1186/s12911-022-01964-w}, doi = {10.1186/S12911-022-01964-W}, timestamp = {Tue, 02 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/midm/KimBKJKHKCKHH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JangYLKL22, author = {Mingyu Jang and Hyunse Yoon and Seongmin Lee and Jiwoo Kang and Sanghoon Lee}, title = {A Comparison and Evaluation of Stereo Matching on Active Stereo Images}, journal = {Sensors}, volume = {22}, number = {9}, pages = {3332}, year = {2022}, url = {https://doi.org/10.3390/s22093332}, doi = {10.3390/S22093332}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JangYLKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KumarPCK22, author = {Sachin Kumar and Sandeep Kumar Palaniswamy and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Compact Dual Circularly-Polarized Quad-Element MIMO/Diversity Antenna for Sub-6 GHz Communication Systems}, journal = {Sensors}, volume = {22}, number = {24}, pages = {9827}, year = {2022}, url = {https://doi.org/10.3390/s22249827}, doi = {10.3390/S22249827}, timestamp = {Tue, 31 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KumarPCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/MinLLNCK22, author = {Byung Cheol Min and Gwan Hui Lee and Jung Seok Lee and Syifa Haunan Nashuha and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Ultra-Wideband Differential Line-to-Balanced Line Transitions for Super-High-Speed Digital Transmission}, journal = {Sensors}, volume = {22}, number = {18}, pages = {6873}, year = {2022}, url = {https://doi.org/10.3390/s22186873}, doi = {10.3390/S22186873}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/MinLLNCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/KaimKKCKR22, author = {Vikrant Kaim and Binod Kumar Kanaujia and Sachin Kumar and Hyun{-}Chul Choi and Kang Wook Kim and Karumudi Rambabu}, title = {Electrically Small Circularly Polarized {UWB} Intraocular Antenna System for Retinal Prosthesis}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {69}, number = {11}, pages = {3504--3515}, year = {2022}, url = {https://doi.org/10.1109/TBME.2022.3171842}, doi = {10.1109/TBME.2022.3171842}, timestamp = {Sun, 13 Nov 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/KaimKKCKR22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/titb/LeeLJLKCHCJKKSJ22, author = {Bohee Lee and Taeheon Lee and Hyungsin Jeon and Songsub Lee and Kibum Kim and Wanhee Cho and Jeonghwan Hwang and Yong{-}Wook Chae and Jin{-}Man Jung and Hyo Jin Kang and Nan Hee Kim and Cheolmin Shin and Jaeson Jang}, title = {Synergy Through Integration of Wearable {EEG} and Virtual Reality for Mild Cognitive Impairment and Mild Dementia Screening}, journal = {{IEEE} J. Biomed. Health Informatics}, volume = {26}, number = {7}, pages = {2909--2919}, year = {2022}, url = {https://doi.org/10.1109/JBHI.2022.3147847}, doi = {10.1109/JBHI.2022.3147847}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/titb/LeeLJLKCHCJKKSJ22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/accv/LeePKP22, author = {Yongjin Lee and Seokjun Park and Beomgu Kang and HyunWook Park}, editor = {Lei Wang and Juergen Gall and Tat{-}Jun Chin and Imari Sato and Rama Chellappa}, title = {Multi-modal Characteristic Guided Depth Completion Network}, booktitle = {Computer Vision - {ACCV} 2022 - 16th Asian Conference on Computer Vision, Macao, China, December 4-8, 2022, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {13843}, pages = {593--607}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-26313-2\_36}, doi = {10.1007/978-3-031-26313-2\_36}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/accv/LeePKP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/KimJJKKLSJY22, author = {Eun Kyu Kim and Jae Woo Jung and Sang Won Jung and Yoon Sik Kwon and Bo Min Kang and Chang Ho Lee and Kwangseop Sim and Ok Hyun Jo and Jun Young Yoon}, title = {Sensitivity Function Shaping Method for Non-collocated Active Damping System in Ram-type Milling Machine}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2022, Sapporo, Japan, July 11-15, 2022}, pages = {415--420}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/AIM52237.2022.9863258}, doi = {10.1109/AIM52237.2022.9863258}, timestamp = {Tue, 30 Aug 2022 20:49:01 +0200}, biburl = {https://dblp.org/rec/conf/aimech/KimJJKKLSJY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chiplay/KimKKJLND22, author = {Jinwook Kim and Pooseung Koh and Seokjun Kang and Hyunyoung Jang and Jeongmi Lee and Juhan Nam and Young Yim Doh}, editor = {Kathrin Gerling and Jo Iacovides and Rainer Malaka and Beth Bonsignore and Julian Frommel}, title = {Seung-ee and Kkaebi: {A} VR-Mobile Cross Platform Game based on Co-Presence for a Balanced Immersive Experience}, booktitle = {Extended Abstracts of the Annual Symposium on Computer-Human Interaction in Play, {CHI} {PLAY} 2022, Bremen, Germany, November 2-5, 2022}, pages = {273--278}, publisher = {{ACM}}, year = {2022}, url = {https://doi.org/10.1145/3505270.3558380}, doi = {10.1145/3505270.3558380}, timestamp = {Mon, 05 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/chiplay/KimKKJLND22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cvpr/SongWLYCLCK22, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, booktitle = {{IEEE/CVF} Conference on Computer Vision and Pattern Recognition, {CVPR} 2022, New Orleans, LA, USA, June 18-24, 2022}, pages = {21393--21398}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/CVPR52688.2022.02074}, doi = {10.1109/CVPR52688.2022.02074}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cvpr/SongWLYCLCK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/LeeKSLCLY22, author = {Sena Lee and Seungyoung Kang and Kyungdeok Seo and Hyunwoo Lee and Seungyeop Choi and Sang Woo Lee and Sejung Yang}, title = {Automated multiple cell tracking method for non-invasive analysis of cells using dielectrophoresis}, booktitle = {International Conference on Electronics, Information, and Communication, {ICEIC} 2022, Jeju, Korea, Republic of, February 6-9, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICEIC54506.2022.9748300}, doi = {10.1109/ICEIC54506.2022.9748300}, timestamp = {Mon, 06 Nov 2023 12:57:51 +0100}, biburl = {https://dblp.org/rec/conf/elinfocom/LeeKSLCLY22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/BaeKKLKJKLPS22, author = {Sanghwan Bae and Dong{-}Hyun Kwak and Soyoung Kang and Min Young Lee and Sungdong Kim and Yuin Jeong and Hyeri Kim and Sang{-}Woo Lee and Woo{-}Myoung Park and Nako Sung}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Keep Me Updated! Memory Management in Long-term Conversations}, booktitle = {Findings of the Association for Computational Linguistics: {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {3769--3787}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.findings-emnlp.276}, doi = {10.18653/V1/2022.FINDINGS-EMNLP.276}, timestamp = {Sat, 27 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/BaeKKLKJKLPS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/YooKKCJLLK22, author = {Kang Min Yoo and Junyeob Kim and Hyuhng Joon Kim and Hyunsoo Cho and Hwiyeol Jo and Sang{-}Woo Lee and Sang{-}goo Lee and Taeuk Kim}, editor = {Yoav Goldberg and Zornitsa Kozareva and Yue Zhang}, title = {Ground-Truth Labels Matter: {A} Deeper Look into Input-Label Demonstrations}, booktitle = {Proceedings of the 2022 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2022, Abu Dhabi, United Arab Emirates, December 7-11, 2022}, pages = {2422--2437}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.emnlp-main.155}, doi = {10.18653/V1/2022.EMNLP-MAIN.155}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/emnlp/YooKKCJLLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/esscirc/JangKLK22, author = {Woojin Jang and Gyeong{-}Gu Kang and Yong Lim and Hyun{-}Sik Kim}, title = {A Pipeline {ADC} with Negative C-assisted {SC} Amplifier Canceling Gain Error and Nonlinearity}, booktitle = {48th {IEEE} European Solid State Circuits Conference, {ESSCIRC} 2022, Milan, Italy, September 19-22, 2022}, pages = {317--320}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ESSCIRC55480.2022.9911468}, doi = {10.1109/ESSCIRC55480.2022.9911468}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/esscirc/JangKLK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurocrypt/LeeLKKNK22, author = {Yongwoo Lee and Joon{-}Woo Lee and Young{-}Sik Kim and Yongjune Kim and Jong{-}Seon No and HyungChul Kang}, editor = {Orr Dunkelman and Stefan Dziembowski}, title = {High-Precision Bootstrapping for Approximate Homomorphic Encryption by Error Variance Minimization}, booktitle = {Advances in Cryptology - {EUROCRYPT} 2022 - 41st Annual International Conference on the Theory and Applications of Cryptographic Techniques, Trondheim, Norway, May 30 - June 3, 2022, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {13275}, pages = {551--580}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-06944-4\_19}, doi = {10.1007/978-3-031-06944-4\_19}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/eurocrypt/LeeLKKNK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KwonVKSWLJCKAKL22, author = {Yongkee Kwon and Kornijcuk Vladimir and Nahsung Kim and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Guhyun Kim and Byeongju An and Jeongbin Kim and Jaewook Lee and Ilkon Kim and Jaehan Park and Chanwook Park and Yosub Song and Byeongsu Yang and Hyungdeok Lee and Seho Kim and Daehan Kwon and Seong Ju Lee and Kyuyoung Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dongyoon Ka and Kyudong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Myeongjun Lee and Minyoung Shin and Minhwan Shin and Jaekyung Cha and Changson Jung and Kijoon Chang and Chunseok Jeong and Euicheol Lim and Il Park and Junhyun Chun}, title = {System Architecture and Software Stack for GDDR6-AiM}, booktitle = {2022 {IEEE} Hot Chips 34 Symposium, {HCS} 2022, Cupertino, CA, USA, August 21-23, 2022}, pages = {1--25}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/HCS55958.2022.9895629}, doi = {10.1109/HCS55958.2022.9895629}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/KwonVKSWLJCKAKL22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/KangAF22, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, title = {Robust Self-Supervised Speaker Representation Learning Via Instance Mix Regularization}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {6617--6621}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747452}, doi = {10.1109/ICASSP43922.2022.9747452}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/KangAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParkKSKH22, author = {Hyun Joon Park and Byung Ha Kang and Wooseok Shin and Jin Sob Kim and Sung Won Han}, title = {{MANNER:} Multi-View Attention Network For Noise Erasure}, booktitle = {{IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2022, Virtual and Singapore, 23-27 May 2022}, pages = {7842--7846}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICASSP43922.2022.9747120}, doi = {10.1109/ICASSP43922.2022.9747120}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParkKSKH22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmcs/FathanAK22, author = {Abderrahim Fathan and Jahangir Alam and Woo Hyun Kang}, title = {Mel-Spectrogram Image-Based End-to-End Audio Deepfake Detection Under Channel-Mismatched Conditions}, booktitle = {{IEEE} International Conference on Multimedia and Expo, {ICME} 2022, Taipei, Taiwan, July 18-22, 2022}, pages = {1--6}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICME52920.2022.9859621}, doi = {10.1109/ICME52920.2022.9859621}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icmcs/FathanAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/LeeNKBC22, author = {Hea{-}Min Lee and Dong{-}Hee Noh and HyunGu Kang and Sung{-}Woo Byun and Ju{-}Hwan Choi}, title = {Design of Autonomous Driving Algorithms for Fruit harvesting in orchards}, booktitle = {Thirteenth International Conference on Ubiquitous and Future Networks, {ICUFN} 2022, Barcelona, Spain, July 5-8, 2022}, pages = {497--499}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ICUFN55119.2022.9829690}, doi = {10.1109/ICUFN55119.2022.9829690}, timestamp = {Wed, 05 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/LeeNKBC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KangAF22, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, editor = {Hanseok Ko and John H. L. Hansen}, title = {{MIM-DG:} Mutual information minimization-based domain generalization for speaker verification}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {3674--3678}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-142}, doi = {10.21437/INTERSPEECH.2022-142}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KangAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KangAF22a, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, editor = {Hanseok Ko and John H. L. Hansen}, title = {Mixup regularization strategies for spoofing countermeasure system}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {3734--3738}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-140}, doi = {10.21437/INTERSPEECH.2022-140}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KangAF22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KangAF22b, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, editor = {Hanseok Ko and John H. L. Hansen}, title = {End-to-end framework for spoof-aware speaker verification}, booktitle = {23rd Annual Conference of the International Speech Communication Association, Interspeech 2022, Incheon, Korea, September 18-22, 2022}, pages = {4362--4366}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Interspeech.2022-139}, doi = {10.21437/INTERSPEECH.2022-139}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KangAF22b.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKOPHKHPKKJKK22, author = {Seong Ju Lee and Kyu{-}Young Kim and Sanghoon Oh and Joonhong Park and Gimoon Hong and Dong Yoon Ka and Kyu{-}Dong Hwang and Jeongje Park and Kyeong Pil Kang and Jungyeon Kim and Junyeol Jeon and Nahsung Kim and Yongkee Kwon and Kornijcuk Vladimir and Woojae Shin and Jongsoon Won and Minkyu Lee and Hyunha Joo and Haerang Choi and Jaewook Lee and Donguc Ko and Younggun Jun and Keewon Cho and Ilwoong Kim and Choungki Song and Chunseok Jeong and Dae{-}Han Kwon and Jieun Jang and Il Park and Junhyun Chun and Joohwan Cho}, title = {A 1ynm 1.25V 8Gb, 16Gb/s/pin GDDR6-based Accelerator-in-Memory supporting 1TFLOPS {MAC} Operation and Various Activation Functions for Deep-Learning Applications}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2022, San Francisco, CA, USA, February 20-26, 2022}, pages = {1--3}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/ISSCC42614.2022.9731711}, doi = {10.1109/ISSCC42614.2022.9731711}, timestamp = {Mon, 21 Mar 2022 13:32:47 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeKOPHKHPKKJKK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/lrec/KangAF22, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, editor = {Nicoletta Calzolari and Fr{\'{e}}d{\'{e}}ric B{\'{e}}chet and Philippe Blache and Khalid Choukri and Christopher Cieri and Thierry Declerck and Sara Goggi and Hitoshi Isahara and Bente Maegaard and Joseph Mariani and H{\'{e}}l{\`{e}}ne Mazo and Jan Odijk and Stelios Piperidis}, title = {Deep learning-based end-to-end spoken language identification system for domain-mismatched scenario}, booktitle = {Proceedings of the Thirteenth Language Resources and Evaluation Conference, {LREC} 2022, Marseille, France, 20-25 June 2022}, pages = {7339--7343}, publisher = {European Language Resources Association}, year = {2022}, url = {https://aclanthology.org/2022.lrec-1.798}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/lrec/KangAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KangHP22, author = {Beomgu Kang and Hye{-}Young Heo and HyunWook Park}, editor = {Linwei Wang and Qi Dou and P. Thomas Fletcher and Stefanie Speidel and Shuo Li}, title = {Only-Train-Once {MR} Fingerprinting for Magnetization Transfer Contrast Quantification}, booktitle = {Medical Image Computing and Computer Assisted Intervention - {MICCAI} 2022 - 25th International Conference, Singapore, September 18-22, 2022, Proceedings, Part {VI}}, series = {Lecture Notes in Computer Science}, volume = {13436}, pages = {387--396}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16446-0\_37}, doi = {10.1007/978-3-031-16446-0\_37}, timestamp = {Tue, 13 Dec 2022 14:39:06 +0100}, biburl = {https://dblp.org/rec/conf/miccai/KangHP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/WoodlandWAKLKOC22, author = {McKell Woodland and John Wood and Brian M. Anderson and Suprateek Kundu and Ethan Lin and Eugene J. Koay and Bruno Odisio and Caroline Chung and Hyunseon Christine Kang and Aradhana M. Venkatesan and Sireesha Yedururi and Brian De and Yuan{-}Mao Lin and Ankit B. Patel and Kristy K. Brock}, editor = {Can Zhao and David Svoboda and Jelmer M. Wolterink and Mar{\'{\i}}a Escobar}, title = {Evaluating the Performance of StyleGAN2-ADA on Medical Images}, booktitle = {Simulation and Synthesis in Medical Imaging - 7th International Workshop, {SASHIMI} 2022, Held in Conjunction with {MICCAI} 2022, Singapore, September 18, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13570}, pages = {142--153}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-16980-9\_14}, doi = {10.1007/978-3-031-16980-9\_14}, timestamp = {Sat, 11 Feb 2023 15:01:42 +0100}, biburl = {https://dblp.org/rec/conf/miccai/WoodlandWAKLKOC22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/naacl/BaeKKHKLP22, author = {Sanghwan Bae and Dong{-}Hyun Kwak and Sungdong Kim and Donghoon Ham and Soyoung Kang and Sang{-}Woo Lee and Woo{-}Myoung Park}, editor = {Marine Carpuat and Marie{-}Catherine de Marneffe and Iv{\'{a}}n Vladimir Meza Ru{\'{\i}}z}, title = {Building a Role Specified Open-Domain Dialogue System Leveraging Large-Scale Language Models}, booktitle = {Proceedings of the 2022 Conference of the North American Chapter of the Association for Computational Linguistics: Human Language Technologies, {NAACL} 2022, Seattle, WA, United States, July 10-15, 2022}, pages = {2128--2150}, publisher = {Association for Computational Linguistics}, year = {2022}, url = {https://doi.org/10.18653/v1/2022.naacl-main.155}, doi = {10.18653/V1/2022.NAACL-MAIN.155}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/naacl/BaeKKHKLP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/AlamBBBDFGGKMMP22, author = {Jahangir Alam and Radek Benes and Marian Besz{\'{e}}des and Luk{\'{a}}s Burget and Mohamed Dahmane and Abderrahim Fathan and Hamed Ghodrati and Ondrej Glembek and Woo Hyun Kang and Pavel Matejka and Ladislav Mosner and Oldrich Plchot and Johan Rohdin and Anna Silnova and Themos Stafylakis}, editor = {Thomas Fang Zheng}, title = {Development of {ABC} Systems for the 2021 Edition of {NIST} Speaker Recognition Evaluation}, booktitle = {Odyssey 2022: The Speaker and Language Recognition Workshop, 28 June - 1 July 2022, Beijing, China}, pages = {346--353}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Odyssey.2022-48}, doi = {10.21437/ODYSSEY.2022-48}, timestamp = {Wed, 31 Jul 2024 15:08:36 +0200}, biburl = {https://dblp.org/rec/conf/odyssey/AlamBBBDFGGKMMP22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/AlamKF22, author = {Jahangir Alam and Woo Hyun Kang and Abderrahim Fathan}, editor = {Thomas Fang Zheng}, title = {Hybrid Neural Network-Based Deep Embedding Extractors for Text-Independent Speaker Verification}, booktitle = {Odyssey 2022: The Speaker and Language Recognition Workshop, 28 June - 1 July 2022, Beijing, China}, pages = {33--40}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Odyssey.2022-5}, doi = {10.21437/ODYSSEY.2022-5}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/odyssey/AlamKF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/KangA22, author = {Woo Hyun Kang and Jahangir Alam}, editor = {Thomas Fang Zheng}, title = {Investigation on Deep Speaker Embedding Extraction Methods for Multi-Genre Speaker Verification}, booktitle = {Odyssey 2022: The Speaker and Language Recognition Workshop, 28 June - 1 July 2022, Beijing, China}, pages = {376--383}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Odyssey.2022-52}, doi = {10.21437/ODYSSEY.2022-52}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/odyssey/KangA22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/KangAF22, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, editor = {Thomas Fang Zheng}, title = {Investigation on Mixup Strategies for End-to-End Voice Spoof Detection System}, booktitle = {Odyssey 2022: The Speaker and Language Recognition Workshop, 28 June - 1 July 2022, Beijing, China}, pages = {55--61}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Odyssey.2022-8}, doi = {10.21437/ODYSSEY.2022-8}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/odyssey/KangAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/KangAF22a, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, editor = {Thomas Fang Zheng}, title = {Domain Generalized Speaker Embedding Learning via Mutual Information Minimization}, booktitle = {Odyssey 2022: The Speaker and Language Recognition Workshop, 28 June - 1 July 2022, Beijing, China}, pages = {178--184}, publisher = {{ISCA}}, year = {2022}, url = {https://doi.org/10.21437/Odyssey.2022-25}, doi = {10.21437/ODYSSEY.2022-25}, timestamp = {Tue, 30 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/odyssey/KangAF22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/slt/KangAF22, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, title = {Flow-ER: {A} Flow-Based Embedding Regularization Strategy for Robust Speech Representation Learning}, booktitle = {{IEEE} Spoken Language Technology Workshop, {SLT} 2022, Doha, Qatar, January 9-12, 2023}, pages = {563--570}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/SLT54892.2023.10022986}, doi = {10.1109/SLT54892.2023.10022986}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/slt/KangAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/specom/AlamKF22, author = {Jahangir Alam and Woo Hyun Kang and Abderrahim Fathan}, editor = {S. R. Mahadeva Prasanna and Alexey Karpov and K. Samudravijaya and Shyam S. Agrawal}, title = {Neural Embedding Extractors for Text-Independent Speaker Verification}, booktitle = {Speech and Computer - 24th International Conference, {SPECOM} 2022, Gurugram, India, November 14-16, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13721}, pages = {10--23}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20980-2\_2}, doi = {10.1007/978-3-031-20980-2\_2}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/specom/AlamKF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/specom/FathanAK22, author = {Abderrahim Fathan and Jahangir Alam and Woo Hyun Kang}, editor = {S. R. Mahadeva Prasanna and Alexey Karpov and K. Samudravijaya and Shyam S. Agrawal}, title = {Multiresolution Decomposition Analysis via Wavelet Transforms for Audio Deepfake Detection}, booktitle = {Speech and Computer - 24th International Conference, {SPECOM} 2022, Gurugram, India, November 14-16, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13721}, pages = {188--200}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20980-2\_17}, doi = {10.1007/978-3-031-20980-2\_17}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/specom/FathanAK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/specom/KangAF22, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, editor = {S. R. Mahadeva Prasanna and Alexey Karpov and K. Samudravijaya and Shyam S. Agrawal}, title = {An Analytic Study on Clustering-Based Pseudo-labels for Self-supervised Deep Speaker Verification}, booktitle = {Speech and Computer - 24th International Conference, {SPECOM} 2022, Gurugram, India, November 14-16, 2022, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {13721}, pages = {338--348}, publisher = {Springer}, year = {2022}, url = {https://doi.org/10.1007/978-3-031-20980-2\_29}, doi = {10.1007/978-3-031-20980-2\_29}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/specom/KangAF22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsit/HanKCKKBK22, author = {Hyun{-}Ki Han and Min{-}Woo Ko and Jeong{-}Hyun Cho and Gyeong{-}Gu Kang and Seok{-}Tae Koh and Hong{-}Hyun Bae and Hyun{-}Sik Kim}, title = {A Monolithic 48V-to-1V 10A Quadruple Step-Down {DC-DC} Converter with Hysteretic Copied On-Time 4-Phase Control and 2{\texttimes} Slew Rate All-Hysteretic Mode}, booktitle = {{IEEE} Symposium on {VLSI} Technology and Circuits {(VLSI} Technology and Circuits 2022), Honolulu, HI, USA, June 12-17, 2022}, pages = {182--183}, publisher = {{IEEE}}, year = {2022}, url = {https://doi.org/10.1109/VLSITechnologyandCir46769.2022.9830233}, doi = {10.1109/VLSITECHNOLOGYANDCIR46769.2022.9830233}, timestamp = {Thu, 04 Aug 2022 10:53:40 +0200}, biburl = {https://dblp.org/rec/conf/vlsit/HanKCKKBK22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2202-03257, author = {Yongjin Lee and Seokjun Park and Beomgu Kang and Hyunwook Park}, title = {Confidence Guided Depth Completion Network}, journal = {CoRR}, volume = {abs/2202.03257}, year = {2022}, url = {https://arxiv.org/abs/2202.03257}, eprinttype = {arXiv}, eprint = {2202.03257}, timestamp = {Wed, 09 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2202-03257.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2203-02181, author = {Hyun Joon Park and Byung Ha Kang and Wooseok Shin and Jin Sob Kim and Sung Won Han}, title = {{MANNER:} Multi-view Attention Network for Noise Erasure}, journal = {CoRR}, volume = {abs/2203.02181}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2203.02181}, doi = {10.48550/ARXIV.2203.02181}, eprinttype = {arXiv}, eprint = {2203.02181}, timestamp = {Wed, 12 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2203-02181.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-00176, author = {Sanghwan Bae and Dong{-}Hyun Kwak and Sungdong Kim and Donghoon Ham and Soyoung Kang and Sang{-}Woo Lee and Woo{-}Myoung Park}, title = {Building a Role Specified Open-Domain Dialogue System Leveraging Large-Scale Language Models}, journal = {CoRR}, volume = {abs/2205.00176}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.00176}, doi = {10.48550/ARXIV.2205.00176}, eprinttype = {arXiv}, eprint = {2205.00176}, timestamp = {Wed, 04 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-00176.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-01528, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, title = {Attentive activation function for improving end-to-end spoofing countermeasure systems}, journal = {CoRR}, volume = {abs/2205.01528}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.01528}, doi = {10.48550/ARXIV.2205.01528}, eprinttype = {arXiv}, eprint = {2205.01528}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-01528.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-06421, author = {Hyoung{-}Kyu Song and Sang Hoon Woo and Junhyeok Lee and Seungmin Yang and Hyunjae Cho and Youseong Lee and Dongho Choi and Kang{-}wook Kim}, title = {Talking Face Generation with Multilingual {TTS}}, journal = {CoRR}, volume = {abs/2205.06421}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.06421}, doi = {10.48550/ARXIV.2205.06421}, eprinttype = {arXiv}, eprint = {2205.06421}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-06421.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2205-12685, author = {Junyeob Kim and Hyuhng Joon Kim and Hyunsoo Cho and Hwiyeol Jo and Sang{-}Woo Lee and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, title = {Ground-Truth Labels Matter: {A} Deeper Look into Input-Label Demonstrations}, journal = {CoRR}, volume = {abs/2205.12685}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2205.12685}, doi = {10.48550/ARXIV.2205.12685}, eprinttype = {arXiv}, eprint = {2205.12685}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2205-12685.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2206-14337, author = {Jinyoung Park and Seongjun Yun and Hyeon{-}Jin Park and Jaewoo Kang and Jisu Jeong and Kyung{-}Min Kim and Jung{-}Woo Ha and Hyunwoo J. Kim}, title = {Deformable Graph Transformer}, journal = {CoRR}, volume = {abs/2206.14337}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2206.14337}, doi = {10.48550/ARXIV.2206.14337}, eprinttype = {arXiv}, eprint = {2206.14337}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2206-14337.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-03786, author = {McKell Woodland and John Wood and Brian M. Anderson and Suprateek Kundu and Ethan Lin and Eugene J. Koay and Bruno Odisio and Caroline Chung and Hyunseon Christine Kang and Aradhana M. Venkatesan and Sireesha Yedururi and Brian De and Yuan{-}Mao Lin and Ankit B. Patel and Kristy K. Brock}, title = {Evaluating the Performance of StyleGAN2-ADA on Medical Images}, journal = {CoRR}, volume = {abs/2210.03786}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.03786}, doi = {10.48550/ARXIV.2210.03786}, eprinttype = {arXiv}, eprint = {2210.03786}, timestamp = {Wed, 12 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-03786.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2210-08750, author = {Sanghwan Bae and Dong{-}Hyun Kwak and Soyoung Kang and Min Young Lee and Sungdong Kim and Yuin Jeong and Hyeri Kim and Sang{-}Woo Lee and Woo{-}Myoung Park and Nako Sung}, title = {Keep Me Updated! Memory Management in Long-term Conversations}, journal = {CoRR}, volume = {abs/2210.08750}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2210.08750}, doi = {10.48550/ARXIV.2210.08750}, eprinttype = {arXiv}, eprint = {2210.08750}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2210-08750.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2212-10873, author = {Hyunsoo Cho and Hyuhng Joon Kim and Junyeob Kim and Sang{-}Woo Lee and Sang{-}goo Lee and Kang Min Yoo and Taeuk Kim}, title = {Prompt-Augmented Linear Probing: Scaling Beyond The Limit of Few-shot In-Context Learners}, journal = {CoRR}, volume = {abs/2212.10873}, year = {2022}, url = {https://doi.org/10.48550/arXiv.2212.10873}, doi = {10.48550/ARXIV.2212.10873}, eprinttype = {arXiv}, eprint = {2212.10873}, timestamp = {Fri, 02 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2212-10873.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimJLKKS22, author = {Hyunji Kim and Kyungbae Jang and Sejin Lim and Yeajun Kang and Won{-}Woong Kim and Hwajeong Seo}, title = {Quantum Neural Network based Distinguisher for Differential Cryptanalysis on Simplified Block Ciphers}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1671}, year = {2022}, url = {https://eprint.iacr.org/2022/1671}, timestamp = {Thu, 05 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/KimJLKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimLKKS22, author = {Hyunji Kim and Sejin Lim and Yeajun Kang and Won{-}Woong Kim and Hwajeong Seo}, title = {Transformer encoder-based Crypto-Ransomware Detection for Low-Power Embedded Processors}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {14}, year = {2022}, url = {https://eprint.iacr.org/2022/014}, timestamp = {Thu, 13 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/KimLKKS22.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KimLKKS22a, author = {Hyunji Kim and Sejin Lim and Yeajun Kang and Won{-}Woong Kim and Hwajeong Seo}, title = {Deep Learning based Cryptanalysis of Lightweight Block Ciphers, Revisited}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {886}, year = {2022}, url = {https://eprint.iacr.org/2022/886}, timestamp = {Tue, 27 Sep 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iacr/KimLKKS22a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoBKP21, author = {Se Woon Cho and Na Rae Baek and Ja Hyung Koo and Kang Ryoung Park}, title = {Modified Perceptual Cycle Generative Adversarial Network-Based Image Enhancement for Improving Accuracy of Low Light Image Segmentation}, journal = {{IEEE} Access}, volume = {9}, pages = {6296--6324}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2020.3048366}, doi = {10.1109/ACCESS.2020.3048366}, timestamp = {Tue, 26 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/ChoBKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeKMCK21, author = {Gwan Hui Lee and Sachin Kumar and Wahab Mohyuddin and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Generalized Design Technique of Ultra-Wideband Transitions for Quasi-TEM Planar Transmission Lines Based on Analytical Models}, journal = {{IEEE} Access}, volume = {9}, pages = {52619--52633}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3069870}, doi = {10.1109/ACCESS.2021.3069870}, timestamp = {Thu, 29 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeKMCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhOKCSHLY21, author = {Hansik Oh and Sungjae Oh and Hyungmo Koo and Woojin Choi and Jaekyung Shin and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Mid-Range Wireless Power Transfer System for Various Types of Multiple Receivers Using Power Customized Resonator}, journal = {{IEEE} Access}, volume = {9}, pages = {45230--45241}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3067023}, doi = {10.1109/ACCESS.2021.3067023}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/OhOKCSHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/OhSCCJCKHLY21, author = {Hansik Oh and Jaekyung Shin and Woojin Choi and Yifei Chen and Hyeongjin Jeon and Young Chan Choi and Hyungmo Koo and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Dual-Mode Supply Modulator {IC} With an Adaptive Quiescent Current Controller for Its Linear Amplifier in {LTE} Mobile Power Amplifier}, journal = {{IEEE} Access}, volume = {9}, pages = {147768--147779}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3124410}, doi = {10.1109/ACCESS.2021.3124410}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/OhSCCJCKHLY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/PhamKLKP21, author = {Thi Tram Anh Pham and Hyeonsoo Kim and Yeachan Lee and Hyun Wook Kang and Suhyun Park}, title = {Deep Learning for Analysis of Collagen Fiber Organization in Scar Tissue}, journal = {{IEEE} Access}, volume = {9}, pages = {101755--101764}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3097370}, doi = {10.1109/ACCESS.2021.3097370}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/PhamKLKP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinBKBNOJJCWSH21, author = {Jaekyung Shin and Jongseok Bae and Hyungmo Koo and Sooncheol Bae and Jongyun Na and Hansik Oh and Hyungjin Jeon and Hoseok Jung and Young Chan Choi and Seungmin Woo and Chan Mi Song and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {5.8 GHz 4-Channel Beamforming Tx {IC} for Microwave Power Transfer}, journal = {{IEEE} Access}, volume = {9}, pages = {72316--72325}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3079988}, doi = {10.1109/ACCESS.2021.3079988}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinBKBNOJJCWSH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ShinBKBNOJJCWSH21a, author = {Jaekyung Shin and Jongseok Bae and Hyungmo Koo and Sooncheol Bae and Jongyun Na and Hansik Oh and Hyungjin Jeon and Hoseok Jung and Young Chan Choi and Seungmin Woo and Chan Mi Song and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Correction to "5.8 GHz 4-Channel Beamforming Tx {IC} for Microwave Power Transfer"}, journal = {{IEEE} Access}, volume = {9}, pages = {83551}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3087874}, doi = {10.1109/ACCESS.2021.3087874}, timestamp = {Tue, 13 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ShinBKBNOJJCWSH21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/WooJK21, author = {Hyungil Woo and Seokjun Jang and Sungho Kang}, title = {A Secure Scan Architecture Protecting Scan Test and Scan Dump Using Skew-Based Lock and Key}, journal = {{IEEE} Access}, volume = {9}, pages = {102161--102176}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3097348}, doi = {10.1109/ACCESS.2021.3097348}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/WooJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/YiKKPH21, author = {Ji Hyun Yi and Woojin Kang and Song{-}Ei Kim and Doyun Park and Jin{-}Hyuk Hong}, title = {Smart Culture Lens: An Application That Analyzes the Visual Elements of Ceramics}, journal = {{IEEE} Access}, volume = {9}, pages = {42868--42883}, year = {2021}, url = {https://doi.org/10.1109/ACCESS.2021.3065407}, doi = {10.1109/ACCESS.2021.3065407}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/YiKKPH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcmi/LeeCCLCKKKK21, author = {Seunghyun Lee and Young Hun Choi and Yeon Jin Cho and Seul Bi Lee and Jung{-}Eun Cheon and Woo Sun Kim and Jaesung Ko and Jae{-}Moon Koh and Gyeong Hoon Kang}, title = {The usefulness of noninvasive liver stiffness assessment using shear-wave elastography for predicting liver fibrosis in children}, journal = {{BMC} Medical Imaging}, volume = {21}, number = {1}, pages = {68}, year = {2021}, url = {https://doi.org/10.1186/s12880-021-00601-8}, doi = {10.1186/S12880-021-00601-8}, timestamp = {Tue, 20 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bmcmi/LeeCCLCKKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cbm/YunLJKJKCPHKKY21, author = {Hae{-}Ryong Yun and Gyubok Lee and Myeong Jun Jeon and Hyung Woo Kim and Young Su Joo and Hyoungnae Kim and Tae Ik Chang and Jung Tak Park and Seung Hyeok Han and Shin{-}Wook Kang and Wooju Kim and Tae{-}Hyun Yoo}, title = {Erythropoiesis stimulating agent recommendation model using recurrent neural networks for patient with kidney failure with replacement therapy}, journal = {Comput. Biol. Medicine}, volume = {137}, pages = {104718}, year = {2021}, url = {https://doi.org/10.1016/j.compbiomed.2021.104718}, doi = {10.1016/J.COMPBIOMED.2021.104718}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cbm/YunLJKJKCPHKKY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/ChoiSJPKCJK21, author = {Hongrok Choi and Sangwon Seo and Daeyoung Jung and Sangheon Pack and Min Wook Kang and Yun Won Chung and Hyungjun Joo and Kyungran Kang}, title = {Design and implementation of integrated tactical mobility testbed}, journal = {{ICT} Express}, volume = {7}, number = {1}, pages = {23--27}, year = {2021}, url = {https://doi.org/10.1016/j.icte.2021.01.011}, doi = {10.1016/J.ICTE.2021.01.011}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ict-express/ChoiSJPKCJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/OhKS21, author = {Hyun Seo Oh and Do Wook Kang and Yoo Seung Song}, title = {Dual channel transmission for reliable {V2X} broadcasting messages}, journal = {{IET} Commun.}, volume = {15}, number = {18}, pages = {2300--2303}, year = {2021}, url = {https://doi.org/10.1049/cmu2.12267}, doi = {10.1049/CMU2.12267}, timestamp = {Fri, 13 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/OhKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/lgrs/KimKLP21, author = {Byungkwan Kim and Hyunseong Kang and Seongwook Lee and Seong{-}Ook Park}, title = {Improved Drone Classification Using Polarimetric Merged-Doppler Images}, journal = {{IEEE} Geosci. Remote. Sens. Lett.}, volume = {18}, number = {11}, pages = {1946--1950}, year = {2021}, url = {https://doi.org/10.1109/LGRS.2020.3011114}, doi = {10.1109/LGRS.2020.3011114}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/lgrs/KimKLP21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/neuromorphic/KangPLKJAW21, author = {Heebum Kang and Jinah Park and Dokyung Lee and Hyun Wook Kim and Sol Jin and Minjoon Ahn and Jiyong Woo}, title = {Two- and three-terminal HfO2-based multilevel resistive memories for neuromorphic analog synaptic elements}, journal = {Neuromorph. Comput. Eng.}, volume = {1}, number = {2}, pages = {21001}, year = {2021}, url = {https://doi.org/10.1088/2634-4386/ac29ca}, doi = {10.1088/2634-4386/AC29CA}, timestamp = {Wed, 15 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/neuromorphic/KangPLKJAW21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/ChoiKPYH21, author = {Ji{-}Woong Choi and Min{-}Sung Kang and Chang Hoon Park and Hyung{-}Jin Yang and Sang{-}Wook Han}, title = {Measurement-device-independent mutual quantum entity authentication}, journal = {Quantum Inf. Process.}, volume = {20}, number = {4}, pages = {152}, year = {2021}, url = {https://doi.org/10.1007/s11128-021-03093-1}, doi = {10.1007/S11128-021-03093-1}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/ChoiKPYH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scirobotics/RohKWLHLKKLILKS21, author = {Yeonwook Roh and Minho Kim and Sang Min Won and Daseul Lim and Insic Hong and Seunggon Lee and Taewi Kim and Changhwan Kim and Doohoe Lee and Sunghoon Im and Gunhee Lee and Dongjin Kim and Dongwook Shin and Dohyeon Gong and Baekgyeom Kim and Seongyeon Kim and Sungyeong Kim and Hyun Kuk Kim and Bon{-}Kwon Koo and Sungchul Seo and Je{-}Sung Koh and Daeshik Kang and Seungyong Han}, title = {Vital signal sensing and manipulation of a microscale organ with a multifunctional soft gripper}, journal = {Sci. Robotics}, volume = {6}, number = {59}, year = {2021}, url = {https://doi.org/10.1126/scirobotics.abi6774}, doi = {10.1126/SCIROBOTICS.ABI6774}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/scirobotics/RohKWLHLKKLILKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeonHPLKK21, author = {Jei Gyeong Jeon and Gwang{-}Wook Hong and Hong{-}Geun Park and Sun Kon Lee and Joo{-}Hyung Kim and Tae June Kang}, title = {Resistance Temperature Detectors Fabricated via Dual Fused Deposition Modeling of Polylactic Acid and Polylactic Acid/Carbon Black Composites}, journal = {Sensors}, volume = {21}, number = {5}, pages = {1560}, year = {2021}, url = {https://doi.org/10.3390/s21051560}, doi = {10.3390/S21051560}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeonHPLKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JeongLLKLLKHKJ21, author = {Dong{-}Hyeok Jeong and Manwoo Lee and Heuijin Lim and Sang{-}Koo Kang and Kyohyun Lee and Sang{-}Jin Lee and Hyun Kim and Woo{-}Kyung Han and Tae{-}Woo Kang and Kyoung{-}Won Jang}, title = {Optical Filter-Embedded Fiber-Optic Radiation Sensor for Ultra-High Dose Rate Electron Beam Dosimetry}, journal = {Sensors}, volume = {21}, number = {17}, pages = {5840}, year = {2021}, url = {https://doi.org/10.3390/s21175840}, doi = {10.3390/S21175840}, timestamp = {Wed, 08 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JeongLLKLLKHKJ21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangMY21, author = {Cheol{-}Woo Kang and Hyunwon Moon and Jong{-}Ryul Yang}, title = {Switched-Biasing Techniques for {CMOS} Voltage-Controlled Oscillator}, journal = {Sensors}, volume = {21}, number = {1}, pages = {316}, year = {2021}, url = {https://doi.org/10.3390/s21010316}, doi = {10.3390/S21010316}, timestamp = {Wed, 07 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KangMY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/YoonJHKL21, author = {Hyunse Yoon and Mingyu Jang and Jungwoo Huh and Jiwoo Kang and Sanghoon Lee}, title = {Multiple Sensor Synchronization with theRealSense {RGB-D} Camera}, journal = {Sensors}, volume = {21}, number = {18}, pages = {6276}, year = {2021}, url = {https://doi.org/10.3390/s21186276}, doi = {10.3390/S21186276}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/YoonJHKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/taslp/LeeKCKK21, author = {Hyeonseung Lee and Woo Hyun Kang and Sung Jun Cheon and Hyeongju Kim and Nam Soo Kim}, title = {Gated Recurrent Context: Softmax-Free Attention for Online Encoder-Decoder Speech Recognition}, journal = {{IEEE} {ACM} Trans. Audio Speech Lang. Process.}, volume = {29}, pages = {710--719}, year = {2021}, url = {https://doi.org/10.1109/TASLP.2021.3049344}, doi = {10.1109/TASLP.2021.3049344}, timestamp = {Thu, 11 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/taslp/LeeKCKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbe/KimTKKHK21, author = {Myeongjin Kim and Van Gia Truong and Sungwon Kim and Hyejin Kim and Thomas Hasenberg and Hyun Wook Kang}, title = {In Vivo Investigation of Noncontact Rapid Photothermal Hemostasis on Venous and Arterial Bleeding}, journal = {{IEEE} Trans. Biomed. Eng.}, volume = {68}, number = {9}, pages = {2689--2697}, year = {2021}, url = {https://doi.org/10.1109/TBME.2021.3049483}, doi = {10.1109/TBME.2021.3049483}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tbe/KimTKKHK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KooBCOLLSLHY21, author = {Hyungmo Koo and Jongseok Bae and Woojin Choi and Hansik Oh and Hongjun Lim and Jongmin Lee and Chan Mi Song and Kang{-}Yoon Lee and Keum{-}Cheol Hwang and Youngoo Yang}, title = {Retroreflective Transceiver Array Using a Novel Calibration Method Based on Optimum Phase Searching}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {68}, number = {3}, pages = {2510--2520}, year = {2021}, url = {https://doi.org/10.1109/TIE.2020.2973903}, doi = {10.1109/TIE.2020.2973903}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KooBCOLLSLHY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KangHKKOLPKOL21, author = {Taewook Kang and Jung{-}Hwan Hwang and Hyuk Kim and Sungeun Kim and Kwang{-}Il Oh and Jae{-}Jin Lee and Hyung{-}Il Park and Seong{-}Eun Kim and Wangrok Oh and Woojoo Lee}, title = {Measurement and Evaluation of Electric Signal Transmission Through Human Body by Channel Modeling, System Design, and Implementation}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {70}, pages = {1--14}, year = {2021}, url = {https://doi.org/10.1109/TIM.2021.3082273}, doi = {10.1109/TIM.2021.3082273}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/KangHKKOLPKOL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ACMdis/ChoCKKK21, author = {Won{-}Ik Cho and Sung Jun Cheon and Woo Hyun Kang and Ji Won Kim and Nam Soo Kim}, editor = {Wendy Ju and Lora Oehlberg and Sean Follmer and Sarah E. Fox and Stacey Kuznetsov}, title = {Giving Space to Your Message: Assistive Word Segmentation for the Electronic Typing of Digital Minorities}, booktitle = {{DIS} '21: Designing Interactive Systems Conference 2021, Virtual Event, USA, 28 June, July 2, 2021}, pages = {1739--1747}, publisher = {{ACM}}, year = {2021}, url = {https://doi.org/10.1145/3461778.3462078}, doi = {10.1145/3461778.3462078}, timestamp = {Mon, 05 Jul 2021 09:54:05 +0200}, biburl = {https://dblp.org/rec/conf/ACMdis/ChoCKKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/KangYLL21, author = {Jiwoo Kang and Hyunse Yoon and Seongmin Lee and Sanghoon Lee}, title = {Checkerboard Corner Localization Accelerated with Deep False Detection for Multi-camera Calibration}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2021, Tokyo, Japan, December 14-17, 2021}, pages = {1488--1493}, publisher = {{IEEE}}, year = {2021}, url = {https://ieeexplore.ieee.org/document/9689595}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/KangYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asru/KangAF21, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, title = {Hybrid Network with Multi-Level Global-Local Statistics Pooling for Robust Text-Independent Speaker Recognition}, booktitle = {{IEEE} Automatic Speech Recognition and Understanding Workshop, {ASRU} 2021, Cartagena, Colombia, December 13-17, 2021}, pages = {1116--1123}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ASRU51503.2021.9687877}, doi = {10.1109/ASRU51503.2021.9687877}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/asru/KangAF21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/date/KimKLKWK21, author = {Daeyeon Kim and Hyun{-}jeong Kwon and Sung{-}Yun Lee and Seungwon Kim and Mingyu Woo and Seokhyeong Kang}, title = {Machine Learning Framework for Early Routability Prediction with Artificial Netlist Generator}, booktitle = {Design, Automation {\&} Test in Europe Conference {\&} Exhibition, {DATE} 2021, Grenoble, France, February 1-5, 2021}, pages = {1809--1814}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/DATE51398.2021.9473966}, doi = {10.23919/DATE51398.2021.9473966}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/date/KimKLKWK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/emnlp/KimKLLKJ0KKSLJL21, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, editor = {Marie{-}Francine Moens and Xuanjing Huang and Lucia Specia and Scott Wen{-}tau Yih}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, booktitle = {Proceedings of the 2021 Conference on Empirical Methods in Natural Language Processing, {EMNLP} 2021, Virtual Event / Punta Cana, Dominican Republic, 7-11 November, 2021}, pages = {3405--3424}, publisher = {Association for Computational Linguistics}, year = {2021}, url = {https://doi.org/10.18653/v1/2021.emnlp-main.274}, doi = {10.18653/V1/2021.EMNLP-MAIN.274}, timestamp = {Fri, 16 Feb 2024 08:27:36 +0100}, biburl = {https://dblp.org/rec/conf/emnlp/KimKLLKJ0KKSLJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/KimKLKSRLWSPCSC21, author = {Jin Hyun Kim and Shinhaeng Kang and Sukhan Lee and Hyeonsu Kim and Woongjae Song and Yuhwan Ro and Seungwon Lee and David Wang and Hyunsung Shin and BengSeng Phuah and Jihyun Choi and Jinin So and YeonGon Cho and Joon{-}Ho Song and Jangseok Choi and Jeonghyeon Cho and Kyomin Sohn and Young{-}Soo Sohn and Kwang{-}Il Park and Nam Sung Kim}, title = {Aquabolt-XL: Samsung {HBM2-PIM} with in-memory processing for {ML} accelerators and beyond}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--26}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567191}, doi = {10.1109/HCS52781.2021.9567191}, timestamp = {Thu, 02 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hotchips/KimKLKSRLWSPCSC21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hotchips/ParkKJLKKNCYKJL21, author = {Sung Joo Park and Jonghoon J. Kim and Kun Joo and Young{-}Ho Lee and Kyoungsun Kim and Young{-}Tae Kim and Woo{-}Jin Na and IkJoon Choi and Hye{-}Seung Yu and Wonyoung Kim and Ju{-}Yeon Jung and Jaejun Lee and Dohyung Kim and Young{-}Uk Chang and Gong{-}Heum Han and Hangi{-}Jung and Sunwon Kang and Jeonghyeon Cho and Hoyoung Song and Tae{-}Young Oh and Young{-}Soo Sohn and SangJoon Hwang and Jooyoung Lee}, title = {Industry's First 7.2 Gbps 512GB {DDR5} Module}, booktitle = {{IEEE} Hot Chips 33 Symposium, {HCS} 2021, Palo Alto, CA, USA, August 22-24, 2021}, pages = {1--11}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/HCS52781.2021.9567190}, doi = {10.1109/HCS52781.2021.9567190}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hotchips/ParkKJLKKNCYKJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/Kang0JY021, author = {Jiwoo Kang and Seongmin Lee and Mingyu Jang and Hyunse Yoon and Sanghoon Lee}, title = {WarpingFusion: Accurate Multi-View {TSDF} Fusion with Local Perspective Warp}, booktitle = {2021 {IEEE} International Conference on Image Processing, {ICIP} 2021, Anchorage, AK, USA, September 19-22, 2021}, pages = {1564--1568}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICIP42928.2021.9506166}, doi = {10.1109/ICIP42928.2021.9506166}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/Kang0JY021.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icsipa/KangYLL21, author = {Jiwoo Kang and Hyunse Yoon and Seongmin Lee and Sanghoon Lee}, title = {Sparse Checkerboard Corner Detection from Global Perspective}, booktitle = {{IEEE} International Conference on Signal and Image Processing Applications, {ICSIPA} 2021, Kuala Terengganu, Malaysia, September 13-15, 2021}, pages = {12--17}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICSIPA52582.2021.9576808}, doi = {10.1109/ICSIPA52582.2021.9576808}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icsipa/KangYLL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangOJJL21, author = {Hyunjoo Kang and Seung{-}Hee Oh and Sang{-}Lim Ju and Woo{-}Sug Jung and Yong{-}Tae Lee}, title = {A Proposal of Parameter Extension for Multilanguage in 5G {CBS}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2021, Jeju Island, Korea, Republic of, October 20-22, 2021}, pages = {1437--1440}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ICTC52510.2021.9621021}, doi = {10.1109/ICTC52510.2021.9621021}, timestamp = {Fri, 10 Dec 2021 08:22:29 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KangOJJL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/KangK21, author = {Woo Hyun Kang and Nam Soo Kim}, editor = {Hynek Hermansky and Honza Cernock{\'{y}} and Luk{\'{a}}s Burget and Lori Lamel and Odette Scharenborg and Petr Motl{\'{\i}}cek}, title = {Team02 Text-Independent Speaker Verification System for SdSV Challenge 2021}, booktitle = {22nd Annual Conference of the International Speech Communication Association, Interspeech 2021, Brno, Czechia, August 30 - September 3, 2021}, pages = {2312--2316}, publisher = {{ISCA}}, year = {2021}, url = {https://doi.org/10.21437/Interspeech.2021-249}, doi = {10.21437/INTERSPEECH.2021-249}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/KangK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/JangWKK21, author = {Seokjun Jang and Hyungil Woo and Sunghoon Kim and Sungho Kang}, title = {Secure Scan Design through Pseudo Fault Injection}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {425--426}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9614012}, doi = {10.1109/ISOCC53507.2021.9614012}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isocc/JangWKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/LeeKK21, author = {Hyung{-}Wook Lee and Kyeong{-}Min Ko and Jin{-}Ku Kang}, title = {An 8 - 26 Gb/s Single Loop Reference-less {CDR} with Unrestricted Frequency Acquisition}, booktitle = {18th International SoC Design Conference, {ISOCC} 2021, Jeju Island, South Korea, Republic of, October 6-9, 2021}, pages = {45--46}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISOCC53507.2021.9613875}, doi = {10.1109/ISOCC53507.2021.9613875}, timestamp = {Mon, 06 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isocc/LeeKK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChoKPNSJLLKJKKS21, author = {Jiho Cho and D. Chris Kang and Jongyeol Park and Sangwan Nam and Jung{-}Ho Song and Bong{-}Kil Jung and Jaedoeg Lyu and Hogil Lee and Won{-}Tae Kim and Hongsoo Jeon and Sunghoon Kim and In{-}Mo Kim and Jae{-}Ick Son and Kyoungtae Kang and Sang{-}Won Shim and JongChul Park and Eungsuk Lee and Kyung{-}Min Kang and Sang{-}Won Park and Jaeyun Lee and Seung Hyun Moon and Pansuk Kwak and Byunghoon Jeong and Cheon An Lee and Kisung Kim and Junyoung Ko and Tae{-}Hong Kwon and Junha Lee and Yohan Lee and Chaehoon Kim and Myeong{-}Woo Lee and Jeong{-}Yun Yun and HoJun Lee and Yonghyuk Choi and Sanggi Hong and Jonghoon Park and Yoonsung Shin and Hojoon Kim and Hansol Kim and Chiweon Yoon and Dae{-}Seok Byeon and Seungjae Lee and Jin{-}Yub Lee and Jai Hyuk Song}, title = {A 512Gb 3b/Cell 7\({}^{\mbox{th}}\) -Generation 3D-NAND Flash Memory with 184MB/s Write Throughput and 2.0Gb/s Interface}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {426--428}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9366054}, doi = {10.1109/ISSCC42613.2021.9366054}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChoKPNSJLLKJKKS21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkKOPKLLJCKPY21, author = {Jae{-}Woo Park and Doogon Kim and Sunghwa Ok and Jaebeom Park and Taeheui Kwon and Hyunsoo Lee and Sungmook Lim and Sun{-}Young Jung and Hyeong{-}Jin Choi and Taikyu Kang and Gwan Park and Chul{-}Woo Yang and Jeong{-}Gil Choi and Gwihan Ko and Jae{-}Hyeon Shin and Ingon Yang and Junghoon Nam and Hyeokchan Sohn and Seok{-}in Hong and Yohan Jeong and Sung{-}Wook Choi and Changwoon Choi and Hyun{-}Soo Shin and Junyoun Lim and Dongkyu Youn and Sanghyuk Nam and Juyeab Lee and Myungkyu Ahn and Hoseok Lee and Seungpil Lee and Jongmin Park and Kichang Gwon and Woopyo Jeong and Jungdal Choi and Jinkook Kim and Kyowon Jin}, title = {A 176-Stacked 512Gb 3b/Cell 3D-NAND Flash with 10.8Gb/mm\({}^{\mbox{2}}\) Density with a Peripheral Circuit Under Cell Array Architecture}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {422--423}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365809}, doi = {10.1109/ISSCC42613.2021.9365809}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkKOPKLLJCKPY21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkPCLLKLKJIPK21, author = {Jongeun Park and Sungbong Park and Kwansik Cho and Taehun Lee and Changkyu Lee and Donghyun Kim and Beomsuk Lee and SungIn Kim and Ho{-}Chul Ji and Dongmo Im and Haeyong Park and Jinyoung Kim and Jungho Cha and Tae{-}Hoon Kim and Insung Joe and Soojin Hong and Chongkwang Chang and Jingyun Kim and WooGwan Shim and Taehee Kim and Jamie Lee and Donghyuk Park and Euiyeol Kim and Howoo Park and Jaekyu Lee and Yitae Kim and JungChak Ahn and Youngki Chung and ChungSam Jun and Hyunchul Kim and Chang{-}Rok Moon and Ho{-}Kyu Kang}, title = {7.9 1/2.74-inch 32Mpixel-Prototype {CMOS} Image Sensor with 0.64{\(\mu\)} m Unit Pixels Separated by Full-Depth Deep-Trench Isolation}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2021, San Francisco, CA, USA, February 13-22, 2021}, pages = {122--124}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/ISSCC42613.2021.9365751}, doi = {10.1109/ISSCC42613.2021.9365751}, timestamp = {Sat, 09 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkPCLLKLKJIPK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/YoonLKL21, author = {Hyunse Yoon and Seongmin Lee and Jiwoo Kang and Sanghoon Lee}, title = {Deep Chessboard Corner Detection Using Multi-task Learning}, booktitle = {23rd International Workshop on Multimedia Signal Processing, {MMSP} 2021, Tampere, Finland, October 6-8, 2021}, pages = {1--6}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/MMSP53017.2021.9733619}, doi = {10.1109/MMSP53017.2021.9733619}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/YoonLKL21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/specom/AlamFK21, author = {Jahangir Alam and Abderrahim Fathan and Woo Hyun Kang}, editor = {Alexey Karpov and Rodmonga Potapova}, title = {Text-Independent Speaker Verification Employing {CNN-LSTM-TDNN} Hybrid Networks}, booktitle = {Speech and Computer - 23rd International Conference, {SPECOM} 2021, St. Petersburg, Russia, September 27-30, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12997}, pages = {1--13}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87802-3\_1}, doi = {10.1007/978-3-030-87802-3\_1}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/specom/AlamFK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/specom/AlamFK21a, author = {Jahangir Alam and Abderrahim Fathan and Woo Hyun Kang}, editor = {Alexey Karpov and Rodmonga Potapova}, title = {End-to-End Voice Spoofing Detection Employing Time Delay Neural Networks and Higher Order Statistics}, booktitle = {Speech and Computer - 23rd International Conference, {SPECOM} 2021, St. Petersburg, Russia, September 27-30, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12997}, pages = {14--25}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87802-3\_2}, doi = {10.1007/978-3-030-87802-3\_2}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/specom/AlamFK21a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/specom/FathanAK21, author = {Abderrahim Fathan and Jahangir Alam and Woo Hyun Kang}, editor = {Alexey Karpov and Rodmonga Potapova}, title = {An Ensemble Approach for the Diagnosis of {COVID-19} from Speech and Cough Sounds}, booktitle = {Speech and Computer - 23rd International Conference, {SPECOM} 2021, St. Petersburg, Russia, September 27-30, 2021, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {12997}, pages = {190--201}, publisher = {Springer}, year = {2021}, url = {https://doi.org/10.1007/978-3-030-87802-3\_18}, doi = {10.1007/978-3-030-87802-3\_18}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/specom/FathanAK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KangKJLLKJK21, author = {Gyeong{-}Gu Kang and Seok{-}Tae Koh and Woojin Jang and Jiho Lee and Seongjoo Lee and Ohjo Kwon and Keumdong Jung and Hyun{-}Sik Kim}, title = {A 12-Bit Mobile OLED/{\(\mu\)}LED Display Driver {IC} with Cascaded Loading-Free Capacitive Interpolation {DAC} and 6.24V/{\(\mu\)}s-Slew-Rate Buffer Amplifier}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492490}, doi = {10.23919/VLSICIRCUITS52068.2021.9492490}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KangKJLLKJK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/KoHKKCK21, author = {Jae{-}Young Ko and Yeunhee Huh and Min{-}Woo Ko and Gyeong{-}Gu Kang and Gyu{-}Hyeong Cho and Hyun{-}Sik Kim}, title = {A 4.5V-Input 0.3-to-1.7V-Output Step-Down Always-Dual-Path {DC-DC} Converter Achieving 91.5{\%}-Efficiency with 250m{\(\Omega\)}-DCR Inductor for Low-Voltage SoCs}, booktitle = {2021 Symposium on {VLSI} Circuits, Kyoto, Japan, June 13-19, 2021}, pages = {1--2}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.23919/VLSICircuits52068.2021.9492478}, doi = {10.23919/VLSICIRCUITS52068.2021.9492478}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/KoHKKCK21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/ParkKKKKSKH21, author = {Kwonyeol Park and Hyungjong Kim and Daecheol Kwon and Haejoon Kim and Hwanmin Kang and Min{-}Ho Shin and Jonghan Kim and Woonhaing Hur}, title = {The Reinforcement Learning based Interference Whitening Scheme for 5G}, booktitle = {93rd {IEEE} Vehicular Technology Conference, {VTC} Spring 2021, Helsinki, Finland, April 25-28, 2021}, pages = {1--5}, publisher = {{IEEE}}, year = {2021}, url = {https://doi.org/10.1109/VTC2021-Spring51267.2021.9448740}, doi = {10.1109/VTC2021-SPRING51267.2021.9448740}, timestamp = {Thu, 26 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vtc/ParkKKKKSKH21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2106-07229, author = {Joon{-}Woo Lee and HyungChul Kang and Yongwoo Lee and Woosuk Choi and Jieun Eom and Maxim Deryabin and Eunsang Lee and Junghyun Lee and Donghoon Yoo and Young{-}Sik Kim and Jong{-}Seon No}, title = {Privacy-Preserving Machine Learning with Fully Homomorphic Encryption for Deep Neural Network}, journal = {CoRR}, volume = {abs/2106.07229}, year = {2021}, url = {https://arxiv.org/abs/2106.07229}, eprinttype = {arXiv}, eprint = {2106.07229}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2106-07229.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2109-04650, author = {Boseop Kim and HyoungSeok Kim and Sang{-}Woo Lee and Gichang Lee and Dong{-}Hyun Kwak and Dong Hyeon Jeon and Sunghyun Park and Sungju Kim and Seonhoon Kim and Dongpil Seo and Heungsub Lee and Minyoung Jeong and Sungjae Lee and Minsub Kim and SukHyun Ko and Seokhun Kim and Taeyong Park and Jinuk Kim and Soyoung Kang and Na{-}Hyeon Ryu and Kang Min Yoo and Minsuk Chang and Soobin Suh and Sookyo In and Jinseong Park and Kyungduk Kim and Hiun Kim and Jisu Jeong and Yong Goo Yeo and Donghoon Ham and Dongju Park and Min Young Lee and Jaewook Kang and Inho Kang and Jung{-}Woo Ha and Woo{-}Myoung Park and Nako Sung}, title = {What Changes Can Large-scale Language Models Bring? Intensive Study on HyperCLOVA: Billions-scale Korean Generative Pretrained Transformers}, journal = {CoRR}, volume = {abs/2109.04650}, year = {2021}, url = {https://arxiv.org/abs/2109.04650}, eprinttype = {arXiv}, eprint = {2109.04650}, timestamp = {Thu, 09 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2109-04650.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2112-03454, author = {Woo Hyun Kang and Jahangir Alam and Abderrahim Fathan}, title = {Robust Speech Representation Learning via Flow-based Embedding Regularization}, journal = {CoRR}, volume = {abs/2112.03454}, year = {2021}, url = {https://arxiv.org/abs/2112.03454}, eprinttype = {arXiv}, eprint = {2112.03454}, timestamp = {Thu, 23 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2112-03454.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/LeeKLCEDLLYKN21, author = {Joon{-}Woo Lee and HyungChul Kang and Yongwoo Lee and Woosuk Choi and Jieun Eom and Maxim Deryabin and Eunsang Lee and Junghyun Lee and Donghoon Yoo and Young{-}Sik Kim and Jong{-}Seon No}, title = {Privacy-Preserving Machine Learning with Fully Homomorphic Encryption for Deep Neural Network}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {783}, year = {2021}, url = {https://eprint.iacr.org/2021/783}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/LeeKLCEDLLYKN21.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BaeYKOOCSSHLY20, author = {Jongseok Bae and Sang{-}Hwa Yi and Hyungmo Koo and Sungjae Oh and Hansik Oh and Woojin Choi and Jaekyung Shin and Chan Mi Song and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {LUT-Based Focal Beamforming System Using 2-D Adaptive Sequential Searching Algorithm for Microwave Power Transfer}, journal = {{IEEE} Access}, volume = {8}, pages = {196024--196033}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3033937}, doi = {10.1109/ACCESS.2020.3033937}, timestamp = {Fri, 02 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BaeYKOOCSSHLY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoBKAP20, author = {Se Woon Cho and Na Rae Baek and Ja Hyung Koo and Muhammad Arsalan and Kang Ryoung Park}, title = {Semantic Segmentation With Low Light Images by Modified CycleGAN-Based Image Enhancement}, journal = {{IEEE} Access}, volume = {8}, pages = {93561--93585}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2994969}, doi = {10.1109/ACCESS.2020.2994969}, timestamp = {Tue, 16 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoBKAP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/ChoiNCNOP20, author = {Jiho Choi and Kyoung Jun Noh and Se Woon Cho and Se Hyun Nam and Muhammad Owais and Kang Ryoung Park}, title = {Modified Conditional Generative Adversarial Network-Based Optical Blur Restoration for Finger-Vein Recognition}, journal = {{IEEE} Access}, volume = {8}, pages = {16281--16301}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2967771}, doi = {10.1109/ACCESS.2020.2967771}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/ChoiNCNOP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KangMHK20, author = {Woo Hyun Kang and Sung Hwan Mun and Min Hyun Han and Nam Soo Kim}, title = {Disentangled Speaker and Nuisance Attribute Embedding for Robust Speaker Verification}, journal = {{IEEE} Access}, volume = {8}, pages = {141838--141849}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3012893}, doi = {10.1109/ACCESS.2020.3012893}, timestamp = {Wed, 26 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/KangMHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimLJYKJ20, author = {Whui Kim and Youlkyeong Lee and Woo{-}Sung Jung and Daeseung Yoo and Do{-}Hyun Kim and Kang{-}Hyun Jo}, title = {An Adaptive Batch-Image Based Driver Status Monitoring System on a Lightweight GPU-Equipped {SBC}}, journal = {{IEEE} Access}, volume = {8}, pages = {206074--206087}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.3035393}, doi = {10.1109/ACCESS.2020.3035393}, timestamp = {Tue, 01 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimLJYKJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SaxenaKDKCK20, author = {Shobhit Saxena and Binod Kumar Kanaujia and Santanu Dwari and Sachin Kumar and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Planar Four-Port Dual Circularly-Polarized {MIMO} Antenna for Sub-6 GHz Band}, journal = {{IEEE} Access}, volume = {8}, pages = {90779--90791}, year = {2020}, url = {https://doi.org/10.1109/ACCESS.2020.2993897}, doi = {10.1109/ACCESS.2020.2993897}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SaxenaKDKCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bspc/KangCKYHONKY20, author = {Mi{-}Sun Kang and Eunju Cha and Eunhee Kang and Jong Chul Ye and Nam{-}Gu Her and Jeong{-}Woo Oh and Do{-}Hyun Nam and Myoung{-}Hee Kim and Sejung Yang}, title = {Accuracy improvement of quantification information using super-resolution with convolutional neural network for microscopy images}, journal = {Biomed. Signal Process. Control.}, volume = {58}, pages = {101846}, year = {2020}, url = {https://doi.org/10.1016/j.bspc.2020.101846}, doi = {10.1016/J.BSPC.2020.101846}, timestamp = {Thu, 23 Jun 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/bspc/KangCKYHONKY20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cea/KimKHKKPS20, author = {Dongpil Kim and Woo Hyun Kang and Inha Hwang and Jaewoo Kim and Jin Hyun Kim and Kyoung Sub Park and Jung{-}Eek Son}, title = {Use of structurally-accurate 3D plant models for estimating light interception and photosynthesis of sweet pepper (\emph{Capsicum annuum}) plants}, journal = {Comput. Electron. Agric.}, volume = {177}, pages = {105689}, year = {2020}, url = {https://doi.org/10.1016/j.compag.2020.105689}, doi = {10.1016/J.COMPAG.2020.105689}, timestamp = {Tue, 16 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cea/KimKHKKPS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jid/LeeCCHKKLLLOPPP20, author = {Hyun{-}Kyung Lee and Sue{-}Yeon Chae and Seung{-}Yeon Choi and Dong{-}Hwan Hong and Sang{-}Gu Kang and Gyomin Koo and Seo{-}Hyeon Lee and Sun{-}Woo Lee and Young{-}Seo Lee and Myung{-}Woo Oh and Geena Park and Ji{-}Hyun Park and Sangha Park}, title = {Design Thinking with Appropriate Technology for Improving Social Sustainability: Critical and Comprehensive Criteria}, journal = {J. Integr. Des. Process. Sci.}, volume = {24}, number = {2}, pages = {29--51}, year = {2020}, url = {https://doi.org/10.3233/JID200012}, doi = {10.3233/JID200012}, timestamp = {Thu, 24 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jid/LeeCCHKKLLLOPPP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jmihi/ShinPLKKC20, author = {Sung{-}Wook Shin and Jung{-}Hyun Park and Woo{-}Jin Lee and Sungho Kang and Hyunggun Kim and Sung{-}Taek Chung}, title = {Analysis of Electroencephalography Signals on the Contents of Cognitive Function Game: Attention and Memory}, journal = {J. Medical Imaging Health Informatics}, volume = {10}, number = {6}, pages = {1452--1458}, year = {2020}, url = {https://doi.org/10.1166/jmihi.2020.3069}, doi = {10.1166/JMIHI.2020.3069}, timestamp = {Wed, 24 Mar 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jmihi/ShinPLKKC20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/KangHHYMH20, author = {Min{-}Sung Kang and Jino Heo and Chang{-}ho Hong and Hyung{-}Jin Yang and Sung Moon and Sang{-}Wook Han}, title = {Response to "Comment on 'Controlled mutual quantum entity authentication with an untrusted third party'"}, journal = {Quantum Inf. Process.}, volume = {19}, number = {4}, pages = {124}, year = {2020}, url = {https://doi.org/10.1007/s11128-020-2608-8}, doi = {10.1007/S11128-020-2608-8}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/KangHHYMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimKMH20, author = {Wook{-}Hyung Kim and Jihyeon Kang and Seung{-}Min Mun and Jong{-}Uk Hou}, title = {Convolutional Neural Network Architecture for Recovering Watermark Synchronization}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5427}, year = {2020}, url = {https://doi.org/10.3390/s20185427}, doi = {10.3390/S20185427}, timestamp = {Wed, 20 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimKMH20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimPLK20, author = {Myeongjin Kim and Suhyun Park and Hyun Uk Lee and Hyun Wook Kang}, title = {Quantitative Monitoring of Tattoo Contrast Variations after 755-nm Laser Treatments in In Vivo Tattoo Models}, journal = {Sensors}, volume = {20}, number = {1}, pages = {285}, year = {2020}, url = {https://doi.org/10.3390/s20010285}, doi = {10.3390/S20010285}, timestamp = {Wed, 16 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KimPLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KooCBP20, author = {Ja Hyung Koo and Se Woon Cho and Na Rae Baek and Kang Ryoung Park}, title = {Face and Body-Based Human Recognition by GAN-Based Blur Restoration}, journal = {Sensors}, volume = {20}, number = {18}, pages = {5229}, year = {2020}, url = {https://doi.org/10.3390/s20185229}, doi = {10.3390/S20185229}, timestamp = {Fri, 19 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KooCBP20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KumarLKCK20, author = {Sachin Kumar and Gwan Hui Lee and Dong Hwi Kim and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Dual Circularly Polarized Planar Four-Port {MIMO} Antenna with Wide Axial-Ratio Bandwidth}, journal = {Sensors}, volume = {20}, number = {19}, pages = {5610}, year = {2020}, url = {https://doi.org/10.3390/s20195610}, doi = {10.3390/S20195610}, timestamp = {Fri, 25 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/KumarLKCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeWKJJLK20, author = {Jihyun Lee and Jiyoung Woo and Ah Reum Kang and Young{-}Seob Jeong and Woohyun Jung and Misoon Lee and Sang Hyun Kim}, title = {Comparative Analysis on Machine Learning and Deep Learning to Predict Post-Induction Hypotension}, journal = {Sensors}, volume = {20}, number = {16}, pages = {4575}, year = {2020}, url = {https://doi.org/10.3390/s20164575}, doi = {10.3390/S20164575}, timestamp = {Thu, 16 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeWKJJLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbcas/LeeMJLKKKPNSK20, author = {Hyungwoo Lee and Jun Seung Mun and Woo Ram Jung and Seunghun Lee and Joonseong Kang and Wonok Kang and Sehyeon Kim and Sung{-}Min Park and Duk L. Na and Young{-}Min Shon and Sang Joon Kim}, title = {Long-Term Non Anesthetic Preclinical Study Available Extra-Cranial Brain Activator {(ECBA)} System for the Future Minimally Invasive Human Neuro Modulation}, journal = {{IEEE} Trans. Biomed. Circuits Syst.}, volume = {14}, number = {6}, pages = {1393--1406}, year = {2020}, url = {https://doi.org/10.1109/TBCAS.2020.3034444}, doi = {10.1109/TBCAS.2020.3034444}, timestamp = {Thu, 27 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbcas/LeeMJLKKKPNSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KangKOHLPBL20, author = {Taewook Kang and Sungeun Kim and Kwang{-}Il Oh and Jung{-}Hwan Hwang and Jae{-}Jin Lee and Hyungil Park and Kyungjin Byun and Woojoo Lee}, title = {Evaluation of Human Body Characteristics for Electric Signal Transmission Based on Measured Body Impulse Response}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {69}, number = {9}, pages = {6399--6411}, year = {2020}, url = {https://doi.org/10.1109/TIM.2020.2970870}, doi = {10.1109/TIM.2020.2970870}, timestamp = {Sat, 19 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/KangKOHLPBL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/ParkLKKJKL20, author = {Jin Woo Park and Hyokeun Lee and Boyeal Kim and Dong{-}Goo Kang and Seung Oh Jin and Hyun Kim and Hyuk{-}Jae Lee}, title = {A Low-Cost and High-Throughput {FPGA} Implementation of the Retinex Algorithm for Real-Time Video Enhancement}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {28}, number = {1}, pages = {101--114}, year = {2020}, url = {https://doi.org/10.1109/TVLSI.2019.2936260}, doi = {10.1109/TVLSI.2019.2936260}, timestamp = {Wed, 22 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/ParkLKKJKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vcomm/SongWK20, author = {Hyun Min Song and Jiyoung Woo and Huy Kang Kim}, title = {In-vehicle network intrusion detection using deep convolutional neural network}, journal = {Veh. Commun.}, volume = {21}, year = {2020}, url = {https://doi.org/10.1016/j.vehcom.2019.100198}, doi = {10.1016/J.VEHCOM.2019.100198}, timestamp = {Thu, 25 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vcomm/SongWK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cogsci/ChoKK20, author = {Jeonghwa Cho and Woo Hyun Kang and Nam Soo Kim}, editor = {Stephanie Denison and Michael L. Mack and Yang Xu and Blair C. Armstrong}, title = {Text Matters but Speech Influences: {A} Computational Analysis of Syntactic Ambiguity Resolution}, booktitle = {Proceedings of the 42th Annual Meeting of the Cognitive Science Society - Developing a Mind: Learning in Humans, Animals, and Machines, CogSci 2020, virtual, July 29 - August 1, 2020}, publisher = {cognitivesciencesociety.org}, year = {2020}, url = {https://cogsci.mindmodeling.org/2020/papers/0448/index.html}, timestamp = {Thu, 25 Apr 2024 16:58:16 +0200}, biburl = {https://dblp.org/rec/conf/cogsci/ChoKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeMLCJKPKKSNSK20, author = {Hyungwoo Lee and Jun Seung Mun and Jin San Lee and Yeongu Chung and Woo Ram Jung and Joonseong Kang and Sung{-}Min Park and Wonok Kang and Se Hyeon Kim and Dae{-}Won Seo and Duk L. Na and Young{-}Min Shon and Sang Joon Kim}, title = {Long-term Non-Anesthetic Preclinical Study Available Extra-Cranial Brain Activator {(ECBA)} System for the Future Minimally-Invasive Human Neuro-Modulation}, booktitle = {42nd Annual International Conference of the {IEEE} Engineering in Medicine {\&} Biology Society, {EMBC} 2020, Montreal, QC, Canada, July 20-24, 2020}, pages = {5184--5187}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/EMBC44109.2020.9176408}, doi = {10.1109/EMBC44109.2020.9176408}, timestamp = {Mon, 10 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/embc/LeeMLCJKPKKSNSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fcv/SeoHHJK20, author = {Dong{-}Wook Seo and Yejin Ha and Seungbo Ha and Kang{-}Hyun Jo and Hyun{-}Deok Kang}, editor = {Wataru Ohyama and Soon Ki Jung}, title = {Study of GANs Using a Few Images for Sealer Inspection Systems}, booktitle = {Frontiers of Computer Vision - 26th International Workshop, {IW-FCV} 2020, Ibusuki, Kagoshima, Japan, February 20-22, 2020, Revised Selected Papers}, series = {Communications in Computer and Information Science}, volume = {1212}, pages = {223--235}, publisher = {Springer}, year = {2020}, url = {https://doi.org/10.1007/978-981-15-4818-5\_17}, doi = {10.1007/978-981-15-4818-5\_17}, timestamp = {Wed, 29 Apr 2020 14:22:03 +0200}, biburl = {https://dblp.org/rec/conf/fcv/SeoHHJK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icaiic/KimCKKL20, author = {Hyun{-}Woo Kim and Myungjin Cho and Min{-}Koo Kang and Sung{-}Kyu Kim and Min{-}Chul Lee}, title = {A generalized sub-pixel mapping algorithm for auto-stereoscopic displays using slanted optical plates}, booktitle = {2020 International Conference on Artificial Intelligence in Information and Communication, {ICAIIC} 2020, Fukuoka, Japan, February 19-21, 2020}, pages = {136--140}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ICAIIC48513.2020.9064993}, doi = {10.1109/ICAIIC48513.2020.9064993}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icaiic/KimCKKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ijcai/KimLKKK20, author = {Hyeongju Kim and Hyeonseung Lee and Woo Hyun Kang and Hyung Yong Kim and Nam Soo Kim}, editor = {Christian Bessiere}, title = {Robust Front-End for Multi-Channel {ASR} using Flow-Based Density Estimation}, booktitle = {Proceedings of the Twenty-Ninth International Joint Conference on Artificial Intelligence, {IJCAI} 2020}, pages = {3744--3750}, publisher = {ijcai.org}, year = {2020}, url = {https://doi.org/10.24963/ijcai.2020/518}, doi = {10.24963/IJCAI.2020/518}, timestamp = {Mon, 20 Jul 2020 12:38:52 +0200}, biburl = {https://dblp.org/rec/conf/ijcai/KimLKKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/HaNKLYJKKKKDLS020, author = {Jung{-}Woo Ha and Kihyun Nam and Jingu Kang and Sang{-}Woo Lee and Sohee Yang and Hyunhoon Jung and Hyeji Kim and Eunmi Kim and Soojin Kim and Hyun Ah Kim and Kyoungtae Doh and Chan Kyu Lee and Nako Sung and Sunghun Kim}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {ClovaCall: Korean Goal-Oriented Dialog Speech Corpus for Automatic Speech Recognition of Contact Centers}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {409--413}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-1136}, doi = {10.21437/INTERSPEECH.2020-1136}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/HaNKLYJKKKKDLS020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/MunKHK20, author = {Sung Hwan Mun and Woo Hyun Kang and Min Hyun Han and Nam Soo Kim}, editor = {Helen Meng and Bo Xu and Thomas Fang Zheng}, title = {Robust Text-Dependent Speaker Verification via Character-Level Information Preservation for the SdSV Challenge 2020}, booktitle = {21st Annual Conference of the International Speech Communication Association, Interspeech 2020, Virtual Event, Shanghai, China, October 25-29, 2020}, pages = {741--745}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Interspeech.2020-2183}, doi = {10.21437/INTERSPEECH.2020-2183}, timestamp = {Fri, 29 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/interspeech/MunKHK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/HongKK20, author = {Hyeok{-}Ki Hong and Hyun{-}Wook Kang and Che{-}Heung Kim}, title = {A 62dB-SNDR 40.2{\(\mu\)}W 10MS/s {ADC} for Power Efficient IoT and Biomedical Read-Out Systems}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180991}, doi = {10.1109/ISCAS45731.2020.9180991}, timestamp = {Mon, 18 Jan 2021 08:38:59 +0100}, biburl = {https://dblp.org/rec/conf/iscas/HongKK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/SuhCIKLSJYNBYSK20, author = {Yunjae Suh and Seungnam Choi and Masamichi Ito and Jeongseok Kim and Youngho Lee and Jongseok Seo and Heejae Jung and Dong{-}Hee Yeo and Seol Namgung and Jongwoo Bong and Sehoon Yoo and Seung{-}Hun Shin and Doowon Kwon and Pilkyu Kang and Seokho Kim and Hoonjoo Na and Kihyun Hwang and Chang{-}Woo Shin and Jun{-}Seok Kim and Paul K. J. Park and Joonseok Kim and Hyunsurk Ryu and Yongin Park}, title = {A 1280{\texttimes}960 Dynamic Vision Sensor with a 4.95-{\(\mu\)}m Pixel Pitch and Motion Artifact Minimization}, booktitle = {{IEEE} International Symposium on Circuits and Systems, {ISCAS} 2020, Sevilla, Spain, October 10-21, 2020}, pages = {1--5}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISCAS45731.2020.9180436}, doi = {10.1109/ISCAS45731.2020.9180436}, timestamp = {Mon, 18 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscas/SuhCIKLSJYNBYSK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HuhCLNPOKCLKPKL20, author = {Hwang Huh and Wanik Cho and Jinhaeng Lee and Yujong Noh and Yongsoon Park and Sunghwa Ok and Jongwoo Kim and Kayoung Cho and Hyunchul Lee and Geonu Kim and Kangwoo Park and Kwanho Kim and Heejoo Lee and Sooyeol Chai and Chankeun Kwon and Hanna Cho and Chanhui Jeong and Yujin Yang and Jayoon Goo and Jangwon Park and Juhyeong Lee and Heonki Kirr and Kangwook Jo and Cheoljoong Park and Hyeonsu Nam and Hyunseok Song and Sangkyu Lee and Woopyo Jeong and Kun{-}Ok Ahn and Tae{-}Sung Jung}, title = {13.2 {A} 1Tb 4b/Cell 96-Stacked-WL 3D {NAND} Flash Memory with 30MB/s Program Throughput Using Peripheral Circuit Under Memory Cell Array Technique}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {220--221}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063117}, doi = {10.1109/ISSCC19947.2020.9063117}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HuhCLNPOKCLKPKL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KoKKLKKKLCSCK20, author = {Min{-}Woo Ko and Gyeong{-}Gu Kang and Ki{-}Duk Kim and Ji{-}Hun Lee and Seok{-}Tae Koh and Tae{-}Hwang Kong and Sang{-}Ho Kim and Sungyong Lee and Michael Choi and Jongshin Shin and Gyu{-}Hyeong Cho and Hyunsik Kim}, title = {11.8 {A} 96.8{\%}-Efficiency Continuous Input/Output-Current Step-Up/Down Converter Powering Disposable IoTs with Reconfigurable Multi-Cell-Balanced Alkaline Batteries}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {204--206}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062896}, doi = {10.1109/ISSCC19947.2020.9062896}, timestamp = {Sat, 18 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KoKKLKKKLCSCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCKKOKKLKYKLL20, author = {Dong{-}Uk Lee and Ho Sung Cho and Jihwan Kim and Young Jun Ku and Sangmuk Oh and Chul Dae Kim and Hyun Woo Kim and Wooyoung Lee and Tae{-}Kyun Kim and Tae Sik Yun and Min Jeong Kim and SeungGyeon Lim and Seong Hee Lee and Byung Kuk Yun and Jun Il Moon and Ji Hwan Park and Seokwoo Choi and Young Jun Park and Chang Kwon Lee and Chunseok Jeong and Jae{-}Seung Lee and Sang Hun Lee and Woo Sung We and Jong Chan Yun and Doobock Lee and Junghyun Shin and Seungchan Kim and Junghwan Lee and Jiho Choi and Yucheon Ju and Myeong{-}Jae Park and Kang Seol Lee and Youngdo Hur and Daeyong Shim and Sangkwon Lee and Junhyun Chun and Kyowon Jin}, title = {22.3 {A} 128Gb 8-High 512GB/s {HBM2E} {DRAM} with a Pseudo Quarter Bank Structure, Power Dispersion and an Instruction-Based At-Speed {PMBIST}}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {334--336}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9062977}, doi = {10.1109/ISSCC19947.2020.9062977}, timestamp = {Tue, 17 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCKKOKKLKYKLL20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKBSKKKICCKLS20, author = {Jaekyu Lee and Seung Sik Kim and In{-}Gyu Baek and Heesung Shim and Taehoon Kim and Taehyoung Kim and Jungchan Kyoung and Dongmo Im and Jinyong Choi and KeunYeong Cho and Daehoon Kim and Haemin Lim and Min{-}Woong Seo and JuYoung Kim and Doowon Kwon and Jiyoun Song and Jiyoon Kim and Minho Jang and Joosung Moon and Hyunchul Kim and Chong Kwang Chang and JinGyun Kim and Kyoungmin Koh and Hanjin Lim and JungChak Ahn and Hyeongsun Hong and Kyupil Lee and Ho{-}Kyu Kang}, title = {5.5 {A} 2.1e\({}^{\mbox{-}}\) Temporal Noise and -105dB Parasitic Light Sensitivity Backside-Illuminated 2.3{\(\mathrm{\mu}\)}m-Pixel Voltage-Domain Global Shutter {CMOS} Image Sensor Using High-Capacity {DRAM} Capacitor Technology}, booktitle = {2020 {IEEE} International Solid- State Circuits Conference, {ISSCC} 2020, San Francisco, CA, USA, February 16-20, 2020}, pages = {102--104}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/ISSCC19947.2020.9063092}, doi = {10.1109/ISSCC19947.2020.9063092}, timestamp = {Sun, 19 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKBSKKKICCKLS20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/KimLKLK20, author = {Hyeongju Kim and Hyeonseung Lee and Woo Hyun Kang and Joun Yeop Lee and Nam Soo Kim}, editor = {Hugo Larochelle and Marc'Aurelio Ranzato and Raia Hadsell and Maria{-}Florina Balcan and Hsuan{-}Tien Lin}, title = {SoftFlow: Probabilistic Framework for Normalizing Flow on Manifolds}, booktitle = {Advances in Neural Information Processing Systems 33: Annual Conference on Neural Information Processing Systems 2020, NeurIPS 2020, December 6-12, 2020, virtual}, year = {2020}, url = {https://proceedings.neurips.cc/paper/2020/hash/bdbca288fee7f92f2bfa9f7012727740-Abstract.html}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nips/KimLKLK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/odyssey/HanKMK20, author = {Min Hyun Han and Woo Hyun Kang and Sung Hwan Mun and Nam Soo Kim}, editor = {Kong{-}Aik Lee and Takafumi Koshinaka and Koichi Shinoda}, title = {Information Preservation Pooling for Speaker Embedding}, booktitle = {Odyssey 2020: The Speaker and Language Recognition Workshop, 1-5 November 2020, Tokyo, Japan}, pages = {60--66}, publisher = {{ISCA}}, year = {2020}, url = {https://doi.org/10.21437/Odyssey.2020-9}, doi = {10.21437/ODYSSEY.2020-9}, timestamp = {Tue, 30 Jul 2024 09:41:52 +0200}, biburl = {https://dblp.org/rec/conf/odyssey/HanKMK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/scisisis/KimSLKKCJ20, author = {Seoungtak Kim and Dasol Seo and Sangyong Lee and Yeonjun Kim and Hyun Wook Kang and Yong{-}Sik Choi and Jin{-}Woo Jung}, title = {Real-Time Motion Feedback System based on Smart Mirror Vision}, booktitle = {Joint 11th International Conference on Soft Computing and Intelligent Systems and 21st International Symposium on Advanced Intelligent Systems, {SCIS/ISIS} 2020, Hachijo Island, Japan, December 5-8, 2020}, pages = {1--4}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/SCISISIS50064.2020.9322752}, doi = {10.1109/SCISISIS50064.2020.9322752}, timestamp = {Thu, 28 Jan 2021 08:30:46 +0100}, biburl = {https://dblp.org/rec/conf/scisisis/KimSLKKCJ20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeKKCK20, author = {Ji{-}Hun Lee and Gyeong{-}Gu Kang and Min{-}Woo Ko and Gyu{-}Hyeong Cho and Hyun{-}Sik Kim}, title = {An 8{\(\Omega\)}, 1.4W, 0.0024{\%} {THD+N} Class-D Audio Amplifier with Bridge-Tied Load Half-Side Switching Mode Achieving Low Standby Quiescent Current of 660{\(\mu\)}A}, booktitle = {{IEEE} Symposium on {VLSI} Circuits, {VLSI} Circuits 2020, Honolulu, HI, USA, June 16-19, 2020}, pages = {1--2}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/VLSICircuits18222.2020.9162781}, doi = {10.1109/VLSICIRCUITS18222.2020.9162781}, timestamp = {Mon, 24 Aug 2020 16:22:01 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeKKCK20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/bibm/2020, editor = {Taesung Park and Young{-}Rae Cho and Xiaohua Hu and Illhoi Yoo and Hyun Goo Woo and Jianxin Wang and Julio C. Facelli and Seungyoon Nam and Mingon Kang}, title = {{IEEE} International Conference on Bioinformatics and Biomedicine, {BIBM} 2020, Virtual Event, South Korea, December 16-19, 2020}, publisher = {{IEEE}}, year = {2020}, url = {https://doi.org/10.1109/BIBM49941.2020}, doi = {10.1109/BIBM49941.2020}, isbn = {978-1-7281-6215-7}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/bibm/2020.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-04459, author = {Woo{-}Seok Lee and Hyunjae Kim and Andrew N. Cleland and Kang{-}Hun Ahn}, title = {Fast frequency discrimination and phoneme recognition using a biomimetic membrane coupled to a neural network}, journal = {CoRR}, volume = {abs/2004.04459}, year = {2020}, url = {https://arxiv.org/abs/2004.04459}, eprinttype = {arXiv}, eprint = {2004.04459}, timestamp = {Sat, 23 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-04459.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2004-09367, author = {Jung{-}Woo Ha and Kihyun Nam and Jin{-}Gu Kang and Sang{-}Woo Lee and Sohee Yang and Hyunhoon Jung and Eunmi Kim and Hyeji Kim and Soojin Kim and Hyun Ah Kim and Kyoungtae Doh and Chan Kyu Lee and Sunghun Kim}, title = {ClovaCall: Korean Goal-Oriented Dialog Speech Corpus for Automatic Speech Recognition of Contact Centers}, journal = {CoRR}, volume = {abs/2004.09367}, year = {2020}, url = {https://arxiv.org/abs/2004.09367}, eprinttype = {arXiv}, eprint = {2004.09367}, timestamp = {Thu, 02 Sep 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2004-09367.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-04598, author = {Hyeongju Kim and Hyeon Seung Lee and Woo Hyun Kang and Sung Jun Cheon and Byoung Jin Choi and Nam Soo Kim}, title = {WaveNODE: {A} Continuous Normalizing Flow for Speech Synthesis}, journal = {CoRR}, volume = {abs/2006.04598}, year = {2020}, url = {https://arxiv.org/abs/2006.04598}, eprinttype = {arXiv}, eprint = {2006.04598}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-04598.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2006-04604, author = {Hyeongju Kim and Hyeonseung Lee and Woo Hyun Kang and Joun Yeop Lee and Nam Soo Kim}, title = {SoftFlow: Probabilistic Framework for Normalizing Flow on Manifolds}, journal = {CoRR}, volume = {abs/2006.04604}, year = {2020}, url = {https://arxiv.org/abs/2006.04604}, eprinttype = {arXiv}, eprint = {2006.04604}, timestamp = {Fri, 12 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2006-04604.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-05214, author = {Hyeonseung Lee and Woo Hyun Kang and Sung Jun Cheon and Hyeongju Kim and Nam Soo Kim}, title = {Gated Recurrent Context: Softmax-free Attention for Online Encoder-Decoder Speech Recognition}, journal = {CoRR}, volume = {abs/2007.05214}, year = {2020}, url = {https://arxiv.org/abs/2007.05214}, eprinttype = {arXiv}, eprint = {2007.05214}, timestamp = {Tue, 28 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-05214.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2007-12903, author = {Hyeongju Kim and Hyeonseung Lee and Woo Hyun Kang and Hyung Yong Kim and Nam Soo Kim}, title = {Robust Front-End for Multi-Channel {ASR} using Flow-Based Density Estimation}, journal = {CoRR}, volume = {abs/2007.12903}, year = {2020}, url = {https://arxiv.org/abs/2007.12903}, eprinttype = {arXiv}, eprint = {2007.12903}, timestamp = {Wed, 29 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2007-12903.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2008-03024, author = {Woo Hyun Kang and Sung Hwan Mun and Min Hyun Han and Nam Soo Kim}, title = {Disentangled speaker and nuisance attribute embedding for robust speaker verification}, journal = {CoRR}, volume = {abs/2008.03024}, year = {2020}, url = {https://arxiv.org/abs/2008.03024}, eprinttype = {arXiv}, eprint = {2008.03024}, timestamp = {Mon, 17 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-2008-03024.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11408, author = {Sung Hwan Mun and Woo Hyun Kang and Min Hyun Han and Nam Soo Kim}, title = {Robust Text-Dependent Speaker Verification via Character-Level Information Preservation for the SdSV Challenge 2020}, journal = {CoRR}, volume = {abs/2010.11408}, year = {2020}, url = {https://arxiv.org/abs/2010.11408}, eprinttype = {arXiv}, eprint = {2010.11408}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11408.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-2010-11433, author = {Sung Hwan Mun and Woo Hyun Kang and Min Hyun Han and Nam Soo Kim}, title = {Unsupervised Representation Learning for Speaker Recognition via Contrastive Equilibrium Learning}, journal = {CoRR}, volume = {abs/2010.11433}, year = {2020}, url = {https://arxiv.org/abs/2010.11433}, eprinttype = {arXiv}, eprint = {2010.11433}, timestamp = {Tue, 27 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-2010-11433.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/KangLLKN20, author = {HyungChul Kang and Joon{-}Woo Lee and Yongwoo Lee and Young{-}Sik Kim and Jong{-}Seon No}, title = {Bootstrapping on {SEAL}}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1594}, year = {2020}, url = {https://eprint.iacr.org/2020/1594}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/KangLLKN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iacr/LeeLKKN20, author = {Yongwoo Lee and Joon{-}Woo Lee and Young{-}Sik Kim and HyungChul Kang and Jong{-}Seon No}, title = {High-Precision Approximate Homomorphic Encryption by Error Variance Minimization}, journal = {{IACR} Cryptol. ePrint Arch.}, pages = {1549}, year = {2020}, url = {https://eprint.iacr.org/2020/1549}, timestamp = {Thu, 28 Dec 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/iacr/LeeLKKN20.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/BaekCKTP19, author = {Na Rae Baek and Se Woon Cho and Ja Hyung Koo and Noi Quang Truong and Kang Ryoung Park}, title = {Multimodal Camera-Based Gender Recognition Using Human-Body Image With Two-Step Reconstruction Network}, journal = {{IEEE} Access}, volume = {7}, pages = {104025--104044}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2932146}, doi = {10.1109/ACCESS.2019.2932146}, timestamp = {Wed, 21 Aug 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/BaekCKTP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/LeeLYKLBOOKYH19, author = {Wooseok Lee and Kang{-}Yoon Lee and Youngoo Yang and Hyunuk Kang and Hwiseob Lee and Jongseok Bae and Sungjae Oh and Hansik Oh and Hyungmo Koo and Jangsup Yoon and Keum{-}Cheol Hwang}, title = {High-Efficiency Stacked Power Amplifier {IC} With 23{\%} Fractional Bandwidth for Average Power Tracking Application}, journal = {{IEEE} Access}, volume = {7}, pages = {176658--176667}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2957608}, doi = {10.1109/ACCESS.2019.2957608}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/LeeLYKLBOOKYH19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/SharmaKDGKCK19, author = {Ankit Sharma and Binod Kumar Kanaujia and Santanu Dwari and Deepak Gangwar and Sachin Kumar and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Wideband High-Gain Circularly-Polarized Low {RCS} Dipole Antenna With a Frequency Selective Surface}, journal = {{IEEE} Access}, volume = {7}, pages = {156592--156602}, year = {2019}, url = {https://doi.org/10.1109/ACCESS.2019.2948176}, doi = {10.1109/ACCESS.2019.2948176}, timestamp = {Fri, 04 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/access/SharmaKDGKCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/JoSKYKKJONS19, author = {Wooyeon Jo and Yeonghun Shin and Hyungchan Kim and Dongkyun Yoo and Donghyun Kim and Cheulhoon Kang and Jongmin Jin and Jungkyung Oh and Bitna Na and Taeshik Shon}, title = {Digital Forensic Practices and Methodologies for {AI} Speaker Ecosystems}, journal = {Digit. Investig.}, volume = {29 Supplement}, pages = {S80--S93}, year = {2019}, url = {https://doi.org/10.1016/j.diin.2019.04.013}, doi = {10.1016/J.DIIN.2019.04.013}, timestamp = {Wed, 17 Nov 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/di/JoSKYKKJONS19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ett/VuDJNKKJC19, author = {Duc{-}Nghia Vu and Nhu{-}Ngoc Dao and Yongwoon Jang and Woongsoo Na and Young{-}Bin Kwon and Hyunchul Kang and Jason J. Jung and Sungrae Cho}, title = {Joint energy and latency optimization for upstream IoT offloading services in fog radio access networks}, journal = {Trans. Emerg. Telecommun. Technol.}, volume = {30}, number = {4}, year = {2019}, url = {https://doi.org/10.1002/ett.3497}, doi = {10.1002/ETT.3497}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ett/VuDJNKKJC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/icl/LimKCK19, author = {Dong{-}Woo Lim and Joonhyuk Kang and Chang{-}Jae Chun and Hyung{-}Myung Kim}, title = {Joint Transmit Power and Time-Switching Control for Device-to-Device Communications in {SWIPT} Cellular Networks}, journal = {{IEEE} Commun. Lett.}, volume = {23}, number = {2}, pages = {322--325}, year = {2019}, url = {https://doi.org/10.1109/LCOMM.2018.2883432}, doi = {10.1109/LCOMM.2018.2883432}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/icl/LimKCK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijahuc/YooKJY19, author = {WooJong Yoo and Minkoo Kang and Myeongju Ji and Jeong Hyun Yi}, title = {Automatic string deobfuscation scheme for mobile applications based on platform-level code extraction}, journal = {Int. J. Ad Hoc Ubiquitous Comput.}, volume = {31}, number = {3}, pages = {143--154}, year = {2019}, url = {https://doi.org/10.1504/IJAHUC.2019.10022342}, doi = {10.1504/IJAHUC.2019.10022342}, timestamp = {Thu, 12 Jan 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijahuc/YooKJY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijshc/YangLCKKLJ19, author = {Hyun{-}Mo Yang and Dong{-}Woo Lim and Yong{-}Sik Choi and Jin{-}Gu Kang and In{-}Hwan Kim and Ailing Lin and Jin{-}Woo Jung}, title = {Image-based human sperm counting method}, journal = {Int. J. Soc. Humanist. Comput.}, volume = {3}, number = {2}, pages = {148--157}, year = {2019}, url = {https://doi.org/10.1504/IJSHC.2019.101598}, doi = {10.1504/IJSHC.2019.101598}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijshc/YangLCKKLJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/internet/KimRPL19, author = {Kang{-}Min Kim and Woo{-}Jong Ryu and Jun{-}Hyung Park and SangKeun Lee}, title = {meChat: In-Device Personal Assistant for Conversational Photo Sharing}, journal = {{IEEE} Internet Comput.}, volume = {23}, number = {2}, pages = {23--30}, year = {2019}, url = {https://doi.org/10.1109/MIC.2018.2883059}, doi = {10.1109/MIC.2018.2883059}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/internet/KimRPL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jdi/KimKPKPCSLLLPWO19, author = {Kyuseok Kim and SeokYoon Kang and Chulkyu Park and Guna Kim and Soyoung Park and Hyosung Cho and C. W. Seo and Dongyeon Lee and Hyunwoo Lim and Hunwoo Lee and Jeongeun Park and Taeho Woo and Jieun Oh}, title = {A Compressed-Sensing Based Blind Deconvolution Method for Image Deblurring in Dental Cone-Beam Computed Tomography}, journal = {J. Digit. Imaging}, volume = {32}, number = {3}, pages = {478--488}, year = {2019}, url = {https://doi.org/10.1007/s10278-018-0120-9}, doi = {10.1007/S10278-018-0120-9}, timestamp = {Wed, 11 Sep 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jdi/KimKPKPCSLLLPWO19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimKDAKLKDLCPKP19, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Min{-}Su Ahn and Yong{-}Hun Kim and Yong Jae Lee and Dong{-}Seok Kang and Sung{-}Geun Do and Chang{-}Yong Lee and Gun{-}hee Cho and Jae{-}Koo Park and Jae{-}Sung Kim and Kyung{-}Bae Park and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Hyun{-}Soo Park and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Yong{-}Jun Kim and Young{-}Hun Seo and Chang{-}Ho Shin and ChanYong Lee and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byung{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {A 16-Gb, 18-Gb/s/pin {GDDR6} {DRAM} With Per-Bit Trainable Single-Ended {DFE} and PLL-Less Clocking}, journal = {{IEEE} J. Solid State Circuits}, volume = {54}, number = {1}, pages = {197--209}, year = {2019}, url = {https://doi.org/10.1109/JSSC.2018.2883395}, doi = {10.1109/JSSC.2018.2883395}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimKDAKLKDLCPKP19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jvis/HaKHCKKPCLKY19, author = {Hojin Ha and Heejun Kang and Hyungkyu Huh and Woorak Choi and Hyun Jung Koo and Jaeyoung Kwon and Kyoung Jin Park and Young Chul Cho and Sang Joon Lee and Namkug Kim and Dong Hyun Yang}, title = {Accuracy evaluation of blood flow distribution in the Fontan circulation: effects of resolution and velocity noise}, journal = {J. Vis.}, volume = {22}, number = {2}, pages = {245--257}, year = {2019}, url = {https://doi.org/10.1007/s12650-018-0536-9}, doi = {10.1007/S12650-018-0536-9}, timestamp = {Thu, 09 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jvis/HaKHCKKPCLKY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mbec/KimKKPLCKPKLLPJ19, author = {Kyuseok Kim and Seokyoon Kang and Woosung Kim and Chulkyu Park and Dongyeon Lee and Hyosung Cho and W. Kang and Soyoung Park and Guna Kim and Hyunwoo Lim and Hunwoo Lee and Jeongeun Park and D. Jeon and Y. Lim and T. Woo and Jieun Oh}, title = {A new software scheme for scatter correction based on a simple radiographic scattering model}, journal = {Medical Biol. Eng. Comput.}, volume = {57}, number = {2}, pages = {489--503}, year = {2019}, url = {https://doi.org/10.1007/s11517-018-1893-1}, doi = {10.1007/S11517-018-1893-1}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mbec/KimKKPLCKPKLLPJ19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/KimNKL19, author = {Wook{-}Hyung Kim and Seung{-}Hun Nam and Ji{-}Hyeon Kang and Heung{-}Kyu Lee}, title = {Robust watermarking in curvelet domain for preserving cleanness of high-quality images}, journal = {Multim. Tools Appl.}, volume = {78}, number = {12}, pages = {16887--16906}, year = {2019}, url = {https://doi.org/10.1007/s11042-018-6879-3}, doi = {10.1007/S11042-018-6879-3}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/KimNKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/KimHKK19, author = {Jongwoo Kim and Hyung{-}Taeg Han and Sungchul Kang and Chunwoo Kim}, title = {Development of Novel Bevel-Geared 5 mm Articulating Wrist for Micro-Laparoscopy Instrument}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {4}, number = {4}, pages = {3711--3718}, year = {2019}, url = {https://doi.org/10.1109/LRA.2019.2928779}, doi = {10.1109/LRA.2019.2928779}, timestamp = {Thu, 02 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ral/KimHKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/BaeYCKHLY19, author = {Jongseok Bae and Sang{-}Hwa Yi and Woojin Choi and Hyungmo Koo and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {5.8 GHz High-Efficiency {RF-DC} Converter Based on Common-Ground Multiple-Stack Structure}, journal = {Sensors}, volume = {19}, number = {15}, pages = {3257}, year = {2019}, url = {https://doi.org/10.3390/s19153257}, doi = {10.3390/S19153257}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/BaeYCKHLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KangK19, author = {Woo Hyun Kang and Nam Soo Kim}, title = {Adversarially Learned Total Variability Embedding for Speaker Recognition with Random Digit Strings}, journal = {Sensors}, volume = {19}, number = {21}, pages = {4709}, year = {2019}, url = {https://doi.org/10.3390/s19214709}, doi = {10.3390/S19214709}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KangK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KangLLOLKPHLY19, author = {Hyunuk Kang and Hwiseob Lee and Wooseok Lee and Hansik Oh and Wonseob Lim and Hyungmo Koo and Cheon{-}Seok Park and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Octave Bandwidth Doherty Power Amplifier Using Multiple Resonance Circuit for the Peaking Amplifier}, journal = {{IEEE} Trans. Circuits Syst. {I} Regul. Pap.}, volume = {66-I}, number = {2}, pages = {583--593}, year = {2019}, url = {https://doi.org/10.1109/TCSI.2018.2869905}, doi = {10.1109/TCSI.2018.2869905}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KangLLOLKPHLY19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tmi/ParkWKKLCKKKK19, author = {Ji Ae Park and Eung Je Woo and Kyeung Jun Kang and In Ok Ko and Kyo Chul Lee and Bup Kyung Choi and Nitish Katoch and Jin Woong Kim and Hyung Joong Kim and Ohin Kwon}, title = {In Vivo Measurement of Brain Tissue Response After Irradiation: Comparison of {T2} Relaxation, Apparent Diffusion Coefficient, and Electrical Conductivity}, journal = {{IEEE} Trans. Medical Imaging}, volume = {38}, number = {12}, pages = {2779--2784}, year = {2019}, url = {https://doi.org/10.1109/TMI.2019.2913766}, doi = {10.1109/TMI.2019.2913766}, timestamp = {Thu, 18 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tmi/ParkWKKLCKKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wcl/LimKK19, author = {Dong{-}Woo Lim and Joonhyuk Kang and Hyung{-}Myung Kim}, title = {Adaptive Power Control for {D2D} Communications in Downlink {SWIPT} Networks With Partial {CSI}}, journal = {{IEEE} Wirel. Commun. Lett.}, volume = {8}, number = {5}, pages = {1333--1336}, year = {2019}, url = {https://doi.org/10.1109/LWC.2019.2916352}, doi = {10.1109/LWC.2019.2916352}, timestamp = {Wed, 01 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wcl/LimKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsys/KimKKLP019, author = {June{-}Hyung Kim and Jangwoong Kim and Hyeongu Kang and Chang{-}Gyu Lee and Sungyong Park and Youngjae Kim}, title = {pNOVA: Optimizing Shared File {I/O} Operations of {NVM} File System on Manycore Servers}, booktitle = {Proceedings of the 10th {ACM} {SIGOPS} Asia-Pacific Workshop on Systems, APSys 2019, Hangzhou, China, Augsut 19-20, 2019}, pages = {1--7}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3343737.3343748}, doi = {10.1145/3343737.3343748}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/apsys/KimKKLP019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/LeeLCJKSSNK19, author = {Hyungwoo Lee and Jin San Lee and Yeongu Chung and Woo Ram Jung and Joonseong Kang and Dae{-}Won Seo and Young{-}Min Shon and Duk L. Na and Sang Joon Kim}, title = {A minimally invasive wirelessly powered brain stimulation system for treating neurological disorders}, booktitle = {41st Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2019, Berlin, Germany, July 23-27, 2019}, pages = {6141--6144}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/EMBC.2019.8857038}, doi = {10.1109/EMBC.2019.8857038}, timestamp = {Sat, 30 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/LeeLCJKSSNK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccvw/IgnatovLZWLHHSU19, author = {Andrey Ignatov and Juncheng Li and Jiajie Zhang and Haoyu Wu and Jie Li and Rui Huang and Muhammad Haris and Greg Shakhnarovich and Norimichi Ukita and Yuzhi Zhao and Lai{-}Man Po and Radu Timofte and Tiantian Zhang and Zongbang Liao and Xiang Shi and Yujia Zhang and Weifeng Ou and Pengfei Xian and Jingjing Xiong and Chang Zhou and Wing Yin Yu and Yubin Yubin and Sung{-}Jea Ko and Bingxin Hou and Bumjun Park and Songhyun Yu and Sangmin Kim and Jechang Jeong and Seung{-}Wook Kim and Kwang{-}Hyun Uhm and Seo{-}Won Ji and Sung{-}Jin Cho and Jun{-}Pyo Hong and Kangfu Mei}, title = {{AIM} 2019 Challenge on {RAW} to {RGB} Mapping: Methods and Results}, booktitle = {2019 {IEEE/CVF} International Conference on Computer Vision Workshops, {ICCV} Workshops 2019, Seoul, Korea (South), October 27-28, 2019}, pages = {3584--3590}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ICCVW.2019.00443}, doi = {10.1109/ICCVW.2019.00443}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccvw/IgnatovLZWLHHSU19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeKKKK19, author = {Hyeon Seung Lee and Hyung Yong Kim and Woo Hyun Kang and Jeunghun Kim and Nam Soo Kim}, editor = {Gernot Kubin and Zdravko Kacic}, title = {End-to-End Multi-Channel Speech Enhancement Using Inter-Channel Time-Restricted Attention on Raw Waveform}, booktitle = {20th Annual Conference of the International Speech Communication Association, Interspeech 2019, Graz, Austria, September 15-19, 2019}, pages = {4285--4289}, publisher = {{ISCA}}, year = {2019}, url = {https://doi.org/10.21437/Interspeech.2019-2397}, doi = {10.21437/INTERSPEECH.2019-2397}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeKKKK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangKJJPCSKKKLK19, author = {Dongku Kang and Minsu Kim and Suchang Jeon and Wontaeck Jung and Jooyong Park and Gyo Soo Choo and Dong{-}Kyo Shim and Anil Kavala and Seungbum Kim and Kyung{-}Min Kang and Jiyoung Lee and Kuihan Ko and Hyun Wook Park and ByungJun Min and Changyeon Yu and Sewon Yun and Nahyun Kim and Yeonwook Jung and Sungwhan Seo and Sunghoon Kim and Moo Kyung Lee and Joo{-}Yong Park and James C. Kim and Young San Cha and Kwangwon Kim and Youngmin Jo and Hyun{-}Jin Kim and Youngdon Choi and Jindo Byun and Ji{-}hyun Park and Kiwon Kim and Tae{-}Hong Kwon and Young{-}Sun Min and Chiweon Yoon and Youngcho Kim and Dong{-}Hun Kwak and Eungsuk Lee and Wook{-}Ghee Hahn and Ki{-}Sung Kim and Kyungmin Kim and Euisang Yoon and Wontae Kim and Inryul Lee and Seunghyun Moon and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Ki{-}Whan Song and Sangjoon Hwang and Kyehyun Kyung}, title = {A 512Gb 3-bit/Cell 3D 6\({}^{\mbox{th}}\)-Generation {V-NAND} Flash Memory with 82MB/s Write Throughput and 1.2Gb/s Interface}, booktitle = {{IEEE} International Solid- State Circuits Conference, {ISSCC} 2019, San Francisco, CA, USA, February 17-21, 2019}, pages = {216--218}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/ISSCC.2019.8662493}, doi = {10.1109/ISSCC.2019.8662493}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangKJJPCSKKKLK19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/JungLC19, author = {Hyungkun Jung and Kang{-}Woo Lee and Eun{-}Sun Cho}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {Outlier Detection for Ship Trajectory Prediction}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {588--589}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3328639}, doi = {10.1145/3307334.3328639}, timestamp = {Mon, 17 Jun 2019 08:39:32 +0200}, biburl = {https://dblp.org/rec/conf/mobisys/JungLC19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mobisys/LeeRALKP019, author = {Jungho Lee and Woo{-}Jong Ryu and Yoonjoo Ahn and Song{-}Eun Lee and Kang{-}Min Kim and Jun{-}Hyung Park and SangKeun Lee}, editor = {Junehwa Song and Minkyong Kim and Nicholas D. Lane and Rajesh Krishna Balan and Fahim Kawsar and Yunxin Liu}, title = {meChat: In-device Conversational Photo Sharing Service}, booktitle = {Proceedings of the 17th Annual International Conference on Mobile Systems, Applications, and Services, MobiSys 2019, Seoul, Republic of Korea, June 17-21, 2019}, pages = {655--656}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3307334.3328568}, doi = {10.1145/3307334.3328568}, timestamp = {Sun, 12 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/mobisys/LeeRALKP019.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sac/LeeRKL19, author = {Jung{-}Hyun Lee and Woo{-}Jong Ryu and Kang{-}Min Kim and SangKeun Lee}, editor = {Chih{-}Cheng Hung and George A. Papadopoulos}, title = {MoCA: a novel privacy-preserving contextual advertising platform on mobile devices}, booktitle = {Proceedings of the 34th {ACM/SIGAPP} Symposium on Applied Computing, {SAC} 2019, Limassol, Cyprus, April 8-12, 2019}, pages = {1208--1215}, publisher = {{ACM}}, year = {2019}, url = {https://doi.org/10.1145/3297280.3297399}, doi = {10.1145/3297280.3297399}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sac/LeeRKL19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/WooSLLLKCM19, author = {Seungjun Woo and Jinjae Shin and Yoon Haeng Lee and Young Hun Lee and Hyunyong Lee and Hansol Kang and Hyouk Ryeol Choi and Hyungpil Moon}, title = {Stair-mapping with Point-cloud Data and Stair-modeling for Quadruped Robot}, booktitle = {16th International Conference on Ubiquitous Robots, {UR} 2019, Jeju, South Korea, June 24-27, 2019}, pages = {81--86}, publisher = {{IEEE}}, year = {2019}, url = {https://doi.org/10.1109/URAI.2019.8768786}, doi = {10.1109/URAI.2019.8768786}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/WooSLLLKCM19.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1903-01618, author = {Hyun{-}Jae Kang and Jae{-}wook Jang and Aziz Mohaisen and Huy Kang Kim}, title = {Detecting and Classifying Android Malware using Static Analysis along with Creator Information}, journal = {CoRR}, volume = {abs/1903.01618}, year = {2019}, url = {http://arxiv.org/abs/1903.01618}, eprinttype = {arXiv}, eprint = {1903.01618}, timestamp = {Sat, 30 Mar 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1903-01618.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1906-09456, author = {Hye Min Kim and Hyun Min Song and Jae Woo Seo and Huy Kang Kim}, title = {Andro-Simnet: Android Malware Family Classification Using Social Network Analysis}, journal = {CoRR}, volume = {abs/1906.09456}, year = {2019}, url = {http://arxiv.org/abs/1906.09456}, eprinttype = {arXiv}, eprint = {1906.09456}, timestamp = {Thu, 27 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1906-09456.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1910-09275, author = {Won{-}Ik Cho and Jeonghwa Cho and Woo Hyun Kang and Nam Soo Kim}, title = {Disambiguating Speech Intention via Audio-Text Co-attention Framework: {A} Case of Prosody-semantics Interface}, journal = {CoRR}, volume = {abs/1910.09275}, year = {2019}, url = {http://arxiv.org/abs/1910.09275}, eprinttype = {arXiv}, eprint = {1910.09275}, timestamp = {Tue, 22 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1910-09275.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KimKCBP18, author = {Min{-}Cheol Kim and Ja Hyung Koo and Se Woon Cho and Na Rae Baek and Kang Ryoung Park}, title = {Convolutional Neural Network-Based Periocular Recognition in Surveillance Environments}, journal = {{IEEE} Access}, volume = {6}, pages = {57291--57310}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2874056}, doi = {10.1109/ACCESS.2018.2874056}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KimKCBP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/access/KwonWKK18, author = {Hyun{-}jeong Kwon and Mingyu Woo and Young Hwan Kim and Seokhyeong Kang}, title = {Statistical Leakage Analysis Using Gaussian Mixture Model}, journal = {{IEEE} Access}, volume = {6}, pages = {51939--51950}, year = {2018}, url = {https://doi.org/10.1109/ACCESS.2018.2870528}, doi = {10.1109/ACCESS.2018.2870528}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/access/KwonWKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcim/JeongKK18, author = {Hong Jin Jeong and Chang Wook Kang and Bo Hyun Kim}, title = {Requirements development process for manufacturing information systems based on quality function deployment}, journal = {Int. J. Comput. Integr. Manuf.}, volume = {31}, number = {2}, pages = {164--174}, year = {2018}, url = {https://doi.org/10.1080/0951192X.2017.1407448}, doi = {10.1080/0951192X.2017.1407448}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcim/JeongKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KangK18, author = {Hyung{-}Woo Kang and Seok{-}Joo Koh}, title = {Enhanced group communication in constrained application protocol-based Internet-of-things networks}, journal = {Int. J. Distributed Sens. Networks}, volume = {14}, number = {4}, year = {2018}, url = {https://doi.org/10.1177/1550147718772793}, doi = {10.1177/1550147718772793}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/KangK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/ChonH018, author = {Kang{-}Wook Chon and Sang{-}Hyun Hwang and Min{-}Soo Kim}, title = {GMiner: {A} fast GPU-based frequent itemset mining method for large-scale data}, journal = {Inf. Sci.}, volume = {439-440}, pages = {19--38}, year = {2018}, url = {https://doi.org/10.1016/j.ins.2018.01.046}, doi = {10.1016/J.INS.2018.01.046}, timestamp = {Mon, 03 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/isci/ChonH018.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangHKR18, author = {Hyun{-}Wook Kang and Hyeok{-}Ki Hong and Wan Kim and Seung{-}Tak Ryu}, title = {A Time-Interleaved 12-b 270-MS/s {SAR} {ADC} With Virtual-Timing-Reference Timing-Skew Calibration Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {53}, number = {9}, pages = {2584--2594}, year = {2018}, url = {https://doi.org/10.1109/JSSC.2018.2843360}, doi = {10.1109/JSSC.2018.2843360}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangHKR18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mta/JangHBHNNNLP18, author = {Jae Woong Jang and Hwan Heo and Jae Won Bang and Hyung Gil Hong and Rizwan Ali Naqvi and Phong Ha Nguyen and Dat Tien Nguyen and Min Beom Lee and Kang Ryoung Park}, title = {Fuzzy-based estimation of continuous Z-distances and discrete directions of home appliances for {NIR} camera-based gaze tracking system}, journal = {Multim. Tools Appl.}, volume = {77}, number = {10}, pages = {11925--11955}, year = {2018}, url = {https://doi.org/10.1007/s11042-017-4842-3}, doi = {10.1007/S11042-017-4842-3}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/mta/JangHBHNNNLP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/qip/KangHHYHM18, author = {Min{-}Sung Kang and Jino Heo and Chang{-}ho Hong and Hyung Jin Yang and Sang{-}Wook Han and Sung Moon}, title = {Controlled mutual quantum entity authentication with an untrusted third party}, journal = {Quantum Inf. Process.}, volume = {17}, number = {7}, pages = {159}, year = {2018}, url = {https://doi.org/10.1007/s11128-018-1927-5}, doi = {10.1007/S11128-018-1927-5}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/qip/KangHHYHM18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChoBKKKP18, author = {Se Woon Cho and Na Rae Baek and Min{-}Cheol Kim and Ja Hyung Koo and Jong Hyun Kim and Kang Ryoung Park}, title = {Face Detection in Nighttime Images Using Visible-Light Camera Sensors with Two-Step Faster Region-Based Convolutional Neural Network}, journal = {Sensors}, volume = {18}, number = {9}, pages = {2995}, year = {2018}, url = {https://doi.org/10.3390/s18092995}, doi = {10.3390/S18092995}, timestamp = {Sat, 24 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChoBKKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KooCBKP18, author = {Ja Hyung Koo and Se Woon Cho and Na Rae Baek and Min{-}Cheol Kim and Kang Ryoung Park}, title = {CNN-Based Multimodal Human Recognition in Surveillance Environments}, journal = {Sensors}, volume = {18}, number = {9}, pages = {3040}, year = {2018}, url = {https://doi.org/10.3390/s18093040}, doi = {10.3390/S18093040}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KooCBKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeJKWYK18, author = {Euiyeon Lee and Hyunjin Jeon and Chungwon Kang and Seonock Woo and Seungshic Yum and Youngeun Kwon}, title = {Detection of Metallothionein in Javanese Medaka (\emph{Oryzias javanicus}), Using a scFv-Immobilized Protein Chip}, journal = {Sensors}, volume = {18}, number = {4}, pages = {1069}, year = {2018}, url = {https://doi.org/10.3390/s18041069}, doi = {10.3390/S18041069}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/LeeJKWYK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/Mohy-ud-DinKCK18, author = {Hassan Mohy{-}ud{-}Din and Dong Hwi Kim and Hyun{-}Chul Choi and Kang Wook Kim}, title = {Comparative Study of Square and Circular Loop Frequency Selective Surfaces for Millimeter-Wave Imaging Diagnostics Systems}, journal = {Sensors}, volume = {18}, number = {9}, pages = {3079}, year = {2018}, url = {https://doi.org/10.3390/s18093079}, doi = {10.3390/S18093079}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/Mohy-ud-DinKCK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/ChoiOLK18, author = {Inhyuk Choi and Hyunggoy Oh and Young{-}Woo Lee and Sungho Kang}, title = {Test Resource Reused Debug Scheme to Reduce the Post-Silicon Debug Cost}, journal = {{IEEE} Trans. Computers}, volume = {67}, number = {12}, pages = {1835--1839}, year = {2018}, url = {https://doi.org/10.1109/TC.2018.2835462}, doi = {10.1109/TC.2018.2835462}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tc/ChoiOLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KangKE18, author = {Donghyun Kang and Woon{-}Hak Kang and Young Ik Eom}, title = {{S-WAL:} Fast and Efficient Write-Ahead Logging for Mobile Devices}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {64}, number = {3}, pages = {319--327}, year = {2018}, url = {https://doi.org/10.1109/TCE.2018.2859630}, doi = {10.1109/TCE.2018.2859630}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KangKE18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/KangOHKPL18, author = {Taewook Kang and Kwang{-}Il Oh and Jung{-}Hwan Hwang and Sungeun Kim and Hyungil Park and Jaejin Lee}, title = {Measurement and Analysis of Electric Signal Transmission Using Human Body as Medium for {WBAN} Applications}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {67}, number = {3}, pages = {527--537}, year = {2018}, url = {https://doi.org/10.1109/TIM.2017.2783059}, doi = {10.1109/TIM.2017.2783059}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/KangOHKPL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/KangHHLL18, author = {Jiwoo Kang and Suwoong Heo and Woo Jin Hyung and Joon Seok Lim and Sanghoon Lee}, title = {3D Active Vessel Tracking Using an Elliptical Prior}, journal = {{IEEE} Trans. Image Process.}, volume = {27}, number = {12}, pages = {5933--5946}, year = {2018}, url = {https://doi.org/10.1109/TIP.2018.2862346}, doi = {10.1109/TIP.2018.2862346}, timestamp = {Thu, 04 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/KangHHLL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/OhK18, author = {Hyun Seo Oh and Do Wook Kang}, title = {Performance Analysis on Channel Estimation with Antenna Diversity of {OFDM} Reception in Multi-path Fast Fading Channel}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {3}, pages = {2423--2431}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5919-7}, doi = {10.1007/S11277-018-5919-7}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/OhK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/OhK18a, author = {Hyun Seo Oh and Do Wook Kang}, title = {Correction to: Performance Analysis on Channel Estimation with Antenna Diversity of {OFDM} Reception in Multi-path Fast Fading Channel}, journal = {Wirel. Pers. Commun.}, volume = {103}, number = {3}, pages = {2433}, year = {2018}, url = {https://doi.org/10.1007/s11277-018-5922-z}, doi = {10.1007/S11277-018-5922-Z}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/OhK18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/LeeKLK18, author = {Kang Hyun Lee and Woo Hyun Kang and Hyeon Seung Lee and Nam Soo Kim}, title = {Stochastic {DNN-HMM} Training for Robust {ASR}}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} {ASC} 2018, Honolulu, HI, USA, November 12-15, 2018}, pages = {177--182}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/APSIPA.2018.8659707}, doi = {10.23919/APSIPA.2018.8659707}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/LeeKLK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/MoonYCPKKCKLSHS18, author = {Joung{-}Wook Moon and Hye{-}Sung Yoo and Hundai Choi and Il{-}Won Park and Seok{-}Yong Kang and Jun{-}Bae Kim and Haeyoung Chung and Kiho Kim and Dong{-}Hun Lee and Ki{-}Jae Song and Seok{-}Hun Hyun and Indal Song and Young{-}Soo Sohn and Yong{-}Ho Cho and Jung{-}Hwan Choi and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {An Enhanced Built-off-Test Transceiver with Wide-range, Self-calibration Engine for 3.2 Gb/s/pin {DDR4} {SDRAM}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2018, Tainan, Taiwan, November 5-7, 2018}, pages = {139--142}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ASSCC.2018.8579329}, doi = {10.1109/ASSCC.2018.8579329}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/MoonYCPKKCKLSHS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/avss/LyuCDLWCCSMDCBG18, author = {Siwei Lyu and Ming{-}Ching Chang and Dawei Du and Wenbo Li and Yi Wei and Marco Del Coco and Pierluigi Carcagn{\`{\i}} and Arne Schumann and Bharti Munjal and Dinh{-}Quoc{-}Trung Dang and Doo{-}Hyun Choi and Erik Bochinski and Fabio Galasso and Filiz Bunyak and Guna Seetharaman and Jang{-}Woon Baek and Jong Taek Lee and Kannappan Palaniappan and Kil{-}Taek Lim and Kiyoung Moon and Kwang{-}Ju Kim and Lars Sommer and Meltem Brandlmaier and Minsung Kang and Moongu Jeon and Noor M. Al{-}Shakarji and Oliver Acatay and Pyong{-}Kun Kim and Sikandar Amin and Thomas Sikora and Tien Ba Dinh and Tobias Senst and Vu{-}Gia{-}Hy Che and Young{-}Chul Lim and Young{-}min Song and Yun{-}Su Chung}, title = {{UA-DETRAC} 2018: Report of {AVSS2018} {\&} {IWT4S} Challenge on Advanced Traffic Monitoring}, booktitle = {15th {IEEE} International Conference on Advanced Video and Signal Based Surveillance, {AVSS} 2018, Auckland, New Zealand, November 27-30, 2018}, pages = {1--6}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/AVSS.2018.8639089}, doi = {10.1109/AVSS.2018.8639089}, timestamp = {Tue, 23 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/avss/LyuCDLWCCSMDCBG18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/debs/JungLCC18, author = {Hyungkun Jung and Kang{-}Woo Lee and Joong{-}Hyun Choi and Eun{-}Sun Cho}, editor = {Annika Hinze and David M. Eyers and Martin Hirzel and Matthias Weidlich and Sukanya Bhowmik}, title = {Bayesian Estimation of Vessel Destination and Arrival Times}, booktitle = {Proceedings of the 12th {ACM} International Conference on Distributed and Event-based Systems, {DEBS} 2018, Hamilton, New Zealand, June 25-29, 2018}, pages = {195--197}, publisher = {{ACM}}, year = {2018}, url = {https://doi.org/10.1145/3210284.3220501}, doi = {10.1145/3210284.3220501}, timestamp = {Fri, 26 May 2023 07:40:34 +0200}, biburl = {https://dblp.org/rec/conf/debs/JungLCC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dyspan/ParkPSSWYLCCHKP18, author = {Giseung Park and Sangwoo Park and Junyeong Seo and Jungho So and Woowan Wang and Seungmin Yoo and Seung{-}Chan Lim and Jihoon Chung and Myungsik Cho and Daehan Ha and Joonhyuk Kang and Hyuncheol Park and Youngchul Sung}, title = {5G K-Simulator: Link Level Simulator for 5G}, booktitle = {2018 {IEEE} International Symposium on Dynamic Spectrum Access Networks, DySPAN 2018, Seoul, Korea (South), October 22-25, 2018}, pages = {1--2}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/DySPAN.2018.8610463}, doi = {10.1109/DYSPAN.2018.8610463}, timestamp = {Tue, 16 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dyspan/ParkPSSWYLCCHKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/HyunLKP18a, author = {Wook Hyun and Chang{-}Kyu Lee and ShinGak Kang and Juyoung Park}, title = {Buffermap adaptation method for {MP2P} multimedia streaming protocol}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {701--704}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICACT.2018.8323888}, doi = {10.23919/ICACT.2018.8323888}, timestamp = {Tue, 22 Mar 2022 11:28:01 +0100}, biburl = {https://dblp.org/rec/conf/icact/HyunLKP18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/KangKLM18a, author = {Mi{-}Seon Kang and Hyun{-}Woo Kang and Cheolhyo Lee and Kiyoung Moon}, title = {The gesture recognition technology based on {IMU} sensor for personal active spinning}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {546--552}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICACT.2018.8323826}, doi = {10.23919/ICACT.2018.8323826}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/KangKLM18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icact/LeeHK18c, author = {Changkyu Lee and Wook Hyun and Shin{-}Gak Kang}, title = {Method of managed content distribution for time-constrained distribution}, booktitle = {20th International Conference on Advanced Communication Technology, {ICACT} 2018, Elysian Gangchon, Chuncheon, Korea (South), February 11-14, 2018}, pages = {705--708}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.23919/ICACT.2018.8323890}, doi = {10.23919/ICACT.2018.8323890}, timestamp = {Tue, 22 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icact/LeeHK18c.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intellisys/KimKKL18, author = {Tae Woo Kim and Hyung Heon Kim and Pyeong Kang Kim and Yu Na Lee}, editor = {Kohei Arai and Supriya Kapoor and Rahul Bhatia}, title = {Intelligent System Design for Massive Collection and Recognition of Faces in Integrated Control Centres}, booktitle = {Intelligent Systems and Applications - Proceedings of the 2018 Intelligent Systems Conference, IntelliSys 2018, London, UK, September 6-7, 2018, Volume 1}, series = {Advances in Intelligent Systems and Computing}, volume = {868}, pages = {382--387}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01054-6\_27}, doi = {10.1007/978-3-030-01054-6\_27}, timestamp = {Mon, 18 Feb 2019 09:18:28 +0100}, biburl = {https://dblp.org/rec/conf/intellisys/KimKKL18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/intellisys/KimKKL18a, author = {Pyeong Kang Kim and Hyung Heon Kim and Tae Woo Kim and Yu Na Lee}, editor = {Kohei Arai and Supriya Kapoor and Rahul Bhatia}, title = {The Intelligent System Design for Eliminating Blind Spots of {PTZ} Controlled {CCTV} with Raspberry Pi and Multiple Pi Cameras}, booktitle = {Intelligent Systems and Applications - Proceedings of the 2018 Intelligent Systems Conference, IntelliSys 2018, London, UK, September 6-7, 2018, Volume 2}, series = {Advances in Intelligent Systems and Computing}, volume = {869}, pages = {107--112}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01057-7\_9}, doi = {10.1007/978-3-030-01057-7\_9}, timestamp = {Mon, 18 Feb 2019 09:19:23 +0100}, biburl = {https://dblp.org/rec/conf/intellisys/KimKKL18a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iros/ParkLJKKLCLMCS18, author = {Daegil Park and Yeongjun Lee and Kwangyik Jung and Hyeong{-}Joo Kang and Hyeonseung Ki and Jeong{-}Woo Lee and Young{-}Ho Choi and Ji{-}Hong Li and Hyun Myung and Hyun{-}Taek Choi and Jin{-}Ho Suh}, title = {Autonomous Underwater Vehicle Navigation in Structured Environment}, booktitle = {2018 {IEEE/RSJ} International Conference on Intelligent Robots and Systems, {IROS} 2018, Madrid, Spain, October 1-5, 2018}, pages = {5039}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/IROS.2018.8594429}, doi = {10.1109/IROS.2018.8594429}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/iros/ParkLJKKLCLMCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/CheongYWHKLCKKY18, author = {Wooseong Cheong and Chanho Yoon and Seonghoon Woo and Kyuwook Han and Daehyun Kim and Chulseung Lee and Youra Choi and Shine Kim and Dongku Kang and Geunyeong Yu and Jaehong Kim and Jaechun Park and Ki{-}Whan Song and Ki{-}Tae Park and Sangyeun Cho and Hwaseok Oh and Daniel D. G. Lee and Jin{-}Hyeok Choi and Jaeheon Jeong}, title = {A flash memory controller for 15{\(\mu\)}s ultra-low-latency {SSD} using high-speed 3D {NAND} flash with 3{\(\mu\)}s read time}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {338--340}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310322}, doi = {10.1109/ISSCC.2018.8310322}, timestamp = {Thu, 15 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/CheongYWHKLCKKY18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChooKKKKK18, author = {Kangyeop Choo and Hyunik Kim and Wooseok Kim and Jihyun F. Kim and Taeik Kim and Hyung Jong Ko}, title = {A 0.02mm\({}^{\mbox{2}}\) fully synthesizable period-jitter sensor using stochastic {TDC} without reference clock and calibration in 10nm {CMOS} technology}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {120--122}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310213}, doi = {10.1109/ISSCC.2018.8310213}, timestamp = {Wed, 14 Mar 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChooKKKKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChunCHKKYKLKYSC18, author = {Ki Chul Chun and Yonggyu Chu and Jin{-}Seok Heo and Tae{-}Sung Kim and Soohwan Kim and Hui{-}Kap Yang and Mi{-}Jo Kim and Chang{-}Kyo Lee and Ju{-}Hwan Kim and Hyunchul Yoon and Chang{-}Ho Shin and Sang{-}uhn Cha and Hyung{-}Jin Kim and Young{-}Sik Kim and Kyungryun Kim and Young{-}Ju Kim and Won{-}Jun Choi and Daesik Yim and Inkyu Moon and Young{-}Ju Kim and Junha Lee and Young Choi and Yongmin Kwon and Sung{-}Won Choi and Jung{-}Wook Kim and Yoon{-}Suk Park and Woongdae Kang and Jinil Chung and Seunghyun Kim and Yesin Ryu and Seong{-}Jin Cho and Hoon Shin and Hangyun Jung and Sanghyuk Kwon and Kyuchang Kang and Jongmyung Lee and Yujung Song and Youngjae Kim and Eun{-}Ah Kim and Kyung{-}Soo Ha and Kyoung{-}Ho Kim and Seok{-}Hun Hyun and Seung{-}Bum Ko and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb {LPDDR4X} {SDRAM} with an NBTI-tolerant circuit solution, an {SWD} {PMOS} {GIDL} reduction technique, an adaptive gear-down scheme and a metastable-free {DQS} aligner in a 10nm class {DRAM} process}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {206--208}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310256}, doi = {10.1109/ISSCC.2018.8310256}, timestamp = {Tue, 09 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ChunCHKKYKLKYSC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKDEKAKJDLKKP18, author = {Young{-}Ju Kim and Hye{-}Jung Kwon and Su{-}Yeon Doo and Yoon{-}Joo Eom and Young{-}Sik Kim and Min{-}Su Ahn and Yong{-}Hun Kim and Sang{-}Hoon Jung and Sung{-}Geun Do and Chang{-}Yong Lee and Jae{-}Sung Kim and Dong{-}Seok Kang and Kyung{-}Bae Park and Jung{-}Bum Shin and Jong{-}Ho Lee and Seung{-}Hoon Oh and Sang{-}Yong Lee and Ji{-}Hak Yu and Ji{-}Suk Kwon and Ki{-}Hun Yu and Chul{-}Hee Jeon and Sang{-}Sun Kim and Min{-}Woo Won and Gun{-}hee Cho and Hyun{-}Soo Park and Hyung{-}Kyu Kim and Jeong{-}Woo Lee and Seung{-}Hyun Cho and Keon{-}Woo Park and Jae{-}Koo Park and Yong Jae Lee and Yong{-}Jun Kim and Young{-}Hun Seo and Beob{-}Rae Cho and Chang{-}Ho Shin and ChanYong Lee and YoungSeok Lee and Yoon{-}Gue Song and Sam{-}Young Bang and Youn{-}Sik Park and Seouk{-}Kyu Choi and Byeong{-}Cheol Kim and Gong{-}Heum Han and Seung{-}Jun Bae and Hyuk{-}Jun Kwon and Jung{-}Hwan Choi and Young{-}Soo Sohn and Kwang{-}Il Park and Seong{-}Jin Jang}, title = {A 16Gb 18Gb/S/pin {GDDR6} {DRAM} with per-bit trainable single-ended {DFE} and PLL-less clocking}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {204--206}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310255}, doi = {10.1109/ISSCC.2018.8310255}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKDEKAKJDLKKP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimPYKHCKCLLKLC18, author = {Kiduk Kim and Seunghyun Park and Kye{-}Seok Yoon and Gyeong{-}Gu Kang and Hyun{-}Ki Han and Ji{-}Su Choi and Min{-}Woo Ko and Jeong{-}Hyun Cho and Sangjin Lim and Hyung{-}Min Lee and Hyunsik Kim and Kwyro Lee and Gyu{-}Hyeong Cho}, title = {A 100mK-NETD 100ms-startup-time 80{\texttimes}60 micro-bolometer {CMOS} thermal imager integrated with a 0.234mm\({}^{\mbox{2}}\) 1.89{\(\mu\)}Vrms noise 12b biasing {DAC}}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {192--194}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310249}, doi = {10.1109/ISSCC.2018.8310249}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimPYKHCKCLLKLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KoKWSHHKCLPLC18, author = {Min{-}Woo Ko and Kiduk Kim and Young{-}Jin Woo and Se{-}Un Shin and Hyun{-}Ki Han and Yeunhee Huh and Gyeong{-}Gu Kang and Jeong{-}Hyun Cho and Sang{-}Jin Lim and Se{-}Hong Park and Hyung{-}Min Lee and Gyu{-}Hyeong Cho}, title = {A 97{\%} high-efficiency 6{\(\mu\)}s fast-recovery-time buck-based step-up/down converter with embedded 1/2 and 3/2 charge-pumps for li-lon battery management}, booktitle = {2018 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2018, San Francisco, CA, USA, February 11-15, 2018}, pages = {428--430}, publisher = {{IEEE}}, year = {2018}, url = {https://doi.org/10.1109/ISSCC.2018.8310367}, doi = {10.1109/ISSCC.2018.8310367}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KoKWSHHKCLPLC18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miccai/KimLKNKLAPLKLPP18, author = {Geena Kim and June{-}Goo Lee and Soo{-}Jin Kang and Paul Ngyuen and Do{-}Yoon Kang and Pil Hyung Lee and Jung{-}Min Ahn and Duk{-}Woo Park and Seung{-}Whan Lee and Young{-}Hak Kim and Cheol Whan Lee and Seong{-}Wook Park and Seung{-}Jung Park}, editor = {Danail Stoyanov and Zeike Taylor and Simone Balocco and Raphael Sznitman and Anne L. Martel and Lena Maier{-}Hein and Luc Duong and Guillaume Zahnd and Stefanie Demirci and Shadi Albarqouni and Su{-}Lin Lee and Stefano Moriconi and Veronika Cheplygina and Diana Mateus and Emanuele Trucco and Eric Granger and Pierre Jannin}, title = {Prediction of {FFR} from {IVUS} Images Using Machine Learning}, booktitle = {Intravascular Imaging and Computer Assisted Stenting - and - Large-Scale Annotation of Biomedical Data and Expert Label Synthesis - 7th Joint International Workshop, {CVII-STENT} 2018 and Third International Workshop, {LABELS} 2018, Held in Conjunction with {MICCAI} 2018, Granada, Spain, September 16, 2018, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {11043}, pages = {73--81}, publisher = {Springer}, year = {2018}, url = {https://doi.org/10.1007/978-3-030-01364-6\_9}, doi = {10.1007/978-3-030-01364-6\_9}, timestamp = {Sun, 25 Jul 2021 11:52:41 +0200}, biburl = {https://dblp.org/rec/conf/miccai/KimLKNKLAPLKLPP18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nips/AhnLCS18, author = {Kwangjun Ahn and Kangwook Lee and Hyunseung Cha and Changho Suh}, editor = {Samy Bengio and Hanna M. Wallach and Hugo Larochelle and Kristen Grauman and Nicol{\`{o}} Cesa{-}Bianchi and Roman Garnett}, title = {Binary Rating Estimation with Graph Side Information}, booktitle = {Advances in Neural Information Processing Systems 31: Annual Conference on Neural Information Processing Systems 2018, NeurIPS 2018, December 3-8, 2018, Montr{\'{e}}al, Canada}, pages = {4277--4288}, year = {2018}, url = {https://proceedings.neurips.cc/paper/2018/hash/0b1ec366924b26fc98fa7b71a9c249cf-Abstract.html}, timestamp = {Mon, 16 May 2022 15:41:51 +0200}, biburl = {https://dblp.org/rec/conf/nips/AhnLCS18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pst/KimSSK18, author = {Hye Min Kim and Hyun Min Song and Jae Woo Seo and Huy Kang Kim}, editor = {Kieran McLaughlin and Ali A. Ghorbani and Sakir Sezer and Rongxing Lu and Liqun Chen and Robert H. Deng and Paul Miller and Stephen Marsh and Jason R. C. Nurse}, title = {Andro-Simnet: Android Malware Family Classification using Social Network Analysis}, booktitle = {16th Annual Conference on Privacy, Security and Trust, {PST} 2018, Belfast, Northern Ireland, Uk, August 28-30, 2018}, pages = {1--8}, publisher = {{IEEE} Computer Society}, year = {2018}, url = {https://doi.org/10.1109/PST.2018.8514216}, doi = {10.1109/PST.2018.8514216}, timestamp = {Tue, 20 Aug 2024 07:54:45 +0200}, biburl = {https://dblp.org/rec/conf/pst/KimSSK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/semeval/ChoKK18, author = {Won{-}Ik Cho and Woo Hyun Kang and Nam Soo Kim}, editor = {Marianna Apidianaki and Saif M. Mohammad and Jonathan May and Ekaterina Shutova and Steven Bethard and Marine Carpuat}, title = {HashCount at SemEval-2018 Task 3: Concatenative Featurization of Tweet and Hashtags for Irony Detection}, booktitle = {Proceedings of The 12th International Workshop on Semantic Evaluation, SemEval@NAACL-HLT 2018, New Orleans, Louisiana, USA, June 5-6, 2018}, pages = {546--552}, publisher = {Association for Computational Linguistics}, year = {2018}, url = {https://doi.org/10.18653/v1/s18-1089}, doi = {10.18653/V1/S18-1089}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/semeval/ChoKK18.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1805-06181, author = {Wook{-}Hyung Kim and Seung{-}Hun Nam and Ji{-}Hyeon Kang and Heung{-}Kyu Lee}, title = {Robust curvelet domain watermarking technique that preserves cleanness of high quality images}, journal = {CoRR}, volume = {abs/1805.06181}, year = {2018}, url = {http://arxiv.org/abs/1805.06181}, eprinttype = {arXiv}, eprint = {1805.06181}, timestamp = {Mon, 13 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/corr/abs-1805-06181.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-04631, author = {Won{-}Ik Cho and Woo Hyun Kang and Nam Soo Kim}, title = {Structured Argument Extraction of Korean Question and Command}, journal = {CoRR}, volume = {abs/1810.04631}, year = {2018}, url = {http://arxiv.org/abs/1810.04631}, eprinttype = {arXiv}, eprint = {1810.04631}, timestamp = {Tue, 30 Oct 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-04631.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/corr/abs-1810-13113, author = {Won{-}Ik Cho and Sung Jun Cheon and Woo Hyun Kang and Ji Won Kim and Nam Soo Kim}, title = {Real-time Automatic Word Segmentation for User-generated Text}, journal = {CoRR}, volume = {abs/1810.13113}, year = {2018}, url = {http://arxiv.org/abs/1810.13113}, eprinttype = {arXiv}, eprint = {1810.13113}, timestamp = {Thu, 08 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/corr/abs-1810-13113.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmpb/ParkKCJPKLLLKPW17, author = {Soyoung Park and Guna Kim and Hyosung Cho and Uikyu Je and Chulkyu Park and Kyuseok Kim and Hyunwoo Lim and Dongyeon Lee and Hunwoo Lee and SeokYoon Kang and Jeongeun Park and Taeho Woo and Minsik Lee}, title = {Image reconstruction in region-of-interest (or interior) digital tomosynthesis {(DTS)} based on compressed-sensing {(CS)}}, journal = {Comput. Methods Programs Biomed.}, volume = {151}, pages = {151--158}, year = {2017}, url = {https://doi.org/10.1016/j.cmpb.2017.08.022}, doi = {10.1016/J.CMPB.2017.08.022}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmpb/ParkKCJPKLLLKPW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijccc/LeeJKL17, author = {Sang{-}Hun Lee and Hyun{-}Wook Jin and Kanghee Kim and Sangil Lee}, title = {Phasing of Periodic Tasks Distributed over Real-time Fieldbus}, journal = {Int. J. Comput. Commun. Control}, volume = {12}, number = {5}, pages = {645--660}, year = {2017}, url = {https://doi.org/10.15837/ijccc.2017.5.2894}, doi = {10.15837/IJCCC.2017.5.2894}, timestamp = {Thu, 12 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijccc/LeeJKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdmb/ChonHAK17, author = {Kang{-}Wook Chon and Sang{-}Hyun Hwang and Kyuhyeon An and Min{-}Soo Kim}, title = {SSDPrimer: an SSD-based primer design method for a private sequence {DB}}, journal = {Int. J. Data Min. Bioinform.}, volume = {18}, number = {3}, pages = {252--267}, year = {2017}, url = {https://doi.org/10.1504/IJDMB.2017.10008274}, doi = {10.1504/IJDMB.2017.10008274}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdmb/ChonHAK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhr/LeeC17, author = {Kang{-}Woo Lee and Hyunseung Choo}, title = {Constructing Perceptual Common Ground Between Human and Robot Through Joint Attention}, journal = {Int. J. Humanoid Robotics}, volume = {14}, number = {3}, pages = {1750020:1--1750020:20}, year = {2017}, url = {https://doi.org/10.1142/S0219843617500207}, doi = {10.1142/S0219843617500207}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhr/LeeC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/LeeCKKLPHYPPKK17, author = {Sue{-}Hyun Lee and Jiyeob Choi and Hun{-}Sung Kim and Grace Juyun Kim and Kye Hwa Lee and Chan Hee Park and Jongsoo Han and Dukyong Yoon and Man Young Park and Rae Woong Park and Hye{-}Ryun Kang and Ju Han Kim}, title = {Standard-based comprehensive detection of adverse drug reaction signals from nursing statements and laboratory results in electronic health records}, journal = {J. Am. Medical Informatics Assoc.}, volume = {24}, number = {4}, pages = {697--708}, year = {2017}, url = {https://doi.org/10.1093/jamia/ocw168}, doi = {10.1093/JAMIA/OCW168}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/LeeCKKLPHYPPKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/js/ChoiWCK17, author = {Mun Gak Choi and Dong{-}Sik Woo and Hyun{-}Chul Choi and Kang Wook Kim}, title = {High-Accuracy {AM-FM} Radar with an Active Reflector}, journal = {J. Sensors}, volume = {2017}, pages = {8589469:1--8589469:8}, year = {2017}, url = {https://doi.org/10.1155/2017/8589469}, doi = {10.1155/2017/8589469}, timestamp = {Sun, 02 Jun 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/js/ChoiWCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KangJLS17, author = {Yunji Kang and Woo Hyun Joo and Sungkil Lee and Dongkun Shin}, title = {Priority-driven spatial resource sharing scheduling for embedded graphics processing units}, journal = {J. Syst. Archit.}, volume = {76}, pages = {17--27}, year = {2017}, url = {https://doi.org/10.1016/j.sysarc.2017.04.002}, doi = {10.1016/J.SYSARC.2017.04.002}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsa/KangJLS17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangJKKCKRKLKLY17, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Cheon An Lee and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yup Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {256 Gb 3 b/Cell V-nand Flash Memory With 48 Stacked {WL} Layers}, journal = {{IEEE} J. Solid State Circuits}, volume = {52}, number = {1}, pages = {210--217}, year = {2017}, url = {https://doi.org/10.1109/JSSC.2016.2604297}, doi = {10.1109/JSSC.2016.2604297}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangJKKCKRKLKLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/ChooKSLCK17, author = {Sung{-}Sik Choo and Ee{-}Seul Kang and Inbeom Song and Donghyun Lee and Jeong{-}Woo Choi and Tae{-}Hyung Kim}, title = {Electrochemical Detection of Dopamine Using 3D Porous Graphene Oxide/Gold Nanoparticle Composites}, journal = {Sensors}, volume = {17}, number = {4}, pages = {861}, year = {2017}, url = {https://doi.org/10.3390/s17040861}, doi = {10.3390/S17040861}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/ChooKSLCK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JooHLJKW17, author = {Hyo Sung Joo and Su{-}Hyun Han and Jongshill Lee and Dong Pyo Jang and Joong Koo Kang and Jihwan Woo}, title = {Spectral Analysis of Acceleration Data for Detection of Generalized Tonic-Clonic Seizures}, journal = {Sensors}, volume = {17}, number = {3}, pages = {481}, year = {2017}, url = {https://doi.org/10.3390/s17030481}, doi = {10.3390/S17030481}, timestamp = {Tue, 18 Jun 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/JooHLJKW17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeHKP17, author = {Ji Woo Lee and Hyung Gil Hong and Ki{-}Wan Kim and Kang Ryoung Park}, title = {A Survey on Banknote Recognition Methods by Various Sensors}, journal = {Sensors}, volume = {17}, number = {2}, pages = {313}, year = {2017}, url = {https://doi.org/10.3390/s17020313}, doi = {10.3390/S17020313}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeHKP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sj/KimKKCY17, author = {Seong{-}Hwan Kim and Dong{-}Ki Kang and Woojoong Kim and Min Chen and Chan{-}Hyun Youn}, title = {A Science Gateway Cloud With Cost-Adaptive {VM} Management for Computational Science and Applications}, journal = {{IEEE} Syst. J.}, volume = {11}, number = {1}, pages = {173--185}, year = {2017}, url = {https://doi.org/10.1109/JSYST.2015.2501750}, doi = {10.1109/JSYST.2015.2501750}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sj/KimKKCY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/symmetry/LeeHP17, author = {Kwan Woo Lee and Hyung Gil Hong and Kang Ryoung Park}, title = {Fuzzy System-Based Fear Estimation Based on the Symmetrical Characteristics of Face and Facial Feature Points}, journal = {Symmetry}, volume = {9}, number = {7}, pages = {102}, year = {2017}, url = {https://doi.org/10.3390/sym9070102}, doi = {10.3390/SYM9070102}, timestamp = {Tue, 14 Aug 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/symmetry/LeeHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KangLOLPHLY17, author = {Hyunuk Kang and Hwiseob Lee and Hansik Oh and Wooseok Lee and Cheon{-}Seok Park and Keum{-}Cheol Hwang and Kang{-}Yoon Lee and Youngoo Yang}, title = {Symmetric Three-Way Doherty Power Amplifier for High Efficiency and Linearity}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {64-II}, number = {8}, pages = {862--866}, year = {2017}, url = {https://doi.org/10.1109/TCSII.2016.2609460}, doi = {10.1109/TCSII.2016.2609460}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KangLOLPHLY17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KangC17, author = {Sang{-}Woo Kang and Bo{-}Hyung Cho}, title = {Digitally Implemented Charge Control for {LLC} Resonant Converters}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {8}, pages = {6159--6168}, year = {2017}, url = {https://doi.org/10.1109/TIE.2017.2682801}, doi = {10.1109/TIE.2017.2682801}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KangC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/SeolLKPL17, author = {Hyun{-}Soo Seol and JongSuk Lim and Dong{-}Woo Kang and Joon Sung Park and Ju Lee}, title = {Optimal Design Strategy for Improved Operation of {IPM} {BLDC} Motors With Low-Resolution Hall Sensors}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {64}, number = {12}, pages = {9758--9766}, year = {2017}, url = {https://doi.org/10.1109/TIE.2017.2716908}, doi = {10.1109/TIE.2017.2716908}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/SeolLKPL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tim/SuhMJKHP17, author = {Jun{-}Seuk Suh and Laxmikant Minz and Dae{-}Hwan Jung and Hyunseong Kang and Jong{-}Wook Ham and Seong{-}Ook Park}, title = {Drone-Based External Calibration of a Fully Synchronized Ku-Band Heterodyne {FMCW} Radar}, journal = {{IEEE} Trans. Instrum. Meas.}, volume = {66}, number = {8}, pages = {2189--2197}, year = {2017}, url = {https://doi.org/10.1109/TIM.2017.2687518}, doi = {10.1109/TIM.2017.2687518}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tim/SuhMJKHP17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/debs/ChoiLJC17, author = {Joong{-}Hyun Choi and Kang{-}Woo Lee and Hyungkun Jung and Eun{-}Sun Cho}, title = {Runtime Anomaly Detection Method in Smart Factories using Machine Learning on {RDF} Event Streams: Grand Challenge}, booktitle = {Proceedings of the 11th {ACM} International Conference on Distributed and Event-based Systems, {DEBS} 2017, Barcelona, Spain, June 19-23, 2017}, pages = {304--309}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3093742.3095104}, doi = {10.1145/3093742.3095104}, timestamp = {Mon, 16 Sep 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/debs/ChoiLJC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hsi/SeoJ17, author = {Dongwook Seo and Kang{-}Hyun Jo}, title = {Multi-layer superpixel-based MeshStereo for accurate stereo matching}, booktitle = {10th International Conference on Human System Interactions, {HSI} 2017, Ulsan, South Korea, July 17-19, 2017}, pages = {242--245}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/HSI.2017.8005038}, doi = {10.1109/HSI.2017.8005038}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hsi/SeoJ17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeKKK17, author = {Kang Hyun Lee and Woo Hyun Kang and Tae Gyoon Kang and Nam Soo Kim}, title = {Integrated DNN-based model adaptation technique for noise-robust speech recognition}, booktitle = {2017 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2017, New Orleans, LA, USA, March 5-9, 2017}, pages = {5245--5249}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICASSP.2017.7953157}, doi = {10.1109/ICASSP.2017.7953157}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeKKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/BaekHKC17, author = {Jang{-}Woon Baek and Byung{-}Gil Han and Hyunwoo Kang and Yoonsu Chung}, title = {Fast and reliable two-wheeler detection algorithm for blind spot detection systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2017, Jeju Island, Korea (South), October 18-20, 2017}, pages = {513--516}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICTC.2017.8191030}, doi = {10.1109/ICTC.2017.8191030}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/BaekHKC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/ParkNKLLC17, author = {Yongdeuk Park and Dung T. Nguyen and Byung{-}Seok Kang and Kang{-}Woo Lee and Jinkyu Lee and Hyunseung Choo}, title = {A fast recovery scheme based on detour planning for in-band openflow networks}, booktitle = {Proceedings of the 11th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2017, Beppu, Japan, January 5-7, 2017}, pages = {108}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3022227.3022334}, doi = {10.1145/3022227.3022334}, timestamp = {Fri, 09 Apr 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/ParkNKLLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiaiaai/LeeC17, author = {Kang{-}Woo Lee and Hyunseung Choo}, title = {From Eye Fixation to Perception: Reconstruction of Perceptual State from Eye Fixated Points on an Ambiguous Figure}, booktitle = {6th {IIAI} International Congress on Advanced Applied Informatics, {IIAI-AAI} 2017, Hamamatsu, Japan, July 9-13, 2017}, pages = {1059--1060}, publisher = {{IEEE} Computer Society}, year = {2017}, url = {https://doi.org/10.1109/IIAI-AAI.2017.195}, doi = {10.1109/IIAI-AAI.2017.195}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiaiaai/LeeC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/KimCCJJKLLLYCLC17, author = {Won Kim and Ahyoung Choi and Jaehyuk Choi and Ok{-}Ran Jeong and Yong Ju Jung and Sangwoo Kang and Joohyung Lee and Sang{-}Woong Lee and Woong{-}Kee Loh and Joon Yoo and Seongcheol Chung and Hyungchul Lee and Sungmi Chon and YoungCheol Jeon and Han Sook Kim and Jin{-}Whan Kim and Jung{-}Hun Lee and Youna Min and Geun{-}Sil Song and Sun Ok Yang}, editor = {Maria Indrawan{-}Santiago and Matthias Steinbauer and Ivan Luiz Salvadori and Ismail Khalil and Gabriele Anderst{-}Kotsis}, title = {Korea's software education initiative}, booktitle = {Proceedings of the 19th International Conference on Information Integration and Web-based Applications {\&} Services, iiWAS 2017, Salzburg, Austria, December 4-6, 2017}, pages = {553--557}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3151759.3151800}, doi = {10.1145/3151759.3151800}, timestamp = {Mon, 22 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iiwas/KimCCJJKLLLYCLC17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCJPPKKLLKPAL17, author = {Chulbum Kim and Ji{-}Ho Cho and Woopyo Jeong and Il{-}Han Park and Hyun Wook Park and Doo{-}Hyun Kim and Daewoon Kang and Sunghoon Lee and Ji{-}Sang Lee and Wontae Kim and Jiyoon Park and Yang{-}Lo Ahn and Jiyoung Lee and Jong{-}Hoon Lee and Seungbum Kim and Hyun{-}Jun Yoon and Jaedoeg Yu and Nayoung Choi and Yelim Kwon and Nahyun Kim and Hwajun Jang and Jonghoon Park and Seunghwan Song and Yongha Park and Jinbae Bang and Sangki Hong and Byunghoon Jeong and Hyun{-}Jin Kim and Chunan Lee and Young{-}Sun Min and Inryul Lee and In{-}Mo Kim and Sunghoon Kim and Dongkyu Yoon and Ki{-}Sung Kim and Youngdon Choi and Moosung Kim and Hyunggon Kim and Pansuk Kwak and Jeong{-}Don Ihm and Dae{-}Seok Byeon and Jin{-}Yub Lee and Ki{-}Tae Park and Kyehyun Kyung}, title = {11.4 {A} 512Gb 3b/cell 64-stacked {WL} 3D {V-NAND} flash memory}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {202--203}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870331}, doi = {10.1109/ISSCC.2017.7870331}, timestamp = {Thu, 23 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KimCJPPKKLLKPAL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KwakKLBJJLLLHKL17, author = {Nohhyup Kwak and Saeng{-}Hwan Kim and Kyong Ha Lee and Chang{-}Ki Baek and Mun Seon Jang and Yongsuk Joo and Seung{-}Hun Lee and Wooyoung Lee and Eunryeong Lee and Donghee Han and Jaeyeol Kang and Jung Ho Lim and Jae{-}Beom Park and Kyung{-}Tae Kim and Sunki Cho and Sung Woo Han and Jee Yeon Keh and Jun Hyun Chun and Jonghoon Oh and Seok Hee Lee}, title = {23.3 {A} 4.8Gb/s/pin 2Gb {LPDDR4} {SDRAM} with sub-100{\(\mathrm{\mu}\)}A self-refresh current for IoT applications}, booktitle = {2017 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2017, San Francisco, CA, USA, February 5-9, 2017}, pages = {392--393}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ISSCC.2017.7870426}, doi = {10.1109/ISSCC.2017.7870426}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KwakKLBJJLLLHKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mue/KimKK17, author = {Pyeong{-}Kang Kim and Hyung{-}Heon Kim and Tae{-}Woo Kim}, editor = {James Jong Hyuk Park and Shu{-}Ching Chen and Kim{-}Kwang Raymond Choo}, title = {An Improved Pedestrian Detection System that Utilizes the {HOG-UDP} Algorithm}, booktitle = {Advanced Multimedia and Ubiquitous Engineering - MUE/FutureTech 2017, Seoul, Korea, 22-24 May 2017}, series = {Lecture Notes in Electrical Engineering}, volume = {448}, pages = {218--225}, year = {2017}, url = {https://doi.org/10.1007/978-981-10-5041-1\_37}, doi = {10.1007/978-981-10-5041-1\_37}, timestamp = {Wed, 22 Jul 2020 12:38:11 +0200}, biburl = {https://dblp.org/rec/conf/mue/KimKK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ococosda/ChoKLK17, author = {Won{-}Ik Cho and Woo Hyun Kang and Hyeon Seung Lee and Nam Soo Kim}, title = {Detecting oxymoron in a single statement}, booktitle = {20th Conference of the Oriental Chapter of the International Coordinating Committee on Speech Databases and Speech {I/O} Systems and Assessment, {O-COCOSDA} 2017, Seoul, South Korea, November 1-3, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/ICSDA.2017.8384447}, doi = {10.1109/ICSDA.2017.8384447}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/ococosda/ChoKLK17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/JungYCLKM17, author = {Kwangyik Jung and Pillip Youn and Sooyoung Choi and Jungwoo Lee and Hyung{-}Ju Kang and Hyun Myung}, title = {Development of retro-reflective marker and recognition algorithm for underwater environment}, booktitle = {14th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2017, Jeju, South Korea, June 28 - July 1, 2017}, pages = {666--670}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/URAI.2017.7992790}, doi = {10.1109/URAI.2017.7992790}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/JungYCLKM17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KangGSH17, author = {Young Yun Kang and Hyun Ju Go and Min{-}Ho Shin and Woonhaing Hur}, title = {Support Vector Machine-Based Wireless Channel Classification for Adaptive {AFC} in {LTE} Downlink}, booktitle = {85th {IEEE} Vehicular Technology Conference, {VTC} Spring 2017, Sydney, Australia, June 4-7, 2017}, pages = {1--5}, publisher = {{IEEE}}, year = {2017}, url = {https://doi.org/10.1109/VTCSpring.2017.8108513}, doi = {10.1109/VTCSPRING.2017.8108513}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KangGSH17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/RyuLKL17, author = {Woo{-}Jong Ryu and Jung{-}Hyun Lee and Kang{-}Min Kim and SangKeun Lee}, editor = {Rick Barrett and Rick Cummings and Eugene Agichtein and Evgeniy Gabrilovich}, title = {meCurate: Personalized Curation Service using a Tiny Text Intelligence}, booktitle = {Proceedings of the 26th International Conference on World Wide Web Companion, Perth, Australia, April 3-7, 2017}, pages = {269--272}, publisher = {{ACM}}, year = {2017}, url = {https://doi.org/10.1145/3041021.3054723}, doi = {10.1145/3041021.3054723}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/www/RyuLKL17.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/compsec/JangKWMK16, author = {Jae{-}wook Jang and Hyun{-}Jae Kang and Jiyoung Woo and Aziz Mohaisen and Huy Kang Kim}, title = {Andro-Dumpsys: Anti-malware system based on the similarity of malware creator and malware centric information}, journal = {Comput. Secur.}, volume = {58}, pages = {125--138}, year = {2016}, url = {https://doi.org/10.1016/j.cose.2015.12.005}, doi = {10.1016/J.COSE.2015.12.005}, timestamp = {Mon, 28 Aug 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/compsec/JangKWMK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/csur/ChoKCLK16, author = {Keewon Cho and Wooheon Kang and Hyungjun Cho and Changwook Lee and Sungho Kang}, title = {A Survey of Repair Analysis Algorithms for Memories}, journal = {{ACM} Comput. Surv.}, volume = {49}, number = {3}, pages = {47:1--47:41}, year = {2016}, url = {https://doi.org/10.1145/2971481}, doi = {10.1145/2971481}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/csur/ChoKCLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ict-express/KangOPK16, author = {Taewook Kang and Kwang{-}Il Oh and Hyungil Park and Sungweon Kang}, title = {Review of capacitive coupling human body communications based on digital transmission}, journal = {{ICT} Express}, volume = {2}, number = {4}, pages = {180--187}, year = {2016}, url = {https://doi.org/10.1016/j.icte.2016.11.002}, doi = {10.1016/J.ICTE.2016.11.002}, timestamp = {Thu, 07 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ict-express/KangOPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KimKA16a, author = {Hyun{-}Tae Kim and Hyun{-}Kyu Kang and Chang Wook Ahn}, title = {A Conditional Dependency Based Probabilistic Model Building Grammatical Evolution}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {99-D}, number = {7}, pages = {1937--1940}, year = {2016}, url = {https://doi.org/10.1587/transinf.2016EDL8004}, doi = {10.1587/TRANSINF.2016EDL8004}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KimKA16a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijcvr/NoKLLR16, author = {Hae Sun No and Kang{-}ho Kim and Sung Hyun Lee and Yong{-}Gyun Lim and Dae Woong Rhee}, title = {Automatic image resizing in android application development}, journal = {Int. J. Comput. Vis. Robotics}, volume = {6}, number = {3}, pages = {205--213}, year = {2016}, url = {https://doi.org/10.1504/IJCVR.2016.077351}, doi = {10.1504/IJCVR.2016.077351}, timestamp = {Thu, 25 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijcvr/NoKLLR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/LeeC16, author = {Kang{-}Woo Lee and Hyunseung Choo}, title = {Predicting user attitudes toward smartphone ads using support vector machine}, journal = {Int. J. Mob. Commun.}, volume = {14}, number = {3}, pages = {226--243}, year = {2016}, url = {https://doi.org/10.1504/IJMC.2016.076272}, doi = {10.1504/IJMC.2016.076272}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmc/LeeC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jbi/KimSSKYSKCYM16, author = {Jin Hee Kim and Ki Young Son and Dongwook Shin and Sang Hyuk Kim and Jae Won Yun and Jung Hyun Shin and Mi So Kang and Eui Heon Chung and Kyoung Hun Yoo and Jae Yun Moon}, title = {Network analysis of human diseases using Korean nationwide claims data}, journal = {J. Biomed. Informatics}, volume = {61}, pages = {276--282}, year = {2016}, url = {https://doi.org/10.1016/j.jbi.2016.05.002}, doi = {10.1016/J.JBI.2016.05.002}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jbi/KimSSKYSKCYM16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JeongIKNSCYKKPK16, author = {Woopyo Jeong and Jae{-}Woo Im and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Jeong{-}Don Ihm and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Moosung Kim and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {A 128 Gb 3b/cell {V-NAND} Flash Memory With 1 Gb/s {I/O} Rate}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {1}, pages = {204--212}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2015.2474117}, doi = {10.1109/JSSC.2015.2474117}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JeongIKNSCYKKPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimHRKHJCSR16, author = {Wan Kim and Hyeok{-}Ki Hong and Yi{-}Ju Roh and Hyun{-}Wook Kang and Sun{-}Il Hwang and Dong{-}Shin Jo and Dong{-}Jin Chang and Min{-}Jae Seo and Seung{-}Tak Ryu}, title = {A 0.6 {V} 12 b 10 MS/s Low-Noise Asynchronous SAR-Assisted Time-Interleaved {SAR} {(SATI-SAR)} {ADC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {51}, number = {8}, pages = {1826--1839}, year = {2016}, url = {https://doi.org/10.1109/JSSC.2016.2563780}, doi = {10.1109/JSSC.2016.2563780}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimHRKHJCSR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ral/LeeRYCJKCHBJKD16, author = {Keon Yong Lee and Soo{-}Hyun Ryu and Suyong Yeon and HyunGi Cho and ChangHyun Jun and Jaehyeon Kang and Hyunga Choi and Janghun Hyeon and Insik Baek and Woonhyung Jung and Han{-}Ul Kim and Nakju Lett Doh}, title = {Accurate Continuous Sweeping Framework in Indoor Spaces With Backpack Sensor System for Applications to 3-D Mapping}, journal = {{IEEE} Robotics Autom. Lett.}, volume = {1}, number = {1}, pages = {316--323}, year = {2016}, url = {https://doi.org/10.1109/LRA.2016.2516585}, doi = {10.1109/LRA.2016.2516585}, timestamp = {Wed, 07 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ral/LeeRYCJKCHBJKD16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/JungLGPLPK16, author = {Dong{-}Wook Jung and Jong Man Lee and Su Yeong Gwon and Weiyuan Pan and Hyeon Chang Lee and Kang Ryoung Park and Hyun{-}Cheol Kim}, title = {Compensation Method of Natural Head Movement for Gaze Tracking System Using an Ultrasonic Sensor for Distance Measurement}, journal = {Sensors}, volume = {16}, number = {1}, pages = {110}, year = {2016}, url = {https://doi.org/10.3390/s16010110}, doi = {10.3390/S16010110}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/JungLGPLPK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/KangLLK16, author = {Wooheon Kang and Changwook Lee and Hyunyul Lim and Sungho Kang}, title = {A New 3-D Fuse Architecture to Improve Yield of 3-D Memories}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {35}, number = {10}, pages = {1763--1767}, year = {2016}, url = {https://doi.org/10.1109/TCAD.2016.2523444}, doi = {10.1109/TCAD.2016.2523444}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcad/KangLLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KangHPKAR16, author = {Hyun{-}Wook Kang and Hyeok{-}Ki Hong and Sanghoon Park and Ki{-}Jin Kim and Kwang{-}Ho Ahn and Seung{-}Tak Ryu}, title = {A Sign-Equality-Based Background Timing-Mismatch Calibration Algorithm for Time-Interleaved ADCs}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {63-II}, number = {6}, pages = {518--522}, year = {2016}, url = {https://doi.org/10.1109/TCSII.2016.2530819}, doi = {10.1109/TCSII.2016.2530819}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KangHPKAR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimKSJC16, author = {Hye{-}Jin Kim and Sang{-}Woo Kang and Gab{-}Su Seo and Paul Jang and Bo{-}Hyung Cho}, title = {Large-Signal Stability Analysis of {DC} Power System With Shunt Active Damper}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {63}, number = {10}, pages = {6270--6280}, year = {2016}, url = {https://doi.org/10.1109/TIE.2016.2581150}, doi = {10.1109/TIE.2016.2581150}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimKSJC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/KangKJ16, author = {Hyeongseok Kang and Kanghee Kim and Hyun{-}Wook Jin}, title = {Real-Time Software Pipelining for Multidomain Motion Controllers}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {12}, number = {2}, pages = {705--715}, year = {2016}, url = {https://doi.org/10.1109/TII.2016.2528225}, doi = {10.1109/TII.2016.2528225}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/KangKJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KangLLK16, author = {Wooheon Kang and Changwook Lee and Hyunyul Lim and Sungho Kang}, title = {Optimized Built-In Self-Repair for Multiple Memories}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {6}, pages = {2174--2183}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2499387}, doi = {10.1109/TVLSI.2015.2499387}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KangLLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KimKSKCR16, author = {Si{-}Nai Kim and Mee{-}Ran Kim and Ba{-}Ro{-}Saim Sung and Hyun{-}Wook Kang and Min{-}Hyung Cho and Seung{-}Tak Ryu}, title = {A SUC-Based Full-Binary 6-bit 3.1-GS/s 17.7-mW Current-Steering {DAC} in 0.038 mm\({}^{\mbox{2}}\)}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {24}, number = {2}, pages = {794--798}, year = {2016}, url = {https://doi.org/10.1109/TVLSI.2015.2412657}, doi = {10.1109/TVLSI.2015.2412657}, timestamp = {Wed, 11 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tvlsi/KimKSKCR16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apsipa/KangLKBK16, author = {Tae Gyoon Kang and Kang Hyun Lee and Woo Hyun Kang and Soo Hyun Bae and Nam Soo Kim}, title = {DNN-based voice activity detection with local feature shift technique}, booktitle = {Asia-Pacific Signal and Information Processing Association Annual Summit and Conference, {APSIPA} 2016, Jeju, South Korea, December 13-16, 2016}, pages = {1--4}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/APSIPA.2016.7820753}, doi = {10.1109/APSIPA.2016.7820753}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/apsipa/KangLKBK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/asscc/LeeKYYJAKKSSPKN16, author = {Hyunui Lee and Sukyong Kang and Hye{-}Seung Yu and Won{-}Joo Yun and Jae{-}Hun Jung and Sungoh Ahn and Wang{-}Soo Kim and Beomyong Kil and Yoo{-}Chang Sung and Sang{-}Hoon Shin and Yong{-}Sik Park and Yong{-}Hwan Kim and Kyung{-}Woo Nam and Indal Song and Kyomin Sohn and Yong{-}Cheol Bae and Jung{-}Hwan Choi and Seong{-}Jin Jang and Gyo{-}Young Jin}, title = {Design of non-contact 2Gb/s {I/O} test methods for high bandwidth memory {(HBM)}}, booktitle = {{IEEE} Asian Solid-State Circuits Conference, {A-SSCC} 2016, Toyama, Japan, November 7-9, 2016}, pages = {169--172}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ASSCC.2016.7844162}, doi = {10.1109/ASSCC.2016.7844162}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/asscc/LeeKYYJAKKSSPKN16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/debs/ChoiLC16, author = {Joong{-}Hyun Choi and Kang{-}Woo Lee and Eun{-}Sun Cho}, editor = {Avigdor Gal and Matthias Weidlich and Vana Kalogeraki and Nalini Venkasubramanian}, title = {Experience of event stream processing for top-\emph{k} queries and dynamic graphs}, booktitle = {Proceedings of the 10th {ACM} International Conference on Distributed and Event-based Systems, {DEBS} '16, Irvine, CA, USA, June 20 - 24, 2016}, pages = {330--335}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2933267.2933516}, doi = {10.1145/2933267.2933516}, timestamp = {Fri, 26 May 2023 07:40:34 +0200}, biburl = {https://dblp.org/rec/conf/debs/ChoiLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hsi/HernandezSJ16, author = {Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Dong{-}Wook Seo and Kang{-}Hyun Jo}, title = {Robust lane marking detection based on multi-feature fusion}, booktitle = {9th International Conference on Human System Interactions, {HSI} 2016, Portsmouth, United Kingdom, July 6-8, 2016}, pages = {423--428}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HSI.2016.7529668}, doi = {10.1109/HSI.2016.7529668}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hsi/HernandezSJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hsi/SeoKHJ16, author = {Dong{-}Wook Seo and Hyun{-}Deok Kang and Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Kang{-}Hyun Jo}, title = {Building facade detection using geometric planar constraints}, booktitle = {9th International Conference on Human System Interactions, {HSI} 2016, Portsmouth, United Kingdom, July 6-8, 2016}, pages = {393--396}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/HSI.2016.7529663}, doi = {10.1109/HSI.2016.7529663}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hsi/SeoKHJ16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/LeeKKK16, author = {Kang Hyun Lee and Shin Jae Kang and Woo Hyun Kang and Nam Soo Kim}, title = {Two-stage noise aware training using asymmetric deep denoising autoencoder}, booktitle = {2016 {IEEE} International Conference on Acoustics, Speech and Signal Processing, {ICASSP} 2016, Shanghai, China, March 20-25, 2016}, pages = {5765--5769}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICASSP.2016.7472782}, doi = {10.1109/ICASSP.2016.7472782}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icassp/LeeKKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ParkCPLKKLWRLSW16, author = {Paul K. J. Park and Baek Hwan Cho and Jin Man Park and Kyoobin Lee and Ha Young Kim and Hyo Ah Kang and Hyun Goo Lee and Jooyeon Woo and Yohan Roh and Won Jo Lee and Chang{-}Woo Shin and Qiang Wang and Hyunsurk Ryu}, title = {Performance improvement of deep learning based gesture recognition using spatiotemporal demosaicing technique}, booktitle = {2016 {IEEE} International Conference on Image Processing, {ICIP} 2016, Phoenix, AZ, USA, September 25-28, 2016}, pages = {1624--1628}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICIP.2016.7532633}, doi = {10.1109/ICIP.2016.7532633}, timestamp = {Fri, 19 Aug 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ParkCPLKKLWRLSW16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeHKP16, author = {Chang{-}Kyu Lee and Wook Hyun and Shin{-}Gak Kang and Juyoung Park}, title = {{P2P} network organization method for low signaling overhead and delay}, booktitle = {2016 International Conference on Information Networking, {ICOIN} 2016, Kota Kinabalu, Malaysia, January 13-15, 2016}, pages = {330--333}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/ICOIN.2016.7427125}, doi = {10.1109/ICOIN.2016.7427125}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeHKP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimKKBSP16, author = {Bong{-}Su Kim and Min{-}Soo Kang and Kwang{-}Seon Kim and Woo{-}Jin Byun and Myung Sun Song and Hyung{-}Chul Park}, title = {18 GHz 2{\texttimes}2 analog LoS {MIMO} test-bed system with fully controlled {ICM}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2016, Jeju Island, South Korea, October 19-21, 2016}, pages = {1023--1025}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICTC.2016.7763357}, doi = {10.1109/ICTC.2016.7763357}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimKKBSP16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icufn/BaekHKCL16, author = {Jang{-}Woon Baek and Byung{-}Gil Han and Hyunwoo Kang and Yoonsu Chung and Su{-}In Lee}, title = {Fast and reliable tracking algorithm for on-road vehicle detection systems}, booktitle = {Eighth International Conference on Ubiquitous and Future Networks, {ICUFN} 2016, Vienna, Austria, July 5-8, 2016}, pages = {70--72}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ICUFN.2016.7536983}, doi = {10.1109/ICUFN.2016.7536983}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icufn/BaekHKCL16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/RazaPPLC16, author = {Syed M. Raza and Donghan Park and Yongdeuk Park and Kang{-}Woo Lee and Hyunseung Choo}, title = {Dynamic Load Balancing of Local Mobility Anchors in Software Defined Networking based Proxy Mobile IPv6}, booktitle = {Proceedings of the 10th International Conference on Ubiquitous Information Management and Communication, {IMCOM} 2016, Danang, Vietnam, January 4-6, 2016}, pages = {106:1--106:4}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2857546.2857654}, doi = {10.1145/2857546.2857654}, timestamp = {Mon, 26 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/RazaPPLC16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KurnianggoroSHS16, author = {Laksono Kurnianggoro and Dong{-}Wook Seo and Joko Hariyono and Ajmal Shahbaz and Kang{-}Hyun Jo}, title = {Coarse-to-fine approach for fast correlation-based visual tracking}, booktitle = {{IECON} 2016 - 42nd Annual Conference of the {IEEE} Industrial Electronics Society, Florence, Italy, October 23-26, 2016}, pages = {861--864}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IECON.2016.7792989}, doi = {10.1109/IECON.2016.7792989}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/KurnianggoroSHS16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/KwonYKOBK16, author = {Jaesung Kwon and Woosung Yang and Hyungjoo Kim and Yonghwan Oh and Ji{-}Hun Bae and Hyun{-}Deok Kang}, title = {The enhanced performance of a robotic arm control based on neural oscillator networks}, booktitle = {{IECON} 2016 - 42nd Annual Conference of the {IEEE} Industrial Electronics Society, Florence, Italy, October 23-26, 2016}, pages = {803--808}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/IECON.2016.7793814}, doi = {10.1109/IECON.2016.7793814}, timestamp = {Sat, 16 Feb 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iecon/KwonYKOBK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interspeech/LeeKKK16, author = {Kang Hyun Lee and Tae Gyoon Kang and Woo Hyun Kang and Nam Soo Kim}, editor = {Nelson Morgan}, title = {DNN-Based Feature Enhancement Using Joint Training Framework for Robust Multichannel Speech Recognition}, booktitle = {17th Annual Conference of the International Speech Communication Association, Interspeech 2016, San Francisco, CA, USA, September 8-12, 2016}, pages = {3027--3031}, publisher = {{ISCA}}, year = {2016}, url = {https://doi.org/10.21437/Interspeech.2016-105}, doi = {10.21437/INTERSPEECH.2016-105}, timestamp = {Tue, 11 Jun 2024 16:45:43 +0200}, biburl = {https://dblp.org/rec/conf/interspeech/LeeKKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangJKKCKRKLKLY16, author = {Dongku Kang and Woopyo Jeong and Chulbum Kim and Doo{-}Hyun Kim and Yong{-}Sung Cho and Kyung{-}Tae Kang and Jinho Ryu and Kyung{-}Min Kang and Sungyeon Lee and Wandong Kim and Hanjun Lee and Jaedoeg Yu and Nayoung Choi and Dong{-}Su Jang and Jeong{-}Don Ihm and Doo{-}Gon Kim and Young{-}Sun Min and Moosung Kim and Ansoo Park and Jae{-}Ick Son and In{-}Mo Kim and Pansuk Kwak and Bong{-}Kil Jung and Doosub Lee and Hyunggon Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.1 256Gb 3b/cell {V-NAND} flash memory with 48 stacked {WL} layers}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {130--131}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417941}, doi = {10.1109/ISSCC.2016.7417941}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangJKKCKRKLKLY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLPPYKLKLKCCY16, author = {Seungjae Lee and Jin{-}Yub Lee and Il{-}Han Park and Jong{-}Yeol Park and Sung{-}Won Yun and Minsu Kim and Jong{-}Hoon Lee and Min{-}Seok Kim and Kangbin Lee and Taeeun Kim and Byungkyu Cho and Dooho Cho and Sangbum Yun and Jung{-}No Im and Hyejin Yim and Kyung{-}Hwa Kang and Suchang Jeon and Sungkyu Jo and Yang{-}Lo Ahn and Sung{-}Min Joe and Suyong Kim and Deok{-}kyun Woo and Jiyoon Park and Hyun Wook Park and Youngmin Kim and Jonghoon Park and Yongsu Choi and Makoto Hirano and Jeong{-}Don Ihm and Byunghoon Jeong and Seon{-}Kyoo Lee and Moosung Kim and Hokil Lee and Sungwhan Seo and Hongsoo Jeon and Chan{-}ho Kim and Hyunggon Kim and Jintae Kim and Yongsik Yim and Hoosung Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.5 {A} 128Gb 2b/cell {NAND} flash memory in 14nm technology with tPROG=640{\(\mathrm{\mu}\)}s and 800MB/s {I/O} rate}, booktitle = {2016 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2016, San Francisco, CA, USA, January 31 - February 4, 2016}, pages = {138--139}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/ISSCC.2016.7417945}, doi = {10.1109/ISSCC.2016.7417945}, timestamp = {Wed, 04 Oct 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLPPYKLKLKCCY16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/nana/KangKK16, author = {Hyung{-}Woo Kang and Cheol{-}Min Kim and Seok Joo Koh}, title = {{ISO/IEEE} 11073-Based Healthcare Services over IoT Platform Using 6LoWPAN and {BLE:} Architecture and Experimentation}, booktitle = {International Conference on Networking and Network Applications, NaNA 2016, Hakodate City, Hokkaido, Japan, July 23-25, 2016}, pages = {313--318}, publisher = {{IEEE} Computer Society}, year = {2016}, url = {https://doi.org/10.1109/NaNA.2016.26}, doi = {10.1109/NANA.2016.26}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/nana/KangKK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/racs/SonKAACK16, author = {Jae Gi Son and Ji{-}Woo Kang and Jae{-}Hoon An and Hyung{-}Joo Ahn and Hyo{-}Jung Chun and Jung{-}Guk Kim}, title = {Parallel Job Processing Technique for Real-time Big-Data Processing Framework}, booktitle = {Proceedings of the International Conference on Research in Adaptive and Convergent Systems, {RACS} 2016, Odense, Denmark, October 11-14, 2016}, pages = {226--229}, publisher = {{ACM}}, year = {2016}, url = {https://doi.org/10.1145/2987386.2987429}, doi = {10.1145/2987386.2987429}, timestamp = {Tue, 06 Nov 2018 11:07:49 +0100}, biburl = {https://dblp.org/rec/conf/racs/SonKAACK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KangBCJLK16, author = {Dong{-}Hoon Kang and Hyun{-}Woo Baek and Byung{-}Sik Cheon and Deok{-}Gyun Jeong and Hyun{-}young Lee and Dong{-}Soo Kwon}, title = {Robotic handler for interchangeability with various size of laparoscope}, booktitle = {13th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2016, Xian, China, August 19-22, 2016}, pages = {21--25}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/URAI.2016.7734012}, doi = {10.1109/URAI.2016.7734012}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KangBCJLK16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsic/LeeSSWCKKKKJKH16, author = {Sangheon Lee and Jeonghwan Song and Changhyuk Seong and Jiyong Woo and Jong{-}Moon Choi and Soon{-}Chan Kwon and Ho{-}Joon Kim and Hyun{-}Suk Kang and Soo Gil Kim and Hoe Gwon Jung and Kee{-}Won Kwon and Hyunsang Hwang}, title = {Full chip integration of 3-d cross-point ReRAM with leakage-compensating write driver and disturbance-aware sense amplifier}, booktitle = {2016 {IEEE} Symposium on {VLSI} Circuits, {VLSIC} 2016, Honolulu, HI, USA, June 15-17, 2016}, pages = {1--2}, publisher = {{IEEE}}, year = {2016}, url = {https://doi.org/10.1109/VLSIC.2016.7573503}, doi = {10.1109/VLSIC.2016.7573503}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/vlsic/LeeSSWCKKKKJKH16.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/aei/KangC15, author = {Tae{-}Wook Kang and Hyun{-}Sang Choi}, title = {{BIM} perspective definition metadata for interworking facility management data}, journal = {Adv. Eng. Informatics}, volume = {29}, number = {4}, pages = {958--970}, year = {2015}, url = {https://doi.org/10.1016/j.aei.2015.09.004}, doi = {10.1016/J.AEI.2015.09.004}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/aei/KangC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bioinformatics/KangZCDLG15, author = {Mingon Kang and Chunling Zhang and Hyung{-}Wook Chun and Chris H. Q. Ding and Chunyu Liu and Jean Gao}, title = {eQTL epistasis: detecting epistatic effects and inferring hierarchical relationships of genes in biological pathways}, journal = {Bioinform.}, volume = {31}, number = {5}, pages = {656--664}, year = {2015}, url = {https://doi.org/10.1093/bioinformatics/btu727}, doi = {10.1093/BIOINFORMATICS/BTU727}, timestamp = {Tue, 19 Jan 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bioinformatics/KangZCDLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cas/HernandezSCJ15, author = {Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Dong{-}Wook Seo and Hyun{-}Uk Chae and Kang{-}Hyun Jo}, title = {Comparison of Vehicle Control Systems Based on Multiple Features}, journal = {Cybern. Syst.}, volume = {46}, number = {1-2}, pages = {94--111}, year = {2015}, url = {https://doi.org/10.1080/01969722.2015.1007742}, doi = {10.1080/01969722.2015.1007742}, timestamp = {Thu, 13 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cas/HernandezSCJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cmig/BuiHNNOFLNKO15, author = {Nhat Quang Bui and Kyu Kyu Hlaing and Van Phuc Nguyen and Trung{-}Hau Nguyen and Yun{-}Ok Oh and Xiao Feng Fan and Yong Wook Lee and Seung Yun Nam and Hyun{-}Wook Kang and Jung{-}Hwan Oh}, title = {Intravascular ultrasonic-photoacoustic {(IVUP)} endoscope with 2.2-mm diameter catheter for medical imaging}, journal = {Comput. Medical Imaging Graph.}, volume = {45}, pages = {57--62}, year = {2015}, url = {https://doi.org/10.1016/j.compmedimag.2015.07.008}, doi = {10.1016/J.COMPMEDIMAG.2015.07.008}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cmig/BuiHNNOFLNKO15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/di/JangKWMK15, author = {Jae{-}wook Jang and Hyunjae Kang and Jiyoung Woo and Aziz Mohaisen and Huy Kang Kim}, title = {Andro-AutoPsy: Anti-malware system based on similarity matching of malware and malware creator-centric information}, journal = {Digit. Investig.}, volume = {14}, pages = {17--35}, year = {2015}, url = {https://doi.org/10.1016/j.diin.2015.06.002}, doi = {10.1016/J.DIIN.2015.06.002}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/di/JangKWMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KangHPKAR15, author = {Hyun{-}Wook Kang and Hyeok{-}Ki Hong and Sanghoon Park and Ki{-}Jin Kim and Kwang{-}Ho Ahn and Seung{-}Tak Ryu}, title = {Ternary-level thermometer {C-DAC} switching scheme for flash-assisted {SAR} ADCs}, journal = {{IEICE} Electron. Express}, volume = {12}, number = {10}, pages = {20150302}, year = {2015}, url = {https://doi.org/10.1587/elex.12.20150302}, doi = {10.1587/ELEX.12.20150302}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KangHPKAR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KangJMK15, author = {Hyun{-}Jae Kang and Jae{-}wook Jang and Aziz Mohaisen and Huy Kang Kim}, title = {Detecting and Classifying Android Malware Using Static Analysis along with Creator Information}, journal = {Int. J. Distributed Sens. Networks}, volume = {11}, pages = {479174:1--479174:9}, year = {2015}, url = {https://doi.org/10.1155/2015/479174}, doi = {10.1155/2015/479174}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijdsn/KangJMK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijsnet/ShimKLKLYKK15, author = {Dongha Shim and Hyung{-}O. Kim and Minwoo Lee and Junwoo Kim and Nanhee Lee and Jason Yi and Kiwon Kang and Jeong{-}Jin Kang}, title = {An ultra-wide-angle wireless camera using ultra wide band communication}, journal = {Int. J. Sens. Networks}, volume = {19}, number = {1}, pages = {37--45}, year = {2015}, url = {https://doi.org/10.1504/IJSNET.2015.071392}, doi = {10.1504/IJSNET.2015.071392}, timestamp = {Thu, 06 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijsnet/ShimKLKLYKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijtm/ParkK15, author = {Hyun Woo Park and Jai Kang}, title = {The internal attributes of technology as determinants of economic valuation of technology}, journal = {Int. J. Technol. Manag.}, volume = {69}, number = {2}, pages = {166--186}, year = {2015}, url = {https://doi.org/10.1504/IJTM.2015.071555}, doi = {10.1504/IJTM.2015.071555}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijtm/ParkK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/isci/SonLC15, author = {Chang{-}Hwan Son and Kang{-}Woo Lee and Hyunseung Choo}, title = {Inverse color to black-and-white halftone conversion via dictionary learning and color mapping}, journal = {Inf. Sci.}, volume = {299}, pages = {1--19}, year = {2015}, url = {https://doi.org/10.1016/j.ins.2014.12.002}, doi = {10.1016/J.INS.2014.12.002}, timestamp = {Sat, 27 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/isci/SonLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/LeeC15, author = {Kang{-}Woo Lee and Hyunseung Choo}, title = {Constructing Impressions with Multimedia Ringtones and a Smartphone Usage Tracker}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {9}, number = {5}, pages = {1870--1880}, year = {2015}, url = {https://doi.org/10.3837/tiis.2015.05.018}, doi = {10.3837/TIIS.2015.05.018}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/LeeC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HongKKPCPR15, author = {Hyeok{-}Ki Hong and Wan Kim and Hyun{-}Wook Kang and Sun{-}Jae Park and Michael Choi and Ho{-}Jin Park and Seung{-}Tak Ryu}, title = {A Decision-Error-Tolerant 45 nm {CMOS} 7b 1 GS/s Nonbinary 2b/Cycle {SAR} {ADC}}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {2}, pages = {543--555}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2364833}, doi = {10.1109/JSSC.2014.2364833}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/HongKKPCPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ParkNKKLCCKKKPSKPLYKSARKYKSBCHKCK15, author = {Ki{-}Tae Park and Sangwan Nam and Dae{-}Han Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Doo{-}Hyun Kim and Minsu Kim and Hyun Wook Park and Sang{-}Won Shim and Kyung{-}Min Kang and Sang{-}Won Park and Kangbin Lee and Hyun{-}Jun Yoon and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dae{-}Seok Byeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Jeong{-}Hyuk Choi and Kinam Kim}, title = {Three-Dimensional 128 Gb {MLC} Vertical nand Flash Memory With 24-WL Stacked Layers and 50 MB/s High-Speed Programming}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {204--213}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2352293}, doi = {10.1109/JSSC.2014.2352293}, timestamp = {Tue, 13 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ParkNKKLCCKKKPSKPLYKSARKYKSBCHKCK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongRJYPPKBBOJKKKLSYCWP15, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Yongho Kim and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi and Hyo{-}Sig Won and Jaehong Park}, title = {A 14 nm FinFET 128 Mb {SRAM} With V\({}_{\mbox{MIN}}\) Enhancement Techniques for Low-Power Applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {50}, number = {1}, pages = {158--169}, year = {2015}, url = {https://doi.org/10.1109/JSSC.2014.2362842}, doi = {10.1109/JSSC.2014.2362842}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongRJYPPKBBOJKKKLSYCWP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mam/LeeKKCRKPLPK15, author = {Joon Goo Lee and Seon Wook Kim and Dong{-}Hyun Kim and Younga Cho and Jae{-}Sung Rieh and Gyusung Kang and Jongsun Park and Hokyu Lee and Sejin Park and Chulwoo Kim}, title = {D\({}^{\mbox{2}}\)ART: Direct Data Accessing from Passive {RFID} Tag for infra-less, contact-less, and battery-less pervasive computing}, journal = {Microprocess. Microsystems}, volume = {39}, number = {8}, pages = {767--781}, year = {2015}, url = {https://doi.org/10.1016/j.micpro.2015.09.007}, doi = {10.1016/J.MICPRO.2015.09.007}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mam/LeeKKCRKPLPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/monet/KimKKY15, author = {Woojoong Kim and Dong{-}Ki Kang and Seong{-}Hwan Kim and Chan{-}Hyun Youn}, title = {Cost Adaptive {VM} Management for Scientific Workflow Application in Mobile Cloud}, journal = {Mob. Networks Appl.}, volume = {20}, number = {3}, pages = {328--336}, year = {2015}, url = {https://doi.org/10.1007/s11036-015-0593-4}, doi = {10.1007/S11036-015-0593-4}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/monet/KimKKY15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/ChoiKLJAKJPYCKK15, author = {Mi{-}Ri Choi and Hyung{-}Giun Kim and Taeg{-}Woo Lee and Young{-}Jun Jeon and Yong{-}Keun Ahn and Kyo{-}Wang Koo and You{-}Cheol Jang and So{-}Yeon Park and Jae{-}Hak Yee and Nam{-}Kwon Cho and Il{-}Tae Kang and Sangshik Kim and Seung{-}Zeon Han and Sung{-}Hwan Lim}, title = {Microstructural evaluation and failure analysis of Ag wire bonded to Al pads}, journal = {Microelectron. Reliab.}, volume = {55}, number = {11}, pages = {2306--2315}, year = {2015}, url = {https://doi.org/10.1016/j.microrel.2015.07.038}, doi = {10.1016/J.MICROREL.2015.07.038}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/ChoiKLJAKJPYCKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/LeeLGJPCPKC15, author = {Jong Man Lee and Hyeon Chang Lee and Su Yeong Gwon and Dong{-}Wook Jung and Weiyuan Pan and Chul Woo Cho and Kang Ryoung Park and Hyun{-}Cheol Kim and Jihun Cha}, title = {A New Gaze Estimation Method Considering External Light}, journal = {Sensors}, volume = {15}, number = {3}, pages = {5935--5981}, year = {2015}, url = {https://doi.org/10.3390/s150305935}, doi = {10.3390/S150305935}, timestamp = {Wed, 14 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/sensors/LeeLGJPCPKC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tc/KangPKOH15, author = {Shin{-}Haeng Kang and Hae{-}woo Park and Sungchan Kim and Hyunok Oh and Soonhoi Ha}, title = {Optimal Checkpoint Selection with Dual-Modular Redundancy Hardening}, journal = {{IEEE} Trans. Computers}, volume = {64}, number = {7}, pages = {2036--2048}, year = {2015}, url = {https://doi.org/10.1109/TC.2014.2349492}, doi = {10.1109/TC.2014.2349492}, timestamp = {Sat, 20 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tc/KangPKOH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tr/KangLLK15, author = {Wooheon Kang and Changwook Lee and Hyunyul Lim and Sungho Kang}, title = {A 3 Dimensional Built-In Self-Repair Scheme for Yield Improvement of 3 Dimensional Memories}, journal = {{IEEE} Trans. Reliab.}, volume = {64}, number = {2}, pages = {586--595}, year = {2015}, url = {https://doi.org/10.1109/TR.2015.2410274}, doi = {10.1109/TR.2015.2410274}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tr/KangLLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:books/sp/15/KwonLRHCKJOLKCPL15, author = {Oh{-}Woog Kwon and Ki{-}Young Lee and Yoon{-}Hyung Roh and Jin{-}Xia Huang and Sung{-}Kwon Choi and Young Kil Kim and Hyung{-}Bae Jeon and Yoo Rhee Oh and Yun{-}Kyung Lee and Byung Ok Kang and Euisok Chung and Jeon Gue Park and Yunkeun Lee}, editor = {Gary Geunbae Lee and Hong Kook Kim and Minwoo Jeong and Ji{-}Hwan Kim}, title = {GenieTutor: {A} Computer-Assisted Second-Language Learning System Based on Spoken Language Understanding}, booktitle = {Natural Language Dialog Systems and Intelligent Assistants, 6th International Workshop on Spoken Dialogue Systems, {IWSDS} 2015, Busan, Korea, January 11-13, 2015}, pages = {257--262}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-19291-8\_26}, doi = {10.1007/978-3-319-19291-8\_26}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/books/sp/15/KwonLRHCKJOLKCPL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/biocas/KangBKPLJPKLS15, author = {Hyun gil Kang and Sua Bae and Pilsu Kim and Jiwon Park and Gunho Lee and Woojin Jung and Minsuk Park and Kang{-}sik Kim and Wooyoul Lee and Tai{-}Kyong Song}, title = {Column-based micro-beamformer for improved 2D beamforming using a matrix array transducer}, booktitle = {{IEEE} Biomedical Circuits and Systems Conference, BioCAS 2015, Atlanta, GA, USA, October 22-24, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BioCAS.2015.7348450}, doi = {10.1109/BIOCAS.2015.7348450}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/biocas/KangBKPLJPKLS15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KangKKSKK15, author = {In{-}Woong Kang and Ho Jae Kim and Youngmin Kim and Jae{-}Hyun Seo and Heung Mook Kim and Hyoung{-}Nam Kim}, title = {A {MIMO} {DVB-T2} system with a newly designed bit mapper for {UHDTV} broadcasting}, booktitle = {2015 {IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2015, Ghent, Belgium, June 17-19, 2015}, pages = {1--4}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/BMSB.2015.7177229}, doi = {10.1109/BMSB.2015.7177229}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/KangKKSKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/LeeLLKKLC15, author = {Dong{-}Uk Lee and Kang Seol Lee and Yongwoo Lee and Kyung Whan Kim and Jong{-}Ho Kang and Jaejin Lee and Jun Hyun Chun}, title = {Design considerations of {HBM} stacked {DRAM} and the memory architecture extension}, booktitle = {2015 {IEEE} Custom Integrated Circuits Conference, {CICC} 2015, San Jose, CA, USA, September 28-30, 2015}, pages = {1--8}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CICC.2015.7338357}, doi = {10.1109/CICC.2015.7338357}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/LeeLLKKLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cybconf/FilonenkoHKSJ15, author = {Alexander Filonenko and Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Laksono Kurnianggoro and Dong{-}Wook Seo and Kang{-}Hyun Jo}, title = {Real-time lane marking detection}, booktitle = {2nd {IEEE} International Conference on Cybernetics, {CYBCONF} 2015, Gdynia, Poland, June 24-26, 2015}, pages = {125--128}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/CYBConf.2015.7175918}, doi = {10.1109/CYBCONF.2015.7175918}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/cybconf/FilonenkoHKSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dh/KangLPKL15, author = {Kyung{-}Kyu Kang and JiHyung Lee and Chang Joon Park and Jae Woo Kim and Man Hee Lee}, editor = {Gabriele Guidi and Roberto Scopigno and Juan Carlos Torres and Holger Graf and Fabio Remondino and Luciana Duranti and Pere Brunet and Susan Hazan and Juan Antonio Barcel{\'{o}}}, title = {X-Top: An interactive exhibition for building experience with mini-components of stone pagoda}, booktitle = {2nd Digital Heritage International Congress, DigitalHERITAGE 2015, Granada, Spain, September 28 - October 2, 2015, Volume {I}}, pages = {423--424}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/DigitalHeritage.2015.7413924}, doi = {10.1109/DIGITALHERITAGE.2015.7413924}, timestamp = {Thu, 24 Jun 2021 11:03:34 +0200}, biburl = {https://dblp.org/rec/conf/dh/KangLPKL15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dh/LeeLKKLG15, author = {Jongwook Lee and JiHyung Lee and Jae Woo Kim and Kyung{-}Kyu Kang and Man Hee Lee and Boncheol Goo}, editor = {Gabriele Guidi and Roberto Scopigno and Juan Carlos Torres and Holger Graf and Fabio Remondino and Luciana Duranti and Pere Brunet and Susan Hazan and Juan Antonio Barcel{\'{o}}}, title = {Time-based database for creation of Korean traditional wooden building}, booktitle = {2nd Digital Heritage International Congress, DigitalHERITAGE 2015, Granada, Spain, September 28 - October 2, 2015, Volume {II}}, pages = {213--214}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/DigitalHeritage.2015.7419498}, doi = {10.1109/DIGITALHERITAGE.2015.7419498}, timestamp = {Tue, 22 Jun 2021 17:48:37 +0200}, biburl = {https://dblp.org/rec/conf/dh/LeeLKKLG15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hsi/HernandezFKSJ15, author = {Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Alexander Filonenko and Laksono Kurnianggoro and Dong{-}Wook Seo and Kang{-}Hyun Jo}, title = {Iterative road detection based on vehicle speed}, booktitle = {8th International Conference on Human System Interaction, {HSI} 2015, Warsaw, Poland, June 25-27, 2015}, pages = {228--233}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/HSI.2015.7170671}, doi = {10.1109/HSI.2015.7170671}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/hsi/HernandezFKSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccci/SeoHJ15, author = {Dong{-}Wook Seo and Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Kang{-}Hyun Jo}, editor = {Manuel N{\'{u}}{\~{n}}ez and Ngoc Thanh Nguyen and David Camacho and Bogdan Trawinski}, title = {Comparison of Edge Operators for Detection of Vanishing Points}, booktitle = {Computational Collective Intelligence - 7th International Conference, {ICCCI} 2015, Madrid, Spain, September 21-23, 2015. Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {9329}, pages = {443--452}, publisher = {Springer}, year = {2015}, url = {https://doi.org/10.1007/978-3-319-24069-5\_42}, doi = {10.1007/978-3-319-24069-5\_42}, timestamp = {Thu, 16 Mar 2023 20:00:30 +0100}, biburl = {https://dblp.org/rec/conf/iccci/SeoHJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/ParkLLKSWKSKMGR15, author = {Paul K. J. Park and Kyoobin Lee and Junhaeng Lee and Byungkon Kang and Chang{-}Woo Shin and Jooyeon Woo and Jun{-}Seok Kim and Yunjae Suh and Sungho Kim and Saber Moradi and Ogan Gurel and Hyunsurk Ryu}, title = {Computationally efficient, real-time motion recognition based on bio-inspired visual and cognitive processing}, booktitle = {2015 {IEEE} International Conference on Image Processing, {ICIP} 2015, Quebec City, QC, Canada, September 27-30, 2015}, pages = {932--935}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIP.2015.7350936}, doi = {10.1109/ICIP.2015.7350936}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/ParkLLKSWKSKMGR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icit2/HernandezFSJ15, author = {Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Alexander Filonenko and Dongwook Seo and Kang{-}Hyun Jo}, title = {Laser scanner based heading angle and distance estimation}, booktitle = {{IEEE} International Conference on Industrial Technology, {ICIT} 2015, Seville, Spain, March 17-19, 2015}, pages = {1718--1722}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICIT.2015.7125345}, doi = {10.1109/ICIT.2015.7125345}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icit2/HernandezFSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongLC15, author = {KangWoo Hong and Hyun{-}Woo Lee and Jun Kyun Choi}, title = {Distributed media processing on multiple computing servers}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2015, Jeju Island, South Korea, October 28-30, 2015}, pages = {950--952}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ICTC.2015.7354709}, doi = {10.1109/ICTC.2015.7354709}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongLC15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/FilonenkoWHSJ15, author = {Alexander Filonenko and Wahyono and Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Dongwook Seo and Kang{-}Hyun Jo}, title = {Real-time flood detection for video surveillance}, booktitle = {{IECON} 2015 - 41st Annual Conference of the {IEEE} Industrial Electronics Society, Yokohama, Japan, November 9-12, 2015}, pages = {4082--4085}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IECON.2015.7392736}, doi = {10.1109/IECON.2015.7392736}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/FilonenkoWHSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iecon/SeoHFJ15, author = {Dongwook Seo and Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Alexander Filonenko and Kang{-}Hyun Jo}, title = {Building detection based on facet for urban reconstruction}, booktitle = {{IECON} 2015 - 41st Annual Conference of the {IEEE} Industrial Electronics Society, Yokohama, Japan, November 9-12, 2015}, pages = {2864--2867}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/IECON.2015.7392536}, doi = {10.1109/IECON.2015.7392536}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iecon/SeoHFJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/indin/HernandezFSJ15, author = {Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Alexander Filonenko and Dong{-}Wook Seo and Kang{-}Hyun Jo}, title = {Crosswalk detection based on laser scanning from moving vehicle}, booktitle = {13th {IEEE} International Conference on Industrial Informatics, {INDIN} 2015, Cambridge, United Kingdom, July 22-24, 2015}, pages = {1515--1519}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/INDIN.2015.7281958}, doi = {10.1109/INDIN.2015.7281958}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/indin/HernandezFSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ipas/KimPK15, author = {Jonghyun Kim and Sang Wook Park and Moon Gi Kang}, editor = {Karen O. Egiazarian and Sos S. Agaian and Atanas P. Gotchev}, title = {Intermediate color interpolation for color filter array containing the white channel}, booktitle = {Image Processing: Algorithms and Systems XIII, San Francisco, California, USA, February 10-11, 2015}, series = {{SPIE} Proceedings}, volume = {9399}, pages = {93990B}, publisher = {{SPIE}}, year = {2015}, url = {https://doi.org/10.1117/12.2076485}, doi = {10.1117/12.2076485}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ipas/KimPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isie/HernandezFSJ15, author = {Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Alexander Filonenko and Dongwook Seo and Kang{-}Hyun Jo}, title = {Lane marking recognition based on laser scanning}, booktitle = {24th {IEEE} International Symposium on Industrial Electronics, {ISIE} 2015, Rio de Janeiro, Brazil, June 3-5, 2015}, pages = {962--965}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISIE.2015.7281601}, doi = {10.1109/ISIE.2015.7281601}, timestamp = {Fri, 19 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isie/HernandezFSJ15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isqed/LimKSLK15, author = {Hyunyul Lim and Wooheon Kang and Sungyoul Seo and Yong Lee and Sungho Kang}, title = {Low power scan bypass technique with test data reduction}, booktitle = {Sixteenth International Symposium on Quality Electronic Design, {ISQED} 2015, Santa Clara, CA, USA, March 2-4, 2015}, pages = {173--176}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISQED.2015.7085419}, doi = {10.1109/ISQED.2015.7085419}, timestamp = {Wed, 28 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isqed/LimKSLK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HongKJLYLPR15, author = {Hyeok{-}Ki Hong and Hyun{-}Wook Kang and Dong{-}Shin Jo and Dong{-}Suk Lee and Yong{-}Sang You and Yong{-}Hee Lee and Ho{-}Jin Park and Seung{-}Tak Ryu}, title = {26.7 {A} 2.6b/cycle-architecture-based 10b 1 JGS/s 15.4mW 4{\texttimes}-time-interleaved {SAR} {ADC} with a multistep hardware-retirement technique}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7063130}, doi = {10.1109/ISSCC.2015.7063130}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HongKJLYLPR15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ImJKNSCYKKPKPYH15, author = {Jae{-}Woo Im and Woopyo Jeong and Doo{-}Hyun Kim and Sangwan Nam and Dong{-}Kyo Shim and Myung{-}Hoon Choi and Hyun{-}Jun Yoon and Dae{-}Han Kim and Youse Kim and Hyun Wook Park and Dong{-}Hun Kwak and Sang{-}Won Park and Seok{-}Min Yoon and Wook{-}Ghee Hahn and Jinho Ryu and Sang{-}Won Shim and Kyung{-}Tae Kang and Sung{-}Ho Choi and Jeong{-}Don Ihm and Young{-}Sun Min and In{-}Mo Kim and Doosub Lee and Ji{-}Ho Cho and Ohsuk Kwon and Ji{-}Sang Lee and Moosung Kim and Sang{-}Hyun Joo and Jae{-}hoon Jang and Sang{-}Won Hwang and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.2 {A} 128Gb 3b/cell {V-NAND} flash memory with 1Gb/s {I/O} rate}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062960}, doi = {10.1109/ISSCC.2015.7062960}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ImJKNSCYKKPKPYH15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimLLNSKYSLRKKP15, author = {Hyun{-}Jin Kim and Jeong{-}Don Lim and Jang{-}Woo Lee and Dae{-}Hoon Na and Joon{-}Ho Shin and Chae{-}Hoon Kim and Seungwoo Yu and Ji{-}Yeon Shin and Seon{-}Kyoo Lee and Devraj Rajagopal and Sang{-}Tae Kim and Kyeong{-}Tae Kang and Jeong{-}Joon Park and Yongjin Kwon and Min{-}Jae Lee and Sunghoon Kim and Seunghoon Shin and Hyunggon Kim and Jin{-}Tae Kim and Ki{-}Sung Kim and Han{-}Sung Joo and Chanjin Park and Jae{-}Hwan Kim and Man{-}Joong Lee and Do{-}Kook Kim and Hyang{-}Ja Yang and Dae{-}Seok Byeon and Ki{-}Tae Park and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {7.6 1GB/s 2Tb {NAND} flash multi-chip package with frequency-boosting interface chip}, booktitle = {2015 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2015, Digest of Technical Papers, San Francisco, CA, USA, February 22-26, 2015}, pages = {1--3}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/ISSCC.2015.7062964}, doi = {10.1109/ISSCC.2015.7062964}, timestamp = {Sun, 30 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimLLNSKYSLRKKP15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/recsys/HaKPK15, author = {Jung{-}Woo Ha and Dongyeop Kang and Hyuna Pyo and Jeonghee Kim}, editor = {Jon Atle Gulla and Bei Yu and {\"{O}}zlem {\"{O}}zg{\"{o}}bek and Nafiseh Shabib}, title = {News2Images: Automatically Summarizing News Articles into Image-Based Contents via Deep Learning}, booktitle = {Proceedings of the 3rd International Workshop on News Recommendation and Analytics {(INRA} 2015) co-located with 9th {ACM} Conference on Recommender Systems (RecSys 2015), Vienna, Austria, September 20, 2015}, series = {{CEUR} Workshop Proceedings}, volume = {1542}, pages = {27--32}, publisher = {CEUR-WS.org}, year = {2015}, url = {https://ceur-ws.org/Vol-1542/paper4.pdf}, timestamp = {Wed, 12 Apr 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/recsys/HaKPK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vlsi/ParkLKK15, author = {Hyungil Park and Ingi Lim and Sungweon Kang and Whan{-}woo Kim}, title = {10Mbps human body communication SoC for {BAN}}, booktitle = {2015 {IFIP/IEEE} International Conference on Very Large Scale Integration, VLSI-SoC 2015, Daejeon, South Korea, October 5-7, 2015}, pages = {149--153}, publisher = {{IEEE}}, year = {2015}, url = {https://doi.org/10.1109/VLSI-SoC.2015.7314407}, doi = {10.1109/VLSI-SOC.2015.7314407}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/vlsi/ParkLKK15.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@proceedings{DBLP:conf/fcv/2015, editor = {Soon{-}Young Park and Hironobu Fujiyoshi and Kunihito Kato and Hongbin Zha and Chil{-}Woo Lee and Kang{-}Hyun Jo}, title = {21st Korea-Japan Joint Workshop on Frontiers of Computer Vision, {FCV} 2015, Mokpo, South Korea, January 28-30, 2015}, publisher = {{IEEE}}, year = {2015}, url = {https://ieeexplore.ieee.org/xpl/conhome/7100653/proceeding}, isbn = {978-1-4799-1720-4}, timestamp = {Wed, 16 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fcv/2015.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimCK14, author = {In{-}Bok Kim and Hyun{-}Chul Choi and Kang Wook Kim}, title = {A wideband bow-tie antenna using suspended stripline balun}, journal = {{IEICE} Electron. Express}, volume = {11}, number = {20}, pages = {20140767}, year = {2014}, url = {https://doi.org/10.1587/elex.11.20140767}, doi = {10.1587/ELEX.11.20140767}, timestamp = {Fri, 12 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/iet-com/KangLKSKK14, author = {In{-}Woong Kang and Young{-}Jun Lee and Youngmin Kim and Jae{-}Hyun Seo and Heung Mook Kim and Hyoung{-}Nam Kim}, title = {Simplified log likelihood ratio calculation in soft ordered successive interference cancellation for multiple-input multiple-output digital video broadcasting-second generation terrestrial receivers}, journal = {{IET} Commun.}, volume = {8}, number = {18}, pages = {3280--3289}, year = {2014}, url = {https://doi.org/10.1049/iet-com.2014.0510}, doi = {10.1049/IET-COM.2014.0510}, timestamp = {Tue, 08 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/iet-com/KangLKSKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KangKKS14, author = {Sangwoo Kang and Harksoo Kim and Hyun{-}Kyu Kang and Jungyun Seo}, title = {Lightweight Morphological Analysis Model for Smart Home Applications Based on Natural Language Interfaces}, journal = {Int. J. Distributed Sens. Networks}, volume = {10}, year = {2014}, url = {https://doi.org/10.1155/2014/570634}, doi = {10.1155/2014/570634}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/KangKKS14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jamia/KimKCLKCK14, author = {Changsun Kim and Bo Seung Kang and Hyuk Joong Choi and Young Joo Lee and Gu Hyun Kang and Wook{-}Jin Choi and In Ho Kwon}, title = {Nationwide online social networking for cardiovascular care in Korea using Facebook}, journal = {J. Am. Medical Informatics Assoc.}, volume = {21}, number = {1}, pages = {17--22}, year = {2014}, url = {https://doi.org/10.1136/amiajnl-2012-001465}, doi = {10.1136/AMIAJNL-2012-001465}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jamia/KimKCLKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimKKLK14, author = {Jin{-}Hyung Kim and Yun{-}Ho Ko and Hyun{-}Soo Kang and Si{-}Woong Lee and Jae Wan Kwon}, title = {Frame rate up-conversion method based on texture adaptive bilateral motion estimation}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {60}, number = {3}, pages = {445--452}, year = {2014}, url = {https://doi.org/10.1109/TCE.2014.6937329}, doi = {10.1109/TCE.2014.6937329}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tce/KimKKLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tnn/LeeDPPSRK14, author = {Junhaeng Lee and Tobi Delbr{\"{u}}ck and Michael Pfeiffer and Paul K. J. Park and Chang{-}Woo Shin and Hyunsurk Ryu and Byung{-}Chang Kang}, title = {Real-Time Gesture Interface Based on Event-Driven Processing From Stereo Silicon Retinas}, journal = {{IEEE} Trans. Neural Networks Learn. Syst.}, volume = {25}, number = {12}, pages = {2250--2263}, year = {2014}, url = {https://doi.org/10.1109/TNNLS.2014.2308551}, doi = {10.1109/TNNLS.2014.2308551}, timestamp = {Tue, 29 Dec 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tnn/LeeDPPSRK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tvlsi/KangCLK14, author = {Wooheon Kang and Hyungjun Cho and Joohwan Lee and Sungho Kang}, title = {A {BIRA} for Memories With an Optimal Repair Rate Using Spare Memories for Area Reduction}, journal = {{IEEE} Trans. Very Large Scale Integr. Syst.}, volume = {22}, number = {11}, pages = {2336--2349}, year = {2014}, url = {https://doi.org/10.1109/TVLSI.2013.2288637}, doi = {10.1109/TVLSI.2013.2288637}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tvlsi/KangCLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aimech/HoangHSJ14, author = {Van{-}Dung Hoang and Danilo C{\'{a}}ceres Hern{\'{a}}ndez and Dong{-}Wook Seo and Kang{-}Hyun Jo}, title = {Optimal angular back-projection error for vehicle motion estimation using omnidirectional vision}, booktitle = {{IEEE/ASME} International Conference on Advanced Intelligent Mechatronics, {AIM} 2014, Besancon, France, July 8-11, 2014}, pages = {658--663}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/AIM.2014.6878154}, doi = {10.1109/AIM.2014.6878154}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/aimech/HoangHSJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KangOKSKK14, author = {In{-}Woong Kang and Kyu{-}Soon Ok and Youngmin Kim and Jae{-}Hyun Seo and Heung Mook Kim and Hyoung{-}Nam Kim}, title = {Design of a bit interleaver for the high-order constellation {DVB-T2} system}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2014, Beijing, China, June 25-27, 2014}, pages = {1--4}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/BMSB.2014.6873528}, doi = {10.1109/BMSB.2014.6873528}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/KangOKSKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/elinfocom/KangLKSKK14, author = {In{-}Woong Kang and Young{-}Jun Lee and Youngmin Kim and Jae{-}Hyun Seo and Heung Mook Kim and Hyoung{-}Nam Kim}, title = {A dual-polarized {MIMO} system based on {DVB-T2} for {UHDTV} transmission}, booktitle = {International Conference on Electronics, Information and Communications, {ICEIC} 2014, Kota Kinabalu, Sabah, Malaysia, January 15-18, 2014}, pages = {1--2}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ELINFOCOM.2014.6914447}, doi = {10.1109/ELINFOCOM.2014.6914447}, timestamp = {Mon, 09 Aug 2021 14:54:02 +0200}, biburl = {https://dblp.org/rec/conf/elinfocom/KangLKSKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/globecom/KwonHAK14, author = {Sang{-}Wook Kwon and June Hwang and Anil Agiwal and Hyunjeong Kang}, title = {Performance analysis of {DRX} mechanism considering analogue beamforming in millimeter-wave mobile broadband system}, booktitle = {2014 {IEEE} {GLOBECOM} Workshops, Austin, TX, USA, December 8-12, 2014}, pages = {802--807}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/GLOCOMW.2014.7063531}, doi = {10.1109/GLOCOMW.2014.7063531}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/globecom/KwonHAK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChoYKKKLKLH14, author = {Woon Jung Cho and Jin{-}Hee Yang and Hannah Kim and Dong{-}Hyun Kang and Minsun Kim and Ja Hyung Lee and Yong{-}Jun Kim and Joohyeon Lee and Kwang{-}Hee Han}, editor = {Constantine Stephanidis}, title = {CamouFAB: Real-Time Generation of Camouflage Pattern Using Optic Fiber Display}, booktitle = {{HCI} International 2014 - Posters' Extended Abstracts - International Conference, {HCI} International 2014, Heraklion, Crete, Greece, June 22-27, 2014. Proceedings, Part {I}}, series = {Communications in Computer and Information Science}, volume = {434}, pages = {570--573}, publisher = {Springer}, year = {2014}, url = {https://doi.org/10.1007/978-3-319-07857-1\_100}, doi = {10.1007/978-3-319-07857-1\_100}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ChoYKKKLKLH14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KimKKLK14, author = {Jin{-}Hyung Kim and Yun{-}Ho Ko and Hyun{-}Soo Kang and Si{-}Woong Lee and Jae Wan Kwon}, title = {Frame rate up-conversion method based on texture adaptive bilateral motion estimation}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2014, Las Vegas, NV, USA, January 10-13, 2014}, pages = {103--104}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ICCE.2014.6775927}, doi = {10.1109/ICCE.2014.6775927}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KimKKLK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/ParkLLKNC14, author = {Junbeom Park and Hongseok Lee and Kang{-}Woo Lee and Dongsoo S. Kim and Sangyep Nam and Hyunseung Choo}, editor = {Suk{-}Han Lee and Sang{-}Wook Kim and Lajos Hanzo and Roslan Ismail}, title = {No similarity attraction effect of ads on the ambivert, but interaction effect between ads and product personalities}, booktitle = {The 8th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '14, Siem Reap, Cambodia - January 09 - 11, 2014}, pages = {115:1--115:6}, publisher = {{ACM}}, year = {2014}, url = {https://doi.org/10.1145/2557977.2560714}, doi = {10.1145/2557977.2560714}, timestamp = {Thu, 23 May 2019 16:33:14 +0200}, biburl = {https://dblp.org/rec/conf/icuimc/ParkLLKNC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeSHBLLPCCCKCK14, author = {Hyun{-}Woo Lee and Junyoung Song and Sangah Hyun and Seunggeun Baek and Yuri Lim and Jungwan Lee and Minsu Park and Haerang Choi and Changkyu Choi and Jin{-}Youp Cha and Jaeil Kim and Hoon Choi and Seung{-}Wook Kwack and Yonggu Kang and Jongsam Kim and Junghoon Park and Jonghwan Kim and Jin{-}Hee Cho and Chulwoo Kim and Yunsaing Kim and Jaejin Lee and Byong{-}Tae Chung and Sung{-}Joo Hong}, title = {25.3 {A} 1.35V 5.0Gb/s/pin {GDDR5M} with 5.4mW standby power and an error-adaptive duty-cycle corrector}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {434--435}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757502}, doi = {10.1109/ISSCC.2014.6757502}, timestamp = {Mon, 09 Aug 2021 14:54:04 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeSHBLLPCCCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ParkHKNCKKLCKCK14, author = {Ki{-}Tae Park and Jin{-}Man Han and Dae{-}Han Kim and Sangwan Nam and Kihwan Choi and Minsu Kim and Pansuk Kwak and Doosub Lee and Yoon{-}Hee Choi and Kyung{-}Min Kang and Myung{-}Hoon Choi and Dong{-}Hun Kwak and Hyun Wook Park and Sang{-}Won Shim and Hyun{-}Jun Yoon and Doo{-}Hyun Kim and Sang{-}Won Park and Kangbin Lee and Kuihan Ko and Dong{-}Kyo Shim and Yang{-}Lo Ahn and Jeunghwan Park and Jinho Ryu and Donghyun Kim and Kyunghwa Yun and Joonsoo Kwon and Seunghoon Shin and Dongkyu Youn and Won{-}Tae Kim and Taehyun Kim and Sung{-}Jun Kim and Sungwhan Seo and Hyunggon Kim and Dae{-}Seok Byeon and Hyang{-}Ja Yang and Moosung Kim and Myong{-}Seok Kim and Jinseon Yeon and Jae{-}hoon Jang and Han{-}Soo Kim and Woonkyung Lee and Duheon Song and Sungsoo Lee and Kyehyun Kyung and Jeong{-}Hyuk Choi}, title = {19.5 Three-dimensional 128Gb {MLC} vertical {NAND} Flash-memory with 24-WL stacked layers and 50MB/s high-speed programming}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {334--335}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757458}, doi = {10.1109/ISSCC.2014.6757458}, timestamp = {Wed, 14 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/ParkHKNCKKLCKCK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/SongRJYPPBBOJKK14, author = {Taejoong Song and Woojin Rim and Jonghoon Jung and Giyong Yang and Jaeho Park and Sunghyun Park and Kang{-}Hyun Baek and Sanghoon Baek and Sang{-}Kyu Oh and Jinsuk Jung and Sungbong Kim and Gyu{-}Hong Kim and Jintae Kim and Young{-}Keun Lee and Kee Sup Kim and Sang{-}Pil Sim and Jong Shik Yoon and Kyu{-}Myung Choi}, title = {13.2 {A} 14nm FinFET 128Mb 6T {SRAM} with VMIN-enhancement techniques for low-power applications}, booktitle = {2014 {IEEE} International Conference on Solid-State Circuits Conference, {ISSCC} 2014, Digest of Technical Papers, San Francisco, CA, USA, February 9-13, 2014}, pages = {232--233}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/ISSCC.2014.6757413}, doi = {10.1109/ISSCC.2014.6757413}, timestamp = {Fri, 14 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/SongRJYPPBBOJKK14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ChoiLAKHLCKP14, author = {Jung{-}Hyun Choi and Sang{-}Mun Lee and Jinung An and Jeong{-}Hwan Kwak and Dae{-}Han Hong and Yoo{-}Jung Lee and Jang{-}Yoon Choi and Youn Sik Kang and Yong Woon Park}, title = {On-task adaptive design of spatula-shaped end effector for human rescue robot}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {230--231}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057446}, doi = {10.1109/URAI.2014.7057446}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/ChoiLAKHLCKP14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/HoangSKJ14, author = {Van{-}Dung Hoang and Dong{-}Wook Seo and Laksono Kurnianggoro and Kang{-}Hyun Jo}, title = {Path planning and global trajectory tracking control assistance to autonomous vehicle}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {646--650}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057486}, doi = {10.1109/URAI.2014.7057486}, timestamp = {Sat, 19 Oct 2019 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/HoangSKJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/ParkILLKKPCC14, author = {Daegeun Park and HyunKi In and Haemin Lee and Sangyeop Lee and Inwook Koo and Brian Byunghyun Kang and Keunyoung Park and Woo Sok Chang and Kyu{-}Jin Cho}, title = {Preliminary study for a soft wearable knee extensor to assist physically weak people}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {136--137}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057414}, doi = {10.1109/URAI.2014.7057414}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/ParkILLKKPCC14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/WahyonoKSJ14, author = {Wahyono and Laksono Kurnianggoro and Dong{-}Wook Seo and Kang{-}Hyun Jo}, title = {Visual perception of traffic sign for autonomous vehicle using k-nearest cluster neighbor classifier}, booktitle = {11th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2014, Kuala Lumpur, Malaysia, November 12-15, 2014}, pages = {672--675}, publisher = {{IEEE}}, year = {2014}, url = {https://doi.org/10.1109/URAI.2014.7057493}, doi = {10.1109/URAI.2014.7057493}, timestamp = {Sun, 25 Oct 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/urai/WahyonoKSJ14.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/air/LeeC13, author = {Kang{-}Woo Lee and Hyunseung Choo}, title = {A critical review of selective attention: an interdisciplinary perspective}, journal = {Artif. Intell. Rev.}, volume = {40}, number = {1}, pages = {27--50}, year = {2013}, url = {https://doi.org/10.1007/s10462-011-9278-y}, doi = {10.1007/S10462-011-9278-Y}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/air/LeeC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijdsn/KangKBJ13, author = {An{-}Na Kang and Hyun{-}Woo Kim and Leonard Barolli and Young{-}Sik Jeong}, title = {An Efficient {WSN} Simulator for GPU-Based Node Performance}, journal = {Int. J. Distributed Sens. Networks}, volume = {9}, year = {2013}, url = {https://doi.org/10.1155/2013/145863}, doi = {10.1155/2013/145863}, timestamp = {Mon, 03 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijdsn/KangKBJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/KimKKLK13, author = {Hyun{-}Soo Kim and Jung{-}Ha Kang and Do Wook Kang and Yang Sun Lee and Eun{-}Gi Kim}, title = {Automatic elimination of unnecessary packets for smart terminals in Wireless {LAN} environments}, journal = {J. Syst. Archit.}, volume = {59}, number = {9}, pages = {808--815}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.05.008}, doi = {10.1016/J.SYSARC.2013.05.008}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/KimKKLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsa/YuKPBK13, author = {Jaehak Yu and Hyunjoong Kang and DaeHeon Park and Hyo{-}Chan Bang and Do Wook Kang}, title = {An in-depth analysis on traffic flooding attacks detection and system using data mining techniques}, journal = {J. Syst. Archit.}, volume = {59}, number = {10-B}, pages = {1005--1012}, year = {2013}, url = {https://doi.org/10.1016/j.sysarc.2013.08.008}, doi = {10.1016/J.SYSARC.2013.08.008}, timestamp = {Mon, 24 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jsa/YuKPBK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13, author = {Kyomin Sohn and Taesik Na and Indal Song and Yong Shim and Wonil Bae and Sanghee Kang and Dongsu Lee and Hangyun Jung and Seok{-}Hun Hyun and Hanki Jeoung and Ki Won Lee and Jun{-}Seok Park and Jongeun Lee and Byunghyun Lee and Inwoo Jun and Juseop Park and Junghwan Park and Hundai Choi and Sanghee Kim and Haeyoung Chung and Young Choi and Dae{-}Hee Jung and Byungchul Kim and Jung{-}Hwan Choi and Seong{-}Jin Jang and Chi{-}Wook Kim and Jung{-}Bae Lee and Joo{-}Sun Choi}, title = {A 1.2 {V} 30 nm 3.2 Gb/s/pin 4 Gb {DDR4} {SDRAM} With Dual-Error Detection and PVT-Tolerant Data-Fetch Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {48}, number = {1}, pages = {168--177}, year = {2013}, url = {https://doi.org/10.1109/JSSC.2012.2213512}, doi = {10.1109/JSSC.2012.2213512}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SohnNSSBKLJHJLPLLJPPCKCCJKCJKLC13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/LeeKKR13, author = {Chang{-}Kyo Lee and Wan Kim and Hyun{-}Wook Kang and Seung{-}Tak Ryu}, title = {A Replica-Driving Technique for High Performance {SC} Circuits and Pipelined {ADC} Design}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {60-II}, number = {9}, pages = {557--561}, year = {2013}, url = {https://doi.org/10.1109/TCSII.2013.2268432}, doi = {10.1109/TCSII.2013.2268432}, timestamp = {Sun, 04 Aug 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/LeeKKR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/wpc/KangCRRL13, author = {Sung{-}Kwan Kang and Kyung{-}Yong Chung and Joong{-}Kyung Ryu and Kee{-}Wook Rim and Jung{-}Hyun Lee}, title = {Bio-Interactive Healthcare Service System Using Lifelog Based Context Computing}, journal = {Wirel. Pers. Commun.}, volume = {73}, number = {2}, pages = {341--351}, year = {2013}, url = {https://doi.org/10.1007/s11277-013-1242-5}, doi = {10.1007/S11277-013-1242-5}, timestamp = {Thu, 20 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/wpc/KangCRRL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmsb/KangLKSKK13, author = {In{-}Woong Kang and Young{-}Jun Lee and Youngmin Kim and Jae{-}Hyun Seo and Heung Mook Kim and Hyoung{-}Nam Kim}, title = {Performance evaluation of the {MIMO} bit mapper of the {DVB-T2} dual-polarized {MIMO} system}, booktitle = {{IEEE} International Symposium on Broadband Multimedia Systems and Broadcasting, {BMSB} 2013, Brunel University, London, United Kingdom, June 5-7, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/BMSB.2013.6621784}, doi = {10.1109/BMSB.2013.6621784}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/bmsb/KangLKSKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/chinasip/KangHLKU13, author = {Shin Jae Kang and Chang Woo Han and Kang Hyun Lee and Nam Soo Kim and Masashi Unoki}, title = {IMM-based feature compensation robust to slowly time-varying noise and reverberation}, booktitle = {2013 {IEEE} China Summit and International Conference on Signal and Information Processing, ChinaSIP 2013, Beijing, China, July 6-10, 2013}, pages = {313--317}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ChinaSIP.2013.6625351}, doi = {10.1109/CHINASIP.2013.6625351}, timestamp = {Sun, 25 Jul 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/chinasip/KangHLKU13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/embc/ParkRLSLWKKLD13, author = {Paul K. J. Park and Hyunsurk Ryu and Junhaeng Lee and Chang{-}Woo Shin and Kyoobin Lee and Jooyeon Woo and Jun{-}Seok Kim and Byung{-}Chang Kang and Shih{-}Chii Liu and Tobi Delbr{\"{u}}ck}, title = {Fast neuromorphic sound localization for binaural hearing aids}, booktitle = {35th Annual International Conference of the {IEEE} Engineering in Medicine and Biology Society, {EMBC} 2013, Osaka, Japan, July 3-7, 2013}, pages = {5275--5278}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/EMBC.2013.6610739}, doi = {10.1109/EMBC.2013.6610739}, timestamp = {Sat, 09 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/embc/ParkRLSLWKKLD13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hci/ChoSKLKKH13, author = {Woon Jung Cho and Hye{-}Kyoung Seo and Hannah Kim and Jiyeun Lee and Dong{-}Hyun Kang and Min{-}Ki Kim and Kwang{-}Hee Han}, editor = {Constantine Stephanidis}, title = {CamouLED: Real-Time Generation of Pixel Pattern for Camouflage}, booktitle = {{HCI} International 2013 - Posters' Extended Abstracts - International Conference, {HCI} International 2013, Las Vegas, NV, USA, July 21-26, 2013, Proceedings, Part {II}}, series = {Communications in Computer and Information Science}, volume = {374}, pages = {699--703}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-39476-8\_140}, doi = {10.1007/978-3-642-39476-8\_140}, timestamp = {Tue, 30 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hci/ChoSKLKKH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/HanLK13, author = {Chan{-}Hee Han and Si{-}Woong Lee and Hyun{-}Soo Kang}, title = {Low-complexity depth map generation for real-time 2D-to-3D video conversion}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {185--186}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486851}, doi = {10.1109/ICCE.2013.6486851}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/HanLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/JungBKCK13, author = {Kyeong{-}Hoon Jung and Min{-}Suk Bang and Sung{-}Hoon Kim and Hyun{-}Gon Choo and Dong Wook Kang}, title = {Visual quality improvement for hybrid 3DTV with mixed resolution using conditional replenishment algorithm}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {264--265}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6486888}, doi = {10.1109/ICCE.2013.6486888}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccel/JungBKCK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KangLK13, author = {Hyun{-}Soo Kang and Si{-}Woong Lee and Yun{-}Ho Ko}, title = {Context adaptive block scan for video coding}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2013, Las Vegas, NV, USA, January 11-14, 2013}, pages = {592--593}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICCE.2013.6487031}, doi = {10.1109/ICCE.2013.6487031}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KangLK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icira/KiSHKLH13, author = {Ki{-}Won Sung and Byung Kyun Shim and Won Jun Hwang and Eun Uk Kang and Woo Song Lee and Sung{-}Hyun Han}, editor = {Jangmyung Lee and Min Cheol Lee and Honghai Liu and Jee{-}Hwan Ryu}, title = {A Stable Walking Control of Two - Legs Biped Robot}, booktitle = {Intelligent Robotics and Applications - 6th International Conference, {ICIRA} 2013, Busan, South Korea, September 25-28, 2013, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {8103}, pages = {265--273}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-642-40849-6\_24}, doi = {10.1007/978-3-642-40849-6\_24}, timestamp = {Fri, 05 Mar 2021 11:49:33 +0100}, biburl = {https://dblp.org/rec/conf/icira/KiSHKLH13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icisc/WooKKKK13, author = {Jiyoung Woo and Hyun{-}Jae Kang and Ah Reum Kang and Hyukmin Kwon and Huy Kang Kim}, editor = {Hyang{-}Sook Lee and Dong{-}Guk Han}, title = {Who Is Sending a Spam Email: Clustering and Characterizing Spamming Hosts}, booktitle = {Information Security and Cryptology - {ICISC} 2013 - 16th International Conference, Seoul, Korea, November 27-29, 2013, Revised Selected Papers}, series = {Lecture Notes in Computer Science}, volume = {8565}, pages = {469--482}, publisher = {Springer}, year = {2013}, url = {https://doi.org/10.1007/978-3-319-12160-4\_28}, doi = {10.1007/978-3-319-12160-4\_28}, timestamp = {Fri, 27 Dec 2019 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icisc/WooKKKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/GoharKK13, author = {Moneeb Gohar and Hyung{-}Woo Kang and Seok Joo Koh}, title = {A seamless handover scheme in {LISP} networks}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {571--574}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675422}, doi = {10.1109/ICTC.2013.6675422}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/GoharKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangKKBP13, author = {Minsoo Kang and Bongsu Kim and Kwangseon Kim and Woojin Byun and Hyungchul Park}, title = {60 GHz wireless GbE link with {QPSK} modulation}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2013, Jeju Island, South Korea, 4-16 October 2013}, pages = {835--836}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ICTC.2013.6675492}, doi = {10.1109/ICTC.2013.6675492}, timestamp = {Fri, 04 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KangKKBP13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/KangKRKKKYJ13, author = {Dong{-}Ki Kang and Seong{-}Hwan Kim and Ye Ren and Byungsang Kim and Woojoong Kim and Yusik Kim and Chan{-}Hyun Youn and Chang{-}Sung Jeong}, title = {Enhancing a strategy of virtualized resource assignment in adaptive resource cloud framework}, booktitle = {The 7th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '13, Kota Kinabalu, Malaysia - January 17 - 19, 2013}, pages = {70}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2448556.2448626}, doi = {10.1145/2448556.2448626}, timestamp = {Tue, 07 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/KangKRKKKYJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icuimc/YenKNKK13, author = {Do Yen and Soo{-}Hyung Kim and In Seop Na and Dae Wook Kang and Jin Hyung Kim}, title = {Image retrieval using wavelet transform and shape decomposition}, booktitle = {The 7th International Conference on Ubiquitous Information Management and Communication, {ICUIMC} '13, Kota Kinabalu, Malaysia - January 17 - 19, 2013}, pages = {92}, publisher = {{ACM}}, year = {2013}, url = {https://doi.org/10.1145/2448556.2448648}, doi = {10.1145/2448556.2448648}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icuimc/YenKNKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isr/JungSPKHWLH10, author = {Sung{-}Won Jung and Ki{-}Won Sung and Moon{-}Youl Park and Eon{-}Uck Kang and Won Jun Hwang and Jong{-}Dae Won and Woo Song Lee and Sung{-}Hyun Han}, title = {A study on precise control of autonomous driving robot by voice recognition}, booktitle = {Proceedings of the 44th Internationel Symposium on Robotics, {IEEE} {ISR} 2013, Seoul, Korea (South), October 24-26, 2013}, pages = {1--3}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISR.2013.6695640}, doi = {10.1109/ISR.2013.6695640}, timestamp = {Wed, 16 Oct 2019 14:14:57 +0200}, biburl = {https://dblp.org/rec/conf/isr/JungSPKHWLH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/HongKSLCPR13, author = {Hyeok{-}Ki Hong and Hyun{-}Wook Kang and Barosaim Sung and Choong{-}Hoon Lee and Michael Choi and Ho{-}Jin Park and Seung{-}Tak Ryu}, title = {An 8.6 {ENOB} 900MS/s time-interleaved 2b/cycle {SAR} {ADC} with a 1b/cycle reconfiguration for resolution enhancement}, booktitle = {2013 {IEEE} International Solid-State Circuits Conference - Digest of Technical Papers, {ISSCC} 2013, San Francisco, CA, USA, February 17-21, 2013}, pages = {470--471}, publisher = {{IEEE}}, year = {2013}, url = {https://doi.org/10.1109/ISSCC.2013.6487819}, doi = {10.1109/ISSCC.2013.6487819}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/HongKSLCPR13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/medinfo/JungPKL13, author = {Eun{-}Young Jung and Dong{-}Kyun Park and Hyung Wook Kang and Yong Su Lim}, editor = {Christoph Ulrich Lehmann and Elske Ammenwerth and Christian N{\o}hr}, title = {Personalized Health Management Services Based on Personal Health Record {(PHR)}}, booktitle = {{MEDINFO} 2013 - Proceedings of the 14th World Congress on Medical and Health Informatics, 20-13 August 2013, Copenhagen, Denmark}, series = {Studies in Health Technology and Informatics}, volume = {192}, pages = {956}, publisher = {{IOS} Press}, year = {2013}, url = {https://doi.org/10.3233/978-1-61499-289-9-956}, doi = {10.3233/978-1-61499-289-9-956}, timestamp = {Wed, 03 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/medinfo/JungPKL13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/netgames/KwonWKKK13, author = {Hyukmin Kwon and Kyungmoon Woo and Hyunchul Kim and Chong{-}kwon Kim and Huy Kang Kim}, title = {Surgical strike: {A} novel approach to minimize collateral damage to game {BOT} detection}, booktitle = {Annual Workshop on Network and Systems Support for Games, NetGames '13, Denver, CO, USA, December 9-10, 2013}, pages = {14:1--14:2}, publisher = {{IEEE/ACM}}, year = {2013}, url = {http://dl.acm.org/citation.cfm?id=2664649}, timestamp = {Thu, 07 May 2015 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/netgames/KwonWKKK13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigmap/LeeKBLSJ13, author = {Chong Hyun Lee and Youn Joung Kang and Jinho Bae and Seung Wook Lee and Jungchae Shin and Jin Woo Jung}, editor = {Enrique Cabello and Maria Virvou and Mohammad S. Obaidat and Hong Ji and Petros Nicopolitidis and Dimitrios D. Vergados}, title = {Moving Object Classifier based on {UWB} Radar Signal}, booktitle = {{SIGMAP} and {WINSYS} 2013 - Proceedings of the 10th International Conference on Signal Processing and Multimedia Applications and 10th International Conference on Wireless Information Networks and Systems, Reykjav{\'{\i}}k, Iceland, 29-31 July, 2013}, pages = {185--190}, publisher = {SciTePress}, year = {2013}, url = {https://ieeexplore.ieee.org/document/7222909/}, timestamp = {Wed, 11 Aug 2021 17:02:32 +0200}, biburl = {https://dblp.org/rec/conf/sigmap/LeeKBLSJ13.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cp/LeeC12, author = {Kang{-}Woo Lee and Hyunseung Choo}, title = {A common computational process in cueing and conjunction search tasks}, journal = {Cogn. Process.}, volume = {13}, number = {1}, pages = {73--82}, year = {2012}, url = {https://doi.org/10.1007/s10339-011-0426-8}, doi = {10.1007/S10339-011-0426-8}, timestamp = {Thu, 04 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cp/LeeC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijmc/LeeLC12, author = {Kang{-}Woo Lee and Kwan Min Lee and Hyunseung Choo}, title = {Impression formation in mobile communication with Multimedia Caller Identification}, journal = {Int. J. Mob. Commun.}, volume = {10}, number = {3}, pages = {323--336}, year = {2012}, url = {https://doi.org/10.1504/IJMC.2012.048115}, doi = {10.1504/IJMC.2012.048115}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijmc/LeeLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2 {V} 12.8 GB/s 2 Gb Mobile Wide-I/O {DRAM} With 4 {\texttimes} 128 I/Os Using {TSV} Based Stacking}, journal = {{IEEE} J. Solid State Circuits}, volume = {47}, number = {1}, pages = {107--116}, year = {2012}, url = {https://doi.org/10.1109/JSSC.2011.2164731}, doi = {10.1109/JSSC.2011.2164731}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimOLLHHNMKPRPKKKBCJHLCJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KoKLK12, author = {Yun{-}Ho Ko and Jin{-}Hyung Kim and Si{-}Woong Lee and Hyun Soo Kang}, title = {Dual block truncation coding for overdriving of full {HD} {LCD} driver}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {58}, number = {1}, pages = {1--7}, year = {2012}, url = {https://doi.org/10.1109/TCE.2012.6170048}, doi = {10.1109/TCE.2012.6170048}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KoKLK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KimYML12, author = {Chong{-}Eun Kim and Kang{-}Hyun Yi and Gun{-}Woo Moon and Jun{-}Young Lee}, title = {Design of Low-Cost Address Energy Recovery Circuit of {AC-PDP} With Load-Adaptive Characteristics}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {59}, number = {1}, pages = {402--411}, year = {2012}, url = {https://doi.org/10.1109/TIE.2011.2134050}, doi = {10.1109/TIE.2011.2134050}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KimYML12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tii/KimSJ12, author = {Kanghee Kim and Minyoung Sung and Hyun{-}Wook Jin}, title = {Design and Implementation of a Delay-Guaranteed Motor Drive for Precision Motion Control}, journal = {{IEEE} Trans. Ind. Informatics}, volume = {8}, number = {2}, pages = {351--365}, year = {2012}, url = {https://doi.org/10.1109/TII.2011.2166774}, doi = {10.1109/TII.2011.2166774}, timestamp = {Thu, 21 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tii/KimSJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aina/JeongCKY12, author = {Hwi Woon Jeong and Jun Yeol Choi and Hyung Ku Kang and Hee Yong Youn}, editor = {Leonard Barolli and Tomoya Enokido and Fatos Xhafa and Makoto Takizawa}, title = {An Efficient Group-Based Channel Scanning Scheme for Handover with {IEEE} 802.16e}, booktitle = {26th International Conference on Advanced Information Networking and Applications Workshops, {WAINA} 2012, Fukuoka, Japan, March 26-29, 2012}, pages = {639--644}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/WAINA.2012.165}, doi = {10.1109/WAINA.2012.165}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/aina/JeongCKY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apcc/KangKK12, author = {Hyung{-}Woo Kang and Ji{-}In Kim and Seok Joo Koh}, title = {DHT-based identifier-locator mapping management for mobile oriented future internet}, booktitle = {18th Asia-Pacific Conference on Communications, {APCC} 2012, Jeju, Korea (South), October 15-17, 2012}, pages = {786--791}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/APCC.2012.6388215}, doi = {10.1109/APCC.2012.6388215}, timestamp = {Wed, 16 Oct 2019 14:14:54 +0200}, biburl = {https://dblp.org/rec/conf/apcc/KangKK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgit/ParkKLYY12, author = {Byung{-}Seok Park and Cheoul{-}Shin Kang and Young{-}Hun Lee and Hyun Woo Yoo and Kyung Shub Yoon}, editor = {Tai{-}Hoon Kim and Dae{-}Sik Ko and Thanos Vasilakos and Adrian Stoica and Jemal H. Abawajy}, title = {Design of {PLC} {NMS} Protocol for {AMI}}, booktitle = {Computer Applications for Communication, Networking, and Digital Contents - International Conferences, {FGCN} and {DCA} 2012, Held as Part of the Future Generation Information Technology Conference, {FGIT} 2012, Gangneug, Korea, December 16-19, 2012. Proceedings}, series = {Communications in Computer and Information Science}, volume = {350}, pages = {123--130}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-35594-3\_17}, doi = {10.1007/978-3-642-35594-3\_17}, timestamp = {Tue, 31 May 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/fgit/ParkKLYY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/hpcc/SuhLLKC12, author = {Young{-}Ho Suh and Kang{-}Woo Lee and Moohun Lee and Hyun Kim and Eun{-}Sun Cho}, editor = {Geyong Min and Jia Hu and Lei (Chris) Liu and Laurence Tianruo Yang and Seetharami Seelam and Laurent Lef{\`{e}}vre}, title = {{ICARS} : Integrated Control Architecture for the Robotic Mediator in Smart Environments: {A} Software Framework for the Robotic Mediator Collaborating with Smart Environments}, booktitle = {14th {IEEE} International Conference on High Performance Computing and Communication {\&} 9th {IEEE} International Conference on Embedded Software and Systems, {HPCC-ICESS} 2012, Liverpool, United Kingdom, June 25-27, 2012}, pages = {1541--1548}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/HPCC.2012.225}, doi = {10.1109/HPCC.2012.225}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/hpcc/SuhLLKC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccel/KoKKL12, author = {Yun{-}Ho Ko and Jin{-}Hyung Kim and Hyun{-}Soo Kang and Si{-}Woong Lee}, title = {Dual block truncation coding for overdriving of full {HD} {LCD} driver}, booktitle = {{IEEE} International Conference on Consumer Electronics, {ICCE} 2012, Las Vegas, NV, USA, January 13-16, 2012}, pages = {471--472}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICCE.2012.6161948}, doi = {10.1109/ICCE.2012.6161948}, timestamp = {Tue, 23 Jan 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccel/KoKKL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/LeeCBLCKLCKKJ12, author = {Seung{-}Joo Lee and Jung{-}Sik Cho and Min{-}Suk Bang and Dong{-}Hee Lee and Hyun{-}Gon Choo and Sung{-}Hoon Kim and Jooyoung Lee and JinSoo Choi and Jinwoong Kim and Dong Wook Kang and Kyeong{-}Hoon Jung}, editor = {Geuk Lee and Daniel Howard and Jeong Jin Kang and Dominik Slezak}, title = {Quality Analysis of Conditional Replenishment Algorithm for Hybrid 3DTV with Mixed Resolution}, booktitle = {Convergence and Hybrid Information Technology - 6th International Conference, {ICHIT} 2012, Daejeon, Korea, August 23-25, 2012. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {7425}, pages = {736--743}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-3-642-32645-5\_92}, doi = {10.1007/978-3-642-32645-5\_92}, timestamp = {Fri, 06 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/LeeCBLCKLCKKJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LeePSRKD12, author = {Junhaeng Lee and Paul K. J. Park and Chang{-}Woo Shin and Hyunsurk Ryu and Byung{-}Chang Kang and Tobi Delbr{\"{u}}ck}, title = {Touchless hand gesture {UI} with instantaneous responses}, booktitle = {19th {IEEE} International Conference on Image Processing, {ICIP} 2012, Lake Buena Vista, Orlando, FL, USA, September 30 - October 3, 2012}, pages = {1957--1960}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ICIP.2012.6467270}, doi = {10.1109/ICIP.2012.6467270}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/LeePSRKD12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/KangCRL12, author = {Sung{-}Kwan Kang and Kyung{-}Yong Chung and Kee{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Kuinam J. Kim and Kyung{-}Yong Chung}, title = {Context-Aware Statistical Inference System for Effective Object Recognition}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2012, Pyeong Chang, Korea, December 5-7, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {215}, pages = {843--851}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5860-5\_101}, doi = {10.1007/978-94-007-5860-5\_101}, timestamp = {Wed, 24 May 2017 08:27:16 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/KangCRL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/KangKCRRL12, author = {Sung{-}Kwan Kang and Jong{-}Hun Kim and Kyung{-}Yong Chung and Joong{-}Kyung Ryu and Kee{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Kuinam J. Kim and Kyung{-}Yong Chung}, title = {Evolutionary Bio-Interaction Knowledge Accumulation for Smart Healthcare}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2012, Pyeong Chang, Korea, December 5-7, 2012}, series = {Lecture Notes in Electrical Engineering}, volume = {215}, pages = {425--432}, publisher = {Springer}, year = {2012}, url = {https://doi.org/10.1007/978-94-007-5860-5\_52}, doi = {10.1007/978-94-007-5860-5\_52}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icitcs/KangKCRRL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/LeeLLC12, author = {Hongseok Lee and Kang{-}Woo Lee and Kwan Min Lee and Hyunseung Choo}, editor = {Younghan Kim and Cheeha Kim and Panjai Tantatsanawong}, title = {Similarity attraction effects in mobile advertisement: Interaction between user personality and advertisement personality}, booktitle = {2012 International Conference on Information Networking, {ICOIN} 2012, Bali, Indonesia, February 1-3, 2012}, pages = {506--511}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/ICOIN.2012.6164429}, doi = {10.1109/ICOIN.2012.6164429}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/LeeLLC12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpr/ParkLSRKCG12, author = {Paul K. J. Park and Junhaeng Lee and Chang{-}Woo Shin and Hyunsurk Ryu and Byung{-}Chang Kang and Gail A. Carpenter and Stephen Grossberg}, title = {Gesture recognition system based on Adaptive Resonance Theory}, booktitle = {Proceedings of the 21st International Conference on Pattern Recognition, {ICPR} 2012, Tsukuba, Japan, November 11-15, 2012}, pages = {3818--3822}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://ieeexplore.ieee.org/document/6460997/}, timestamp = {Tue, 10 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icpr/ParkLSRKCG12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeDPPSRK12, author = {Junhaeng Lee and Tobi Delbr{\"{u}}ck and Paul K. J. Park and Michael Pfeiffer and Chang{-}Woo Shin and Hyunsurk Ryu and Byung{-}Chang Kang}, title = {Live demonstration: Gesture-based remote control using stereo pair of dynamic vision sensors}, booktitle = {2012 {IEEE} International Symposium on Circuits and Systems, {ISCAS} 2012, Seoul, Korea (South), May 20-23, 2012}, pages = {741--745}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISCAS.2012.6272144}, doi = {10.1109/ISCAS.2012.6272144}, timestamp = {Thu, 16 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeDPPSRK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isocc/OhPSJBK12, author = {Seung{-}Wook Oh and Hyung{-}Min Park and Joon{-}Hyup Seo and Jae{-}Young Jang and Gi{-}Yeol Bae and Jin{-}Ku Kang}, title = {A 60 to 200MHz {SSCG} with approximate Hershey-Kiss modulation profile in 0.11{\(\mathrm{\mu}\)}m {CMOS}}, booktitle = {International SoC Design Conference, {ISOCC} 2012, Jeju Island, South Korea, November 4-7, 2012}, pages = {423--426}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISOCC.2012.6406886}, doi = {10.1109/ISOCC.2012.6406886}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isocc/OhPSJBK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimBCBCPALCLKKCNPSJHL12, author = {Kiduk Kim and San{-}Ho Byun and Yoon{-}Kyung Choi and Jong{-}Hak Baek and Hwa{-}Hyun Cho and Jong Kang Park and Hae{-}Yong Ahn and Chang{-}Ju Lee and Min{-}Soo Cho and Joo{-}Hyeon Lee and Sang{-}Woo Kim and Hyung{-}Dal Kwon and Yong{-}Yeob Choi and Hosuk Na and Junchul Park and Yeon{-}Joong Shin and Kyungsuk Jang and Gyoocheol Hwang and Myunghee Lee}, title = {A capacitive touch controller robust to display noise for ultrathin touch screen displays}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {116--117}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176943}, doi = {10.1109/ISSCC.2012.6176943}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimBCBCPALCLKKCNPSJHL12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12, author = {Daeyeal Lee and Ik Joon Chang and Sangyong Yoon and Joonsuc Jang and Dong{-}Su Jang and Wook{-}Ghee Hahn and Jong{-}Yeol Park and Doo{-}Gon Kim and Chiweon Yoon and Bong{-}Soon Lim and ByungJun Min and Sung{-}Won Yun and Ji{-}Sang Lee and Il{-}Han Park and Kyung{-}Ryun Kim and Jeong{-}Yun Yun and Youse Kim and Yong{-}Sung Cho and Kyung{-}Min Kang and Sang{-}Hyun Joo and Jin{-}Young Chun and Jung{-}No Im and Seunghyuk Kwon and Seokjun Ham and Ansoo Park and Jae{-}Duk Yu and Nam{-}Hee Lee and Tae{-}Sung Lee and Moosung Kim and Hoosung Kim and Ki{-}Whan Song and Byung{-}Gil Jeon and Kihwan Choi and Jin{-}Man Han and Kyehyun Kyung and Youngho Lim and Young{-}Hyun Jun}, title = {A 64Gb 533Mb/s {DDR} interface {MLC} {NAND} Flash in sub-20nm technology}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {430--432}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6177077}, doi = {10.1109/ISSCC.2012.6177077}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeCYJJHPKYLMYLPKYKCKJCIKHPYLLKKSJCHKLJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLSKKKKCPCK12, author = {Hyun{-}Woo Lee and Soo{-}Bin Lim and Junyoung Song and Jabeom Koo and Dae{-}Han Kwon and Jong{-}Ho Kang and Yunsaing Kim and Young{-}Jung Choi and Kunwoo Park and Byong{-}Tae Chung and Chulwoo Kim}, title = {A 283.2{\(\mu\)}W 800Mb/s/pin DLL-based data self-aligner for Through-Silicon Via {(TSV)} interface}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {48--50}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176873}, doi = {10.1109/ISSCC.2012.6176873}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLSKKKKCPCK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LimJWLKKCKKPJ12, author = {Kyu{-}Nam Lim and Woong{-}Ju Jang and Hyung{-}Sik Won and Kang{-}Yeol Lee and Hyungsoo Kim and Dong{-}Whee Kim and Mi{-}Hyun Cho and Seung{-}Lo Kim and Jong{-}Ho Kang and Keun{-}Woo Park and Byung{-}Tae Jeong}, title = {A 1.2V 23nm 6F\({}^{\mbox{2}}\) 4Gb {DDR3} {SDRAM} with local-bitline sense amplifier, hybrid {LIO} sense amplifier and dummy-less array architecture}, booktitle = {2012 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2012, San Francisco, CA, USA, February 19-23, 2012}, pages = {42--44}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ISSCC.2012.6176870}, doi = {10.1109/ISSCC.2012.6176870}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LimJWLKKCKKPJ12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/VladimirovKPLSK12, author = {Blagovest Vladimirov and Hyun Kim and Nam{-}Shik Park and Kang{-}Woo Lee and Young{-}Ho Suh and Hyuongsun Kim}, title = {Autonomous behavior control subsystem for robotic computer}, booktitle = {The 21st {IEEE} International Symposium on Robot and Human Interactive Communication, {IEEE} {RO-MAN} 2012, Paris, France, September 9-13, 2012}, pages = {906--912}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/ROMAN.2012.6343866}, doi = {10.1109/ROMAN.2012.6343866}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/VladimirovKPLSK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtss/KimOHKCH12, author = {Jinwoo Kim and Hyunok Oh and Hyojin Ha and Shin{-}Haeng Kang and Junchul Choi and Soonhoi Ha}, title = {An ILP-based Worst-case Performance Analysis Technique for Distributed Real-time Embedded Systems}, booktitle = {Proceedings of the 33rd {IEEE} Real-Time Systems Symposium, {RTSS} 2012, San Juan, PR, USA, December 4-7, 2012}, pages = {363--372}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/RTSS.2012.86}, doi = {10.1109/RTSS.2012.86}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtss/KimOHKCH12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/uic/KangKJPY12, author = {Hyung Ku Kang and Dae{-}Gun Kim and Hwi Woon Jeong and Geon Yong Park and Hee Yong Youn}, editor = {Bernady O. Apduhan and Ching{-}Hsien Hsu and Tadashi Dohi and Kenji Ishida and Laurence Tianruo Yang and Jianhua Ma}, title = {A Novel Interval Grey Number and Entropy-based Solution for Multiple-Criteria Group Decision Making Problem}, booktitle = {9th International Conference on Ubiquitous Intelligence and Computing and 9th International Conference on Autonomic and Trusted Computing, {UIC/ATC} 2012, Fukuoka, Japan, September 4-7, 2012}, pages = {349--356}, publisher = {{IEEE} Computer Society}, year = {2012}, url = {https://doi.org/10.1109/UIC-ATC.2012.93}, doi = {10.1109/UIC-ATC.2012.93}, timestamp = {Thu, 01 Feb 2024 20:40:31 +0100}, biburl = {https://dblp.org/rec/conf/uic/KangKJPY12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vtc/KangLHHPK12, author = {Taewook Kang and Ingi Lim and Junghwan Hwang and Changhee Hyoung and Hyungil Park and Sungweon Kang}, title = {A Method of Increasing Data Rate for Human Body Communication System for Body Area Network Applications}, booktitle = {Proceedings of the 76th {IEEE} Vehicular Technology Conference, {VTC} Fall 2012, Quebec City, QC, Canada, September 3-6, 2012}, pages = {1--5}, publisher = {{IEEE}}, year = {2012}, url = {https://doi.org/10.1109/VTCFall.2012.6398980}, doi = {10.1109/VTCFALL.2012.6398980}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/vtc/KangLHHPK12.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijaac/JosephKC11, author = {Shaine Joseph and Hyung Woo Kang and Uday K. Chakraborty}, title = {Lens design as multi-objective optimisation}, journal = {Int. J. Autom. Control.}, volume = {5}, number = {3}, pages = {189--218}, year = {2011}, url = {https://doi.org/10.1504/IJAAC.2011.042851}, doi = {10.1504/IJAAC.2011.042851}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijaac/JosephKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijhr/LeeHKC11, author = {Kang{-}Woo Lee and Jung{-}Hoon Hwang and Dong{-}Soo Kwon and Hyunseung Choo}, title = {Bring Common Ground into Robotics}, journal = {Int. J. Humanoid Robotics}, volume = {8}, number = {3}, pages = {607--629}, year = {2011}, url = {https://doi.org/10.1142/S021984361100254X}, doi = {10.1142/S021984361100254X}, timestamp = {Fri, 13 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijhr/LeeHKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/itiis/RyuCKKC11, author = {Min{-}Woo Ryu and Si{-}Ho Cha and Jin{-}Gwang Koh and Seokjoong Kang and Kuk{-}Hyun Cho}, title = {Position-based Routing Algorithm for Improving Reliability of Inter-Vehicle Communication}, journal = {{KSII} Trans. Internet Inf. Syst.}, volume = {5}, number = {8}, pages = {1388--1403}, year = {2011}, url = {https://doi.org/10.3837/tiis.2011.08.002}, doi = {10.3837/TIIS.2011.08.002}, timestamp = {Tue, 16 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/itiis/RyuCKKC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jcamd/LeeLRKLLPPBC11, author = {Jin Hee Lee and Yoonji Lee and HyungChul Ryu and Dong Wook Kang and Jeewoo Lee and Jozsef Lazar and Larry V. Pearce and Vladimir A. Pavlyukovets and Peter M. Blumberg and Sun Choi}, title = {Structural insights into transient receptor potential vanilloid type 1 {(TRPV1)} from homology modeling, flexible docking, and mutational studies}, journal = {J. Comput. Aided Mol. Des.}, volume = {25}, number = {4}, pages = {317--327}, year = {2011}, url = {https://doi.org/10.1007/s10822-011-9421-5}, doi = {10.1007/S10822-011-9421-5}, timestamp = {Sun, 02 Oct 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jcamd/LeeLRKLLPPBC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KoKL11, author = {Yun{-}Ho Ko and Hyun Soo Kang and Si{-}Woong Lee}, title = {Adaptive search range motion estimation using neighboring motion vector differences}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {57}, number = {2}, pages = {726--730}, year = {2011}, url = {https://doi.org/10.1109/TCE.2011.5955214}, doi = {10.1109/TCE.2011.5955214}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KoKL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcsv/KimLKKP11, author = {Hong{-}Sik Kim and Joohong Lee and Hyunjin Kim and Sungho Kang and Woo{-}Chan Park}, title = {A Lossless Color Image Compression Architecture Using a Parallel Golomb-Rice Hardware {CODEC}}, journal = {{IEEE} Trans. Circuits Syst. Video Technol.}, volume = {21}, number = {11}, pages = {1581--1587}, year = {2011}, url = {https://doi.org/10.1109/TCSVT.2011.2129350}, doi = {10.1109/TCSVT.2011.2129350}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/tcsv/KimLKKP11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aciids/LeeLC11, author = {Kang{-}Woo Lee and Kwan Min Lee and Hyunseung Choo}, editor = {Ngoc Thanh Nguyen and Bogdan Trawinski and Jason J. Jung}, title = {Sending Social Impression via a Mobile Phone}, booktitle = {New Challenges for Intelligent Information and Database Systems [original works presented during a poster session organized within the 3rd Asian Conference on Intelligent Information and Database Systems, 20-22 April 2011, Daegu, Korea]}, series = {Studies in Computational Intelligence}, volume = {351}, pages = {267--276}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-19953-0\_27}, doi = {10.1007/978-3-642-19953-0\_27}, timestamp = {Thu, 16 Mar 2023 20:00:28 +0100}, biburl = {https://dblp.org/rec/conf/aciids/LeeLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/aici/KimBKJK11, author = {Junghyun Kim and Sung Wook Baik and Kangseok Kim and Changduk Jung and Wonil Kim}, editor = {Hepu Deng and Duoqian Miao and Jingsheng Lei and Fu Lee Wang}, title = {A Cartoon Image Classification System Using {MPEG-7} Descriptors}, booktitle = {Artificial Intelligence and Computational Intelligence - Third International Conference, {AICI} 2011, Taiyuan, China, September 24-25, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {7003}, pages = {368--375}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-23887-1\_46}, doi = {10.1007/978-3-642-23887-1\_46}, timestamp = {Mon, 16 Sep 2019 15:29:37 +0200}, biburl = {https://dblp.org/rec/conf/aici/KimBKJK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apscc/HyunK11, author = {Wook Hyun and Shin{-}Gak Kang}, editor = {James J. Park and Christos Nikolaou and Jiannong Cao}, title = {Interoperable Telepresence Services: Beyond HD-Videoconferences and Towards Telepresence}, booktitle = {2011 {IEEE} Asia-Pacific Services Computing Conference, {APSCC} 2011, Jeju, Korea (South), December 12-15, 2011}, pages = {327--329}, publisher = {{IEEE} Computer Society}, year = {2011}, url = {https://doi.org/10.1109/APSCC.2011.68}, doi = {10.1109/APSCC.2011.68}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/apscc/HyunK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/JeongSKKKJ11, author = {Soonmook Jeong and Taehoun Song and Hyungmin Kim and Miyoung Kang and Key Ho Kwon and Jae Wook Jeon}, editor = {Beniamino Murgante and Osvaldo Gervasi and Andr{\'{e}}s Iglesias and David Taniar and Bernady O. Apduhan}, title = {Human Neck's Posture Measurement using a 3-Axis Accelerometer Sensor}, booktitle = {Computational Science and Its Applications - {ICCSA} 2011 - International Conference, Santander, Spain, June 20-23, 2011. Proceedings, Part {V}}, series = {Lecture Notes in Computer Science}, volume = {6786}, pages = {96--109}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21934-4\_9}, doi = {10.1007/978-3-642-21934-4\_9}, timestamp = {Thu, 04 Apr 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/JeongSKKKJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/HongLNLKSKLKKJMLC11, author = {YouSik Hong and Sang{-}Suk Lee and Dong{-}Hyun Nam and Woo{-}Beom Lee and Hongkyun Kim and Young Jun Song and Kwang{-}Baek Kim and Geuk Lee and Jeong Jin Kang and Rethina Kumar and HyunSoo Jin and Chanwoo Moon and Young Dae Lee and ChangDuk Chung}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Smart Pulse Wave Detection System Using Intelligence}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {6935}, pages = {213--220}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24082-9\_26}, doi = {10.1007/978-3-642-24082-9\_26}, timestamp = {Sat, 30 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ichit/HongLNLKSKLKKJMLC11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/HyunKLK11, author = {Wook Hyun and Sung{-}Hei Kim and Chang{-}Kyu Lee and Shin{-}Gak Kang}, editor = {Geuk Lee and Daniel Howard and Dominik Slezak}, title = {Study on Architectural Model and Design of P2P-Based Streaming Client}, booktitle = {Convergence and Hybrid Information Technology - 5th International Conference, {ICHIT} 2011, Daejeon, Korea, September 22-24, 2011. Proceedings}, series = {Communications in Computer and Information Science}, volume = {206}, pages = {1--7}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-24106-2\_1}, doi = {10.1007/978-3-642-24106-2\_1}, timestamp = {Thu, 28 Dec 2017 16:02:42 +0100}, biburl = {https://dblp.org/rec/conf/ichit/HyunKLK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icitcs/KangCRL11, author = {Sung{-}Kwan Kang and Kyung{-}Yong Chung and Kee{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Kuinam J. Kim and Seong{-}Jin Ahn}, title = {Development of Real-Time Gesture Recognition System Using Visual Interaction}, booktitle = {Proceedings of the International Conference on {IT} Convergence and Security, {ICITCS} 2011, Suwon, Korea, December 14-16, 2011}, series = {Lecture Notes in Electrical Engineering}, volume = {120}, pages = {295--306}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-94-007-2911-7\_25}, doi = {10.1007/978-94-007-2911-7\_25}, timestamp = {Tue, 01 Feb 2022 08:29:46 +0100}, biburl = {https://dblp.org/rec/conf/icitcs/KangCRL11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ieaaie/DebLWJ11, author = {Kaushik Deb and My Ha Le and Byung{-}Seok Woo and Kang{-}Hyun Jo}, editor = {Kishan G. Mehrotra and Chilukuri K. Mohan and Jae C. Oh and Pramod K. Varshney and Moonis Ali}, title = {Automatic Vehicle Identification by Plate Recognition for Intelligent Transportation System Applications}, booktitle = {Modern Approaches in Applied Intelligence - 24th International Conference on Industrial Engineering and Other Applications of Applied Intelligent Systems, {IEA/AIE} 2011, Syracuse, NY, USA, June 28 - July 1, 2011, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {6704}, pages = {163--172}, publisher = {Springer}, year = {2011}, url = {https://doi.org/10.1007/978-3-642-21827-9\_17}, doi = {10.1007/978-3-642-21827-9\_17}, timestamp = {Sat, 19 Oct 2019 20:15:00 +0200}, biburl = {https://dblp.org/rec/conf/ieaaie/DebLWJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ispacs/KangSJLSK11, author = {In{-}Woong Kang and Ki{-}Hwan Suh and Taejin Jung and Hyoungsoo Lim and Hyun{-}Chool Shin and Hyoung{-}Nam Kim}, title = {Performance of the {DVB-T2} system with {MIMO} Spatial Multiplexing}, booktitle = {International Symposium on Intelligent Signal Processing and Communications Systems, {ISPACS} 2011, Chiang Mai, Thailand, December 7-9, 2011}, pages = {1--4}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISPACS.2011.6146201}, doi = {10.1109/ISPACS.2011.6146201}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ispacs/KangSJLSK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Tae{-}Young Oh and Si{-}Hong Kim and Yun{-}Seok Yang and Dae{-}Hyun Kim and Sang{-}Hyup Kwak and Ho{-}Seok Seol and Chang{-}Ho Shin and Min{-}Sang Park and Gong{-}Heom Han and Byeong{-}Cheol Kim and Yong{-}Ki Cho and Hye{-}Ran Kim and Su{-}Yeon Doo and Young{-}Sik Kim and Dong{-}Seok Kang and Young{-}Ryeol Choi and Sam{-}Young Bang and Sun{-}Young Park and Yong{-}Jae Shin and Gil{-}Shin Moon and Cheol{-}Goo Park and Woo{-}Seop Kim and Hyang{-}Ja Yang and Jeong{-}Don Lim and Kwang{-}Il Park and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 40nm 2Gb 7Gb/s/pin {GDDR5} {SDRAM} with a programmable {DQ} ordering crosstalk equalizer and adjustable clock-tracking {BW}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {498--500}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746414}, doi = {10.1109/ISSCC.2011.5746414}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSOKYKKSSPHKCKDKKCBPSMPKYLPCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11, author = {Jung{-}Sik Kim and Chi Sung Oh and Hocheol Lee and Donghyuk Lee and Hyong{-}Ryol Hwang and Sooman Hwang and Byongwook Na and Joungwook Moon and Jin{-}Guk Kim and Hanna Park and Jang{-}Woo Ryu and Kiwon Park and Sang{-}Kyu Kang and So{-}Young Kim and Hoyoung Kim and Jong{-}Min Bang and Hyunyoon Cho and Minsoo Jang and Cheolmin Han and Jung{-}Bae Lee and Kyehyun Kyung and Joo{-}Sun Choi and Young{-}Hyun Jun}, title = {A 1.2V 12.8GB/s 2Gb mobile Wide-I/O {DRAM} with 4{\texttimes}128 I/Os using TSV-based stacking}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2011, Digest of Technical Papers, San Francisco, CA, USA, 20-24 February, 2011}, pages = {496--498}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/ISSCC.2011.5746413}, doi = {10.1109/ISSCC.2011.5746413}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimOLLHHNMKPRPKKKBCJHLKCJ11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sigcomm/WooKKKK11, author = {Kyungmoon Woo and Hyukmin Kwon and Hyunchul Kim and Chong{-}kwon Kim and Huy Kang Kim}, editor = {Srinivasan Keshav and J{\"{o}}rg Liebeherr and John W. Byers and Jeffrey C. Mogul}, title = {What can free money tell us on the virtual black market?}, booktitle = {Proceedings of the {ACM} {SIGCOMM} 2011 Conference on Applications, Technologies, Architectures, and Protocols for Computer Communications, Toronto, ON, Canada, August 15-19, 2011}, pages = {392--393}, publisher = {{ACM}}, year = {2011}, url = {https://doi.org/10.1145/2018436.2018484}, doi = {10.1145/2018436.2018484}, timestamp = {Fri, 12 Mar 2021 14:14:34 +0100}, biburl = {https://dblp.org/rec/conf/sigcomm/WooKKKK11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/urai/KimSLV11, author = {Hyun Kim and Young{-}Ho Suh and Kang{-}Woo Lee and Blagovest Vladimirov}, title = {Introduction to system architecture for a robotic computer}, booktitle = {8th International Conference on Ubiquitous Robots and Ambient Intelligence, {URAI} 2011, Incheon, Korea (South), November 23-26, 2011}, pages = {607--611}, publisher = {{IEEE}}, year = {2011}, url = {https://doi.org/10.1109/URAI.2011.6145892}, doi = {10.1109/URAI.2011.6145892}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/urai/KimSLV11.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieiceee/KimJKAPK10, author = {Hong{-}Sik Kim and Young H. Jung and Hyunjin Kim and Jin{-}Ho Ahn and Woo{-}Chan Park and Sungho Kang}, title = {A high performance network-on-chip scheme using lossless data compression}, journal = {{IEICE} Electron. Express}, volume = {7}, number = {11}, pages = {791--796}, year = {2010}, url = {https://doi.org/10.1587/elex.7.791}, doi = {10.1587/ELEX.7.791}, timestamp = {Tue, 27 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ieiceee/KimJKAPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/AhnLKKWH10, author = {Jae Hyun Ahn and Jae{-}Hyun Lee and Tae{-}Woong Koo and MyungGil Kang and Dongmok Whang and SungWoo Hwang}, title = {Synthesis of Small Diameter Silicon Nanowires on SiO\({}_{\mbox{2}}\) and Si\({}_{\mbox{3}}\)N\({}_{\mbox{4}}\) Surfaces}, journal = {{IEICE} Trans. Electron.}, volume = {93-C}, number = {5}, pages = {546--551}, year = {2010}, url = {https://doi.org/10.1587/transele.E93.C.546}, doi = {10.1587/TRANSELE.E93.C.546}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/AhnLKKWH10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jsea/YangCCPK10, author = {Kwang Mo Yang and Young Wook Cho and Seung Hee Choi and Jae Hyun Park and Kyoung Sik Kang}, title = {A Study on Development of Balanced Scorecard for Management Evaluation Using Multiple Attribute Decision Making}, journal = {J. Softw. Eng. Appl.}, volume = {3}, number = {3}, pages = {268--272}, year = {2010}, url = {https://doi.org/10.4236/jsea.2010.33032}, doi = {10.4236/JSEA.2010.33032}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jsea/YangCCPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangCHPLKACAKLJKJKCCYCKJ10, author = {Uksong Kang and Hoeju Chung and Seongmoo Heo and Dukha Park and Hoon Lee and Jin Ho Kim and Soon{-}Hong Ahn and Sooho Cha and Jaesung Ahn and Dukmin Kwon and Jaewook Lee and Han{-}Sung Joo and Woo{-}Seop Kim and Dong Hyeon Jang and Nam{-}Seog Kim and Jung{-}Hwan Choi and Tae{-}Gyeong Chung and Jei{-}Hwan Yoo and Joo{-}Sun Choi and Changhyun Kim and Young{-}Hyun Jun}, title = {8 Gb 3-D {DDR3} {DRAM} Using Through-Silicon-Via Technology}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {1}, pages = {111--119}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2009.2034408}, doi = {10.1109/JSSC.2009.2034408}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KangCHPLKACAKLJKJKCCYCKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/SongKYKKCKKPKTPKLOJYPOKJ10, author = {Ki{-}Whan Song and Jinyoung Kim and Jae{-}Man Yoon and Sua Kim and Huijung Kim and Hyun{-}Woo Chung and Hyungi Kim and Kanguk Kim and Hwan{-}Wook Park and Hyun Chul Kang and Nam{-}Kyun Tak and Dukha Park and Woo{-}Seop Kim and Yeong{-}Taek Lee and Yong Chul Oh and Gyo{-}Young Jin and Jei{-}Hwan Yoo and Donggun Park and Kyungseok Oh and Changhyun Kim and Young{-}Hyun Jun}, title = {A 31 ns Random Cycle VCAT-Based 4F \({}^{\mbox{2}}\) {DRAM} With Manufacturability and Enhanced Cell Efficiency}, journal = {{IEEE} J. Solid State Circuits}, volume = {45}, number = {4}, pages = {880--888}, year = {2010}, url = {https://doi.org/10.1109/JSSC.2010.2040229}, doi = {10.1109/JSSC.2010.2040229}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/SongKYKKCKKPKTPKLOJYPOKJ10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/sensors/KimBKYLKK10, author = {Kwangsu Kim and Woonhyuk Baek and Jung{-}Min Kim and Tae{-}Sik Yoon and Hyun Ho Lee and Chi Jung Kang and Yong{-}Sang Kim}, title = {A Nanopore Structured High Performance Toluene Gas Sensor Made by Nanoimprinting Method}, journal = {Sensors}, volume = {10}, number = {1}, pages = {765--774}, year = {2010}, url = {https://doi.org/10.3390/s100100765}, doi = {10.3390/S100100765}, timestamp = {Fri, 31 May 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/sensors/KimBKYLKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcad/YangCKK10, author = {Myung{-}Hoon Yang and Hyungjun Cho and Wooheon Kang and Sungho Kang}, title = {{EOF:} Efficient Built-In Redundancy Analysis Methodology With Optimal Repair Rate}, journal = {{IEEE} Trans. Comput. Aided Des. Integr. Circuits Syst.}, volume = {29}, number = {7}, pages = {1130--1135}, year = {2010}, url = {https://doi.org/10.1109/TCAD.2010.2044846}, doi = {10.1109/TCAD.2010.2044846}, timestamp = {Mon, 15 Jul 2024 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcad/YangCKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tip/JungWKL10, author = {Hyung{-}Sup Jung and Joong{-}Sun Won and Myung{-}Ho Kang and Yong{-}Woong Lee}, title = {Detection and Restoration of Defective Lines in the {SPOT} 4 {SWIR} Band}, journal = {{IEEE} Trans. Image Process.}, volume = {19}, number = {8}, pages = {2143--2156}, year = {2010}, url = {https://doi.org/10.1109/TIP.2010.2046796}, doi = {10.1109/TIP.2010.2046796}, timestamp = {Sun, 10 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tip/JungWKL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/3dica/KangLSK10, author = {Ho{-}Hyun Kang and Jung{-}Woo Lee and Dong{-}Hak Shin and Eun{-}Soo Kim}, editor = {Atilla Baskurt}, title = {Efficient compression scheme by use of the region division of elemental images on {MALT} in three-dimensional integral imaging}, booktitle = {Three-Dimensional Image Processing {(3DIP)} and Applications, San Jose, California, USA, January 18-19, 2010}, series = {{SPIE} Proceedings}, volume = {7526}, pages = {75260I}, publisher = {{SPIE}}, year = {2010}, url = {https://doi.org/10.1117/12.839442}, doi = {10.1117/12.839442}, timestamp = {Tue, 23 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/3dica/KangLSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iasam/KangLSK10, author = {Hyunkyoo Kang and Chang{-}Woo Lee and Keehyun Shin and Sang{-}Chul Kim}, title = {Modeling and Matching Design of a Tension Controller Using Pendulum Dancer in Roll-to-Roll Systems}, booktitle = {Annual Meeting of the {IEEE} Industry Applications Society, {IAS} 2010, Houston, TX, USA, 3-7 October, 2010, Proceedings}, pages = {1--8}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/IAS.2010.5615473}, doi = {10.1109/IAS.2010.5615473}, timestamp = {Wed, 16 Oct 2019 14:14:56 +0200}, biburl = {https://dblp.org/rec/conf/iasam/KangLSK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icassp/ParkSKLC10, author = {Yun{-}Sik Park and Ji{-}Hyun Song and Sang{-}Ick Kang and Woojung Lee and Joon{-}Hyuk Chang}, title = {A statistical model-based double-talk detection incorporating soft decision}, booktitle = {Proceedings of the {IEEE} International Conference on Acoustics, Speech, and Signal Processing, {ICASSP} 2010, 14-19 March 2010, Sheraton Dallas Hotel, Dallas, Texas, {USA}}, pages = {5082--5085}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICASSP.2010.5495051}, doi = {10.1109/ICASSP.2010.5495051}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icassp/ParkSKLC10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/HongL10a, author = {KangWoon Hong and Hyun{-}Woo Lee}, title = {A study on interface with commercial network to construct heterogeneous access network environment}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {499--500}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674776}, doi = {10.1109/ICTC.2010.5674776}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/ictc/HongL10a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KangHHLPK10, author = {Taewook Kang and Junghwan Hwang and Changhee Hyoung and Ingi Lim and Hyungil Park and Sungweon Kang}, title = {Required transmitter power for frequency selective digital transmission on the effect of the human body channel}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {26--27}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674732}, doi = {10.1109/ICTC.2010.5674732}, timestamp = {Fri, 02 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ictc/KangHHLPK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/KimJLCKK10, author = {Jaehwan Kim and Jindoo Jeong and Hyung{-}Soo Lee and Sangsung Choi and Jeong{-}Ki Kim and Do{-}Wook Kang}, title = {Non-coherent synchronization for {WBAN} {UWB} systems}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {33--34}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674730}, doi = {10.1109/ICTC.2010.5674730}, timestamp = {Tue, 08 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/KimJLCKK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/WookK10, author = {Hyun Wook and ShinGak Kang}, title = {Design and implementation of SIP-based mobile VoIP application for multiple smartphone {OS}}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {565--568}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674765}, doi = {10.1109/ICTC.2010.5674765}, timestamp = {Tue, 08 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/WookK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ictc/YoonLCK10, author = {Chanho Yoon and Woo Yong Lee and Hyun Kyu Chung and Joonhyuk Kang}, title = {Packet transmission utilizing single-carrier {FDE} technique in a modified {CDMA2000} based cellular system}, booktitle = {International Conference on Information and Communication Technology Convergence, {ICTC} 2010, Jeju, South Korea, 17-19 November 2010}, pages = {260--263}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ICTC.2010.5674675}, doi = {10.1109/ICTC.2010.5674675}, timestamp = {Tue, 08 May 2018 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ictc/YoonLCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isbi/NamKLR10, author = {Woo Hyun Nam and Dong{-}Goo Kang and Duhgoon Lee and Jong Beom Ra}, title = {Robust registration of 3-D ultrasound and {CT} images of the liver for image-guided intervention}, booktitle = {Proceedings of the 2010 {IEEE} International Symposium on Biomedical Imaging: From Nano to Macro, Rotterdam, The Netherlands, 14-17 April, 2010}, pages = {1201--1204}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISBI.2010.5490210}, doi = {10.1109/ISBI.2010.5490210}, timestamp = {Wed, 04 Oct 2023 17:01:25 +0200}, biburl = {https://dblp.org/rec/conf/isbi/NamKLR10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/LeeKYPLKKJKRKCKCCK10, author = {Hyun{-}Woo Lee and Yong{-}Hoon Kim and Won{-}Joo Yun and Eun Young Park and Kang Youl Lee and Jaeil Kim and Kwang Hyun Kim and Jongho Jung and Kyung Whan Kim and Nam Gyu Rye and Kwan{-}Weon Kim and Jun Hyun Chun and Chulwoo Kim and Young{-}Jung Choi and Byong{-}Tae Chung and Joong Sik Kih}, title = {A 7.7mW/1.0ns/1.35V delay locked loop with racing mode and {OA-DCC} for {DRAM} interface}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2010), May 30 - June 2, 2010, Paris, France}, pages = {3861--3864}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISCAS.2010.5537703}, doi = {10.1109/ISCAS.2010.5537703}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/LeeKYPLKKJKRKCKCCK10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/ChangKCCHKBOKKKCRP10, author = {Jae{-}Hong Chang and Huijung Kim and Jeong{-}Hyun Choi and Hangun Chung and Jungwook Heo and Sanghoon Kang and Jong{-}Dae Bae and Heetae Oh and Youngwoon Kim and Taek{-}Won Kwon and Ryan Kim and Wooseung Choo and Dojun Rhee and Byeong{-}Ha Park}, title = {A multistandard multiband mobile {TV} {RF} SoC in 65nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {462--463}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5433847}, doi = {10.1109/ISSCC.2010.5433847}, timestamp = {Mon, 13 Feb 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/ChangKCCHKBOKKKCRP10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimCBKCAPLWKCLCYL10, author = {Hyoung{-}Rae Kim and Yoon{-}Kyung Choi and San{-}Ho Byun and Sang{-}Woo Kim and Kwang{-}Ho Choi and Hae{-}Yong Ahn and Jong Kang Park and Dong{-}Yul Lee and Zhong{-}Yuan Wu and Hyung{-}Dal Kwon and Yong{-}Yeob Choi and Chang{-}Ju Lee and Hwa{-}Hyun Cho and Jae{-}Suk Yu and Myunghee Lee}, title = {A mobile-display-driver {IC} embedding a capacitive-touch-screen controller system}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2010, Digest of Technical Papers, San Francisco, CA, USA, 7-11 February, 2010}, pages = {114--115}, publisher = {{IEEE}}, year = {2010}, url = {https://doi.org/10.1109/ISSCC.2010.5434080}, doi = {10.1109/ISSCC.2010.5434080}, timestamp = {Sun, 21 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimCBKCAPLWKCLCYL10.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jucs/SeoCKCJ09, author = {Dong{-}Wook Seo and Hyun{-}Uk Chae and Byeong{-}Woo Kim and Won{-}Ho Choi and Kang{-}Hyun Jo}, title = {Human Tracking based on Multiple View Homography}, journal = {J. Univers. Comput. Sci.}, volume = {15}, number = {13}, pages = {2463--2484}, year = {2009}, url = {https://doi.org/10.3217/jucs-015-13-2463}, doi = {10.3217/JUCS-015-13-2463}, timestamp = {Thu, 07 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jucs/SeoCKCJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KangKCKK09, author = {Jeung{-}Mo Kang and Jae{-}Wook Kim and Jeong{-}Hyeon Choi and Du{-}Hyun Kim and Ho{-}Ki Kwon}, title = {Life-time estimation of high-power blue light-emitting diode chips}, journal = {Microelectron. Reliab.}, volume = {49}, number = {9-11}, pages = {1231--1235}, year = {2009}, url = {https://doi.org/10.1016/j.microrel.2009.07.052}, doi = {10.1016/J.MICROREL.2009.07.052}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KangKCKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/scientometrics/ParkK09, author = {Hyun Woo Park and Jay Kang}, title = {Patterns of scientific and technological knowledge flows based on scientific papers and patents}, journal = {Scientometrics}, volume = {81}, number = {3}, pages = {811--820}, year = {2009}, url = {https://doi.org/10.1007/s11192-008-2224-3}, doi = {10.1007/S11192-008-2224-3}, timestamp = {Fri, 17 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/scientometrics/ParkK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YiCM09, author = {Kang{-}Hyun Yi and Seong{-}Wook Choi and Gun{-}Woo Moon}, title = {New Dual Sustaining Driver Using Two Different Energy Recovery Circuits for Large-Sized Plasma Display Panels (PDPs)}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {56}, number = {1}, pages = {221--230}, year = {2009}, url = {https://doi.org/10.1109/TIE.2008.925772}, doi = {10.1109/TIE.2008.925772}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YiCM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YiM09, author = {Kang{-}Hyun Yi and Gun{-}Woo Moon}, title = {Novel Two-Phase Interleaved {LLC} Series-Resonant Converter Using a Phase of the Resonant Capacitor}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {56}, number = {5}, pages = {1815--1819}, year = {2009}, url = {https://doi.org/10.1109/TIE.2008.2011310}, doi = {10.1109/TIE.2008.2011310}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YiM09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YiM09a, author = {Kang{-}Hyun Yi and Gun{-}Woo Moon}, title = {Energy-Recovery Circuit Using an Address Voltage Source for PDPs}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {56}, number = {8}, pages = {3264--3266}, year = {2009}, url = {https://doi.org/10.1109/TIE.2009.2022513}, doi = {10.1109/TIE.2009.2022513}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YiM09a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clrimg/KimKAKS09, author = {Kyoung{-}Tae Kim and Choon{-}Woo Kim and Ji Young Ahn and Dong{-}Woo Kang and Hyun{-}Ho Shin}, editor = {Reiner Eschbach and Gabriel G. Marcu and Shoji Tominaga and Alessandro Rizzi}, title = {Preferred color correction for digital {LCD} TVs}, booktitle = {Color Imaging {XIV:} Displaying, Processing, Hardcopy, and Applications, San Jose, CA, USA, January 20-22, 2009}, series = {{SPIE} Proceedings}, volume = {7241}, pages = {72410A}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.806085}, doi = {10.1117/12.806085}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clrimg/KimKAKS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/clrimg/RyuYKAKS09, author = {Byong Tae Ryu and Jee Young Yeom and Choon{-}Woo Kim and Ji Young Ahn and Dong{-}Woo Kang and Hyun{-}Ho Shin}, editor = {Reiner Eschbach and Gabriel G. Marcu and Shoji Tominaga and Alessandro Rizzi}, title = {Extraction of memory colors for preferred color correction in digital TVs}, booktitle = {Color Imaging {XIV:} Displaying, Processing, Hardcopy, and Applications, San Jose, CA, USA, January 20-22, 2009}, series = {{SPIE} Proceedings}, volume = {7241}, pages = {72410H}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.806090}, doi = {10.1117/12.806090}, timestamp = {Fri, 19 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/clrimg/RyuYKAKS09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cse/LeeKSK09, author = {Kangpyo Lee and Hyunwoo Kim and Hyopil Shin and Hyoung{-}Joo Kim}, title = {Tag Sense Disambiguation for Clarifying the Vocabulary of Social Tags}, booktitle = {Proceedings of the 12th {IEEE} International Conference on Computational Science and Engineering, {CSE} 2009, Vancouver, BC, Canada, August 29-31, 2009}, pages = {729--734}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/CSE.2009.454}, doi = {10.1109/CSE.2009.454}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cse/LeeKSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dphoto/KangOLL09, author = {Joo Young Kang and Hyun Wook Ok and JaeGuyn Lim and Seong{-}Deok Lee}, editor = {Brian G. Rodricks and Sabine S{\"{u}}sstrunk}, title = {Chromatic aberration reduction through optical feature modeling}, booktitle = {Digital Photography V, part of the IS{\&}T-SPIE Electronic Imaging Symposium, San Jose, CA, USA, January 19-20, 2009, Proceedings}, series = {{SPIE} Proceedings}, volume = {7250}, pages = {72500}, publisher = {{SPIE}}, year = {2009}, url = {https://doi.org/10.1117/12.805763}, doi = {10.1117/12.805763}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dphoto/KangOLL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fuzzIEEE/HaJKJKZ09, author = {JungWoo Ha and Joon Hwan Jang and Do{-}Hyung Kang and Wi Hoon Jung and Jun Soo Kwon and Byoung{-}Tak Zhang}, title = {Gender classification with cortical thickness measurement from magnetic resonance imaging by using a feature selection method based on evolutionary hypernetworks}, booktitle = {{FUZZ-IEEE} 2009, {IEEE} International Conference on Fuzzy Systems, Jeju Island, Korea, 20-24 August 2009, Proceedings}, pages = {41--46}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/FUZZY.2009.5277402}, doi = {10.1109/FUZZY.2009.5277402}, timestamp = {Mon, 05 Feb 2024 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fuzzIEEE/HaJKJKZ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/BaekKNK09, author = {Seok{-}Chul Baek and Sukwon Kang and Hyung Noh and Sang{-}Wook Kim}, editor = {Yannis E. Ioannidis and Dik Lun Lee and Raymond T. Ng}, title = {Contents-Based Analysis of Community Formation and Evolution in Blogspace}, booktitle = {Proceedings of the 25th International Conference on Data Engineering, {ICDE} 2009, March 29 2009 - April 2 2009, Shanghai, China}, pages = {1607--1610}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/ICDE.2009.159}, doi = {10.1109/ICDE.2009.159}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/BaekKNK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ichit/HyunKK09, author = {Wook Hyun and Shin{-}Gak Kang and Dae Young Kim}, editor = {Geuk Lee and Daniel Howard and Jeong Jin Kang and Dominik Slezak and Tae Nam Ahn and Chung{-}Huang Yang}, title = {Study on service model for multimedia streaming and file hosting services using distributed overlay network}, booktitle = {Proceedings of the 2009 International Conference on Hybrid Information Technology, {ICHIT} 2009, Daejeon, Korea, August 27-29, 2009}, series = {{ACM} International Conference Proceeding Series}, volume = {321}, pages = {434--437}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1644993.1645073}, doi = {10.1145/1644993.1645073}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ichit/HyunKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icic/KimSCJ09, author = {Taeho Kim and Dong{-}Wook Seo and Hyun{-}Uk Chae and Kang{-}Hyun Jo}, editor = {De{-}Shuang Huang and Kang{-}Hyun Jo and Hong{-}Hee Lee and Hee{-}Jun Kang and Vitoantonio Bevilacqua}, title = {Auto-surveillance for Object to Bring In/Out Using Multiple Camera}, booktitle = {Emerging Intelligent Computing Technology and Applications, 5th International Conference on Intelligent Computing, {ICIC} 2009, Ulsan, South Korea, September 16-19, 2009. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5754}, pages = {595--604}, publisher = {Springer}, year = {2009}, url = {https://doi.org/10.1007/978-3-642-04070-2\_65}, doi = {10.1007/978-3-642-04070-2\_65}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/icic/KimSCJ09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/HanCWCK09, author = {Jong{-}Woo Han and Kang{-}Sun Choi and Tae{-}Shick Wang and Sung{-}Hyun Cheon and Sung{-}Jea Ko}, title = {Wavelet based seam carving for content-aware image resizing}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {345--348}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5414414}, doi = {10.1109/ICIP.2009.5414414}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icip/HanCWCK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icip/LimOPKL09, author = {JaeGuyn Lim and Hyun Wook Ok and Byung Kwan Park and Joo Young Kang and Seong{-}Deok Lee}, title = {Improving the spatail resolution based on 4D light field data}, booktitle = {Proceedings of the International Conference on Image Processing, {ICIP} 2009, 7-10 November 2009, Cairo, Egypt}, pages = {1173--1176}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ICIP.2009.5413719}, doi = {10.1109/ICIP.2009.5413719}, timestamp = {Thu, 25 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icip/LimOPKL09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icwn/YoonKPPK09, author = {Hyun S. Yoon and Jin Woo Kim and Sang H. Pack and Soon J. Park and Chung Gu Kang}, editor = {Hamid R. Arabnia and Victor A. Clincy}, title = {Location-Dependent Network Discovery {\&} Adaptive Handover Decision for Vertical Handover in Broadband Wireless Access Networks}, booktitle = {Proceedings of the 2009 International Conference on Wireless Networks, {ICWN} 2009, July 13-16, 2009, Las Vegas Nevada, USA, 2 Volumes}, pages = {595--601}, publisher = {{CSREA} Press}, year = {2009}, timestamp = {Mon, 25 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icwn/YoonKPPK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangCHALCAKKLJKKLKMJKCOLJYK09, author = {Uksong Kang and Hoeju Chung and Seongmoo Heo and Soon{-}Hong Ahn and Hoon Lee and Sooho Cha and Jaesung Ahn and Dukmin Kwon and Jin Ho Kim and Jaewook Lee and Han{-}Sung Joo and Woo{-}Seop Kim and Hyun{-}Kyung Kim and Eun{-}Mi Lee and So{-}Ra Kim and Keum{-}Hee Ma and Dong{-}Hyun Jang and Nam{-}Seog Kim and Man{-}Sik Choi and Sae{-}Jang Oh and Jung{-}Bae Lee and Tae{-}Kyung Jung and Jei{-}Hwan Yoo and Changhyun Kim}, title = {8Gb 3D {DDR3} {DRAM} using through-silicon-via technology}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {130--131}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977342}, doi = {10.1109/ISSCC.2009.4977342}, timestamp = {Wed, 16 Oct 2019 14:14:55 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KangCHALCAKKLJKKLKMJKCOLJYK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09, author = {Hyun{-}Woo Lee and Won{-}Joo Yun and Young{-}Kyoung Choi and Hyang{-}Hwa Choi and Jong{-}Jin Lee and Ki{-}Han Kim and Shin{-}Deok Kang and Ji{-}Yeon Yang and Jae{-}Suck Kang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Byong{-}Tae Chung}, title = {A 1.6V 3.3Gb/s {GDDR3} {DRAM} with dual-mode phase- and delay-locked loop using power-noise management with unregulated power supply in 54nm {CMOS}}, booktitle = {{IEEE} International Solid-State Circuits Conference, {ISSCC} 2009, Digest of Technical Papers, San Francisco, CA, USA, 8-12 February, 2009}, pages = {140--141}, publisher = {{IEEE}}, year = {2009}, url = {https://doi.org/10.1109/ISSCC.2009.4977347}, doi = {10.1109/ISSCC.2009.4977347}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeYCCLKKYKLLSKCSSMKLPKCAC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iv/MyungLKK09, author = {Ho{-}Sung Myung and Byung{-}Gook Lee and Hyung{-}Woo Kim and Shang{-}Hyun Kang}, editor = {Ebad Banissi and Liz J. Stuart and Theodor G. Wyeld and Mikael Jern and Gennady L. Andrienko and Nasrullah Memon and Reda Alhajj and Remo Aslak Burkhard and Georges G. Grinstein and Dennis P. Groth and Anna Ursyn and Jimmy Johansson and Camilla Forsell and Urska Cvek and Marjan Trutschl and Francis T. Marchese and Carsten Maple and Andrew J. Cowell and Andrew Vande Moere}, title = {A Study on Effective Visual Communication Method with the "Pictomation' Contents in Augmented Reality Environment}, booktitle = {13th International Conference on Information Visualisation, {IV} 2009, 15-17 July 2009, Barcelona, Spain}, pages = {274--279}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/IV.2009.104}, doi = {10.1109/IV.2009.104}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iv/MyungLKK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/momm/LeeC09, author = {Kang{-}Woo Lee and Hyunseung Choo}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede}, title = {Joint attention, joint probability}, booktitle = {MoMM'2009 - The 7th International Conference on Advances in Mobile Computing and Multimedia, 14-16 December 2009, Kuala Lumpur, Malaysia}, pages = {609--613}, publisher = {{ACM}}, year = {2009}, url = {https://doi.org/10.1145/1821748.1821866}, doi = {10.1145/1821748.1821866}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/momm/LeeC09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ni/ParkYJWCKJKLAP09, author = {Ihn{-}sook Park and Cheong{-}suk Yoo and Young{-}hee Joo and Kyung{-}shun Woo and Woan{-}heui Choi and Hyun{-}sook Kang and Mi{-}ra Jung and Hee{-}jin Kim and Su{-}hee Lee and Seon{-}yeong Ahn and Mi{-}ok Park}, editor = {Kaija Saranto and Patricia Flatley Brennan and Hyeoun{-}Ae Park and Marianne Tallberg and Anneli Ensio}, title = {Evaluation of the Completeness of the Nursing Process for Patients Having Gastrectomy Using Electronic Nursing Records}, booktitle = {Connecting Health and Humans - Proceedings of NI2009, The 10th International Congress on Nursing Informatics, 28 June - 1 July 2009, Helsinki, Finland}, series = {Studies in Health Technology and Informatics}, volume = {146}, pages = {739--740}, publisher = {{IOS} Press}, year = {2009}, url = {https://doi.org/10.3233/978-1-60750-024-7-739}, doi = {10.3233/978-1-60750-024-7-739}, timestamp = {Tue, 09 Feb 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ni/ParkYJWCKJKLAP09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/KimLSK09, author = {Hyunwoo Kim and Kangpyo Lee and Hyopil Shin and Hyoung{-}Joo Kim}, editor = {Haeng{-}Kon Kim and Roger Y. Lee}, title = {Tag Suggestion Method Based on Association Pattern and Bigram Approach}, booktitle = {10th {ACIS} International Conference on Software Engineering, Artificial Intelligences, Networking and Parallel/Distributed Computing, {SNPD} 2009, in conjunction with 3rd International Workshop on e-Activity, {IWEA} 2009, 1st International Workshop on Enterprise Architecture Challenges and Responses, {WEACR} 2009, Catholic University of Daegu, Daegu, Korea, 27-29 May 2009}, pages = {63--68}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SNPD.2009.72}, doi = {10.1109/SNPD.2009.72}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/KimLSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/snpd/LeeKSK09, author = {Kangpyo Lee and Hyunwoo Kim and Hyopil Shin and Hyoung{-}Joo Kim}, editor = {Haeng{-}Kon Kim and Roger Y. Lee}, title = {FolksoViz: {A} Semantic Relation-Based Folksonomy Visualization Using the Wikipedia Corpus}, booktitle = {10th {ACIS} International Conference on Software Engineering, Artificial Intelligences, Networking and Parallel/Distributed Computing, {SNPD} 2009, in conjunction with 3rd International Workshop on e-Activity, {IWEA} 2009, 1st International Workshop on Enterprise Architecture Challenges and Responses, {WEACR} 2009, Catholic University of Daegu, Daegu, Korea, 27-29 May 2009}, pages = {24--29}, publisher = {{IEEE} Computer Society}, year = {2009}, url = {https://doi.org/10.1109/SNPD.2009.80}, doi = {10.1109/SNPD.2009.80}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/snpd/LeeKSK09.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/bmcbi/LeeKKCS08, author = {Yong Seok Lee and Tae{-}Hyung Kim and Tae{-}Wook Kang and Won{-}Hyong Chung and Gwang{-}Sik Shin}, title = {WSPMaker: a web tool for calculating selection pressure in proteins and domains using window-sliding}, journal = {{BMC} Bioinform.}, volume = {9}, number = {{S-12}}, year = {2008}, url = {https://doi.org/10.1186/1471-2105-9-S12-S13}, doi = {10.1186/1471-2105-9-S12-S13}, timestamp = {Mon, 26 Oct 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/bmcbi/LeeKKCS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijflis/KimKKKK08, author = {Jae{-}Woo Kim and Don{-}Go Kim and Sanggil Kang and Donghyun Kim and Wonil Kim}, title = {Database using Personal Information Management System}, journal = {Int. J. Fuzzy Log. Intell. Syst.}, volume = {8}, number = {4}, pages = {260--263}, year = {2008}, url = {https://doi.org/10.5391/IJFIS.2008.8.4.260}, doi = {10.5391/IJFIS.2008.8.4.260}, timestamp = {Fri, 03 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijflis/KimKKKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/LeeCCKCOLKPWPRC08, author = {KwangJin Lee and Beak{-}Hyung Cho and Woo{-}Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hyung{-}Rok Oh and Changsoo Lee and Hye{-}Jin Kim and Joon{-}min Park and Qi Wang and Mu{-}Hui Park and Yu{-}Hwan Ro and Joon{-}Yong Choi and Ki{-}Sung Kim and Young{-}Ran Kim and In{-}Cheol Shin and Ki{-}won Lim and Ho{-}Keun Cho and ChangHan Choi and Won{-}ryul Chung and Du{-}Eung Kim and Yong{-}Jin Yoon and Kwang{-}Suk Yu and Gi{-}Tae Jeong and Hong{-}Sik Jeong and Choong{-}Keun Kwak and Chang{-}Hyun Kim and Kinam Kim}, title = {A 90 nm 1.8 {V} 512 Mb Diode-Switch {PRAM} With 266 MB/s Read Throughput}, journal = {{IEEE} J. Solid State Circuits}, volume = {43}, number = {1}, pages = {150--162}, year = {2008}, url = {https://doi.org/10.1109/JSSC.2007.908001}, doi = {10.1109/JSSC.2007.908001}, timestamp = {Sun, 30 Aug 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/LeeCCKCOLKPWPRC08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mr/KimRSKKLCPPCSKK08, author = {Se Woon Kim and Kang Seob Roh and Seung Hwan Seo and Kwan Young Kim and Gu Cheol Kang and Sunyeong Lee and Chang Min Choi and So Ra Park and Jun Hyun Park and Ki Chan Chun and Kwan Jae Song and Dae Hwan Kim and Dong Myong Kim}, title = {Extraction of interface states at emitter-base heterojunctions in AlGaAs/GaAs heterostructure bipolar transistors using sub-bandgap photonic excitation}, journal = {Microelectron. Reliab.}, volume = {48}, number = {3}, pages = {382--388}, year = {2008}, url = {https://doi.org/10.1016/j.microrel.2007.09.005}, doi = {10.1016/J.MICROREL.2007.09.005}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mr/KimRSKKLCPPCSKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/YiHCKM08, author = {Kang{-}Hyun Yi and Sang{-}Kyoo Han and Seong{-}Wook Choi and Chong{-}Eun Kim and Gun{-}Woo Moon}, title = {A Simple and Highly Efficient Energy Recovery Circuit for a Plasma Display Panel {(PDP)}}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {55}, number = {2}, pages = {782--790}, year = {2008}, url = {https://doi.org/10.1109/TIE.2007.896039}, doi = {10.1109/TIE.2007.896039}, timestamp = {Fri, 22 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/YiHCKM08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/bmei/KimLJRCKKYKKKH08, author = {Gi{-}Beum Kim and Mun{-}Yong Lee and Seol{-}Hee Jeon and Md. Mizanur Rahman and Woo{-}Suk Chong and Min{-}Ho Kim and Seong{-}Jong Kim and Suck{-}Ju Yoon and In{-}Shick Kim and Jin{-}Shang Kim and Hyung{-}Sub Kang and Chul{-}Un Hong}, title = {Design of the Implantable Artificial Lung using Computational Fluid Dynamics}, booktitle = {Proceedings of the 2008 International Conference on BioMedical Engineering and Informatics, {BMEI} 2008, May 28-30, 2008, Sanya, Hainan, China - Volume 2}, pages = {603--605}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/BMEI.2008.106}, doi = {10.1109/BMEI.2008.106}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/bmei/KimLJRCKKYKKKH08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dphoto/LimKO08, author = {JaeGuyn Lim and Joo Young Kang and Hyun Wook Ok}, editor = {Jeffrey M. DiCarlo and Brian G. Rodricks}, title = {Robust local restoration of space-variant blur image}, booktitle = {Digital Photography IV, San Jose, CA, USA, January 28-29, 2008}, series = {{SPIE} Proceedings}, volume = {6817}, pages = {68170S}, publisher = {{SPIE}}, year = {2008}, url = {https://doi.org/10.1117/12.766456}, doi = {10.1117/12.766456}, timestamp = {Sun, 21 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/dphoto/LimKO08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/euc/JuKHKK08, author = {Hyung{-}jun Ju and Se{-}Young Kim and Jung{-}woo Han and Ki{-}Man Kim and Seok{-}yeb Kang}, editor = {Cheng{-}Zhong Xu and Minyi Guo}, title = {Speech Enhancement Using Spatial Processing and Modified Excitation Source for Underwater Speech Communication}, booktitle = {2008 {IEEE/IPIP} International Conference on Embedded and Ubiquitous Computing {(EUC} 2008), Shanghai, China, December 17-20, 2008, Volume {II:} Workshops}, pages = {647--650}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/EUC.2008.183}, doi = {10.1109/EUC.2008.183}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/euc/JuKHKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icarcv/MataiSKLK08, author = {Janarbek Matai and Young{-}Ho Suh and Hyuongsun Kim and Kang{-}Woo Lee and Hyun Kim}, title = {Integration framework for interoperability of distributed and heterogeneous robot middlewares}, booktitle = {10th International Conference on Control, Automation, Robotics and Vision, {ICARCV} 2008, Hanoi, Vietnam, 17-20 December 2008, Proceedings}, pages = {2337--2343}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICARCV.2008.4795898}, doi = {10.1109/ICARCV.2008.4795898}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/icarcv/MataiSKLK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iiwas/HalderCPKPP08, author = {Sharly Joana Halder and Tae Young Choi and Jin Hyung Park and Sung Hun Kang and Sin Woo Park and Joon Goo Park}, editor = {Gabriele Kotsis and David Taniar and Eric Pardede and Ismail Khalil Ibrahim}, title = {Enhanced ranging using adaptive filter of {ZIGBEE} {RSSI} and {LQI} measurement}, booktitle = {iiWAS'2008 - The Tenth International Conference on Information Integration and Web-based Applications Services, 24-26 November 2008, Linz, Austria}, pages = {367--373}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1497308.1497374}, doi = {10.1145/1497308.1497374}, timestamp = {Tue, 06 Nov 2018 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iiwas/HalderCPKPP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08, author = {Seung{-}Jun Bae and Young{-}Soo Sohn and Kwang{-}Il Park and Kyoung{-}Ho Kim and Dae{-}Hyun Chung and Jingook Kim and Si{-}Hong Kim and Min{-}Sang Park and Jae{-}Hyung Lee and Sam{-}Young Bang and Ho{-}Kyung Lee and In{-}Soo Park and Jae{-}Sung Kim and Dae{-}Hyun Kim and Hye{-}Ran Kim and Yong{-}Jae Shin and Cheol{-}Goo Park and Gil{-}Shin Moon and Ki{-}Woong Yeom and Kang{-}Young Kim and Jae{-}Young Lee and Hyang{-}Ja Yang and Seong{-}Jin Jang and Joo{-}Sun Choi and Young{-}Hyun Jun and Kinam Kim}, title = {A 60nm 6Gb/s/pin {GDDR5} Graphics {DRAM} with Multifaceted Clocking and ISI/SSN-Reduction Techniques}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {278--279}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523165}, doi = {10.1109/ISSCC.2008.4523165}, timestamp = {Tue, 29 Jun 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/BaeSPKCKKPLBLPKKKSPMYKLYJCJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeKPLCLKLYSKCY08, author = {Dong{-}Uk Lee and Shin{-}Deok Kang and Nak{-}Kyu Park and Hyun{-}Woo Lee and Young{-}Kyoung Choi and Jung{-}Woo Lee and Seung{-}Wook Kwack and Hyeong{-}Ouk Lee and Won{-}Joo Yun and Sang{-}Hoon Shin and Kwan{-}Weon Kim and Young{-}Jung Choi and Ye Seok Yang}, title = {Multi-Slew-Rate Output Driver and Optimized Impedance-Calibration Circuit for 66nm 3.0Gb/s/pin {DRAM} Interface}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {280--281}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523166}, doi = {10.1109/ISSCC.2008.4523166}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeKPLCLKLYSKCY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08, author = {Won{-}Joo Yun and Hyun{-}Woo Lee and Dongsuk Shin and Shin{-}Deok Kang and Ji{-}Yeon Yang and Hyeng{-}Ouk Lee and Dong{-}Uk Lee and Sujeong Sim and Young{-}Ju Kim and Won{-}Jun Choi and Keun{-}Soo Song and Sang{-}Hoon Shin and Hyang{-}Hwa Choi and Hyung{-}Wook Moon and Seung{-}Wook Kwack and Jung{-}Woo Lee and Young{-}Kyoung Choi and Nak{-}Kyu Park and Kwan{-}Weon Kim and Young{-}Jung Choi and Jin{-}Hong Ahn and Ye Seok Yang}, title = {A 0.1-to-1.5GHz 4.2mW All-Digital {DLL} with Dual Duty-Cycle Correction Circuit and Update Gear Circuit for {DRAM} in 66nm {CMOS} Technology}, booktitle = {2008 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2008, Digest of Technical Papers, San Francisco, CA, USA, February 3-7, 2008}, pages = {282--283}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ISSCC.2008.4523167}, doi = {10.1109/ISSCC.2008.4523167}, timestamp = {Wed, 17 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/YunLSKYLLSKCSSCMKLCPKCAY08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pakm/KimSCKRL08, author = {Jong{-}Hun Kim and Chang{-}Woo Song and Kyung{-}Yong Chung and Un{-}Gu Kang and Kee{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Takahira Yamaguchi}, title = {Context Model Based {CF} Using {HMM} for Improved Recommendation}, booktitle = {Practical Aspects of Knowledge Management, 7th International Conference, {PAKM} 2008, Yokohama, Japan, November 22-23, 2008. Proceedings}, series = {Lecture Notes in Computer Science}, volume = {5345}, pages = {268--279}, publisher = {Springer}, year = {2008}, url = {https://doi.org/10.1007/978-3-540-89447-6\_25}, doi = {10.1007/978-3-540-89447-6\_25}, timestamp = {Tue, 14 May 2019 10:00:43 +0200}, biburl = {https://dblp.org/rec/conf/pakm/KimSCKRL08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/LeeSHKK08, author = {Kang{-}Hee Lee and Hyun{-}Sik Shim and Woo{-}Sup Han and Kwang{-}Choon Kim and Jong{-}Hwan Kim}, editor = {Martin Buss and Kolja K{\"{u}}hnlenz}, title = {Evolutionary algorithm for a genetic robot's personality}, booktitle = {The 17th {IEEE} International Symposium on Robot and Human Interactive Communication, {RO-MAN} 2008, Munich, Germany, August 1-3, 2008}, pages = {506--513}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ROMAN.2008.4600717}, doi = {10.1109/ROMAN.2008.4600717}, timestamp = {Fri, 07 Jan 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ro-man/LeeSHKK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/LeeSHKP08, author = {Kanghee Lee and Hyun{-}Sik Shim and Woo{-}Sup Han and Kwang{-}Choon Kim and Ki Cheol Park}, editor = {Martin Buss and Kolja K{\"{u}}hnlenz}, title = {Ubiquitous robot {S/W} platform and its application: AnyRobot Studio and AnyKids Service}, booktitle = {The 17th {IEEE} International Symposium on Robot and Human Interactive Communication, {RO-MAN} 2008, Munich, Germany, August 1-3, 2008}, pages = {628--634}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ROMAN.2008.4600737}, doi = {10.1109/ROMAN.2008.4600737}, timestamp = {Wed, 18 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ro-man/LeeSHKP08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/smc/LeeHPSK08, author = {Kang{-}Hee Lee and Woo{-}Sup Han and Ki Cheol Park and Hyun{-}Sik Shim and Kwang{-}Choon Kim}, title = {Ubiquitous robot {S/W} platform, AnyRobot Studio and its demonstration, AnyKids Service}, booktitle = {Proceedings of the {IEEE} International Conference on Systems, Man and Cybernetics, Singapore, 12-15 October 2008}, pages = {3126--3131}, publisher = {{IEEE}}, year = {2008}, url = {https://doi.org/10.1109/ICSMC.2008.4811776}, doi = {10.1109/ICSMC.2008.4811776}, timestamp = {Wed, 16 Oct 2019 14:14:51 +0200}, biburl = {https://dblp.org/rec/conf/smc/LeeHPSK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/LeeKS08, author = {Gun A. Lee and Hyun Kang and Wookho Son}, title = {{MIRAGE:} {A} Touch Screen based Mixed Reality Interface for Space Planning Applications}, booktitle = {{IEEE} Virtual Reality Conference 2008 {(VR} 2008), 8-12 March 2008, Reno, Nevada, USA, Proceedings}, pages = {273--274}, publisher = {{IEEE} Computer Society}, year = {2008}, url = {https://doi.org/10.1109/VR.2008.4480797}, doi = {10.1109/VR.2008.4480797}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/vr/LeeKS08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/www/LeeKJK08, author = {Kangpyo Lee and Hyunwoo Kim and Chungsu Jang and Hyoung{-}Joo Kim}, editor = {Jinpeng Huai and Robin Chen and Hsiao{-}Wuen Hon and Yunhao Liu and Wei{-}Ying Ma and Andrew Tomkins and Xiaodong Zhang}, title = {Folksoviz: a subsumption-based folksonomy visualization using wikipedia texts}, booktitle = {Proceedings of the 17th International Conference on World Wide Web, {WWW} 2008, Beijing, China, April 21-25, 2008}, pages = {1093--1094}, publisher = {{ACM}}, year = {2008}, url = {https://doi.org/10.1145/1367497.1367672}, doi = {10.1145/1367497.1367672}, timestamp = {Tue, 20 Dec 2022 21:20:03 +0100}, biburl = {https://dblp.org/rec/conf/www/LeeKJK08.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/SeoCBKLYK07, author = {Young{-}Ho Seo and Hyun{-}Jun Choi and Jin{-}Woo Bae and Hoon{-}Jong Kang and Seung{-}Hyun Lee and Ji{-}Sang Yoo and Dong{-}Wook Kim}, title = {A New Coding Technique for Digital Holographic Video Using Multi-View Prediction}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {90-D}, number = {1}, pages = {118--125}, year = {2007}, url = {https://doi.org/10.1093/ietisy/e90-1.1.118}, doi = {10.1093/IETISY/E90-1.1.118}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/SeoCBKLYK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KangCCLLOCWKPRK07, author = {Sangbeom Kang and Woo Yeong Cho and Beak{-}Hyung Cho and KwangJin Lee and Changsoo Lee and Hyung{-}Rok Oh and Byung{-}Gil Choi and Qi Wang and Hye{-}Jin Kim and Mu{-}Hui Park and Yu{-}Hwan Ro and Suyeon Kim and Choong{-}Duk Ha and Ki{-}Sung Kim and Young{-}Ran Kim and Du{-}Eung Kim and Choong{-}Keun Kwak and Hyun{-}Geun Byun and Gitae Jeong and Hong{-}Sik Jeong and Kinam Kim and YunSueng Shin}, title = {A 0.1-{\(\mathrm{\mu}\)}m 1.8-V 256-Mb Phase-Change Random Access Memory {(PRAM)} With 66-MHz Synchronous Burst-Read Operation}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {1}, pages = {210--218}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2006.888349}, doi = {10.1109/JSSC.2006.888349}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/KangCCLLOCWKPRK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/KimCKPJKPKCCK07, author = {Kyu{-}Hyoun Kim and Hoeju Chung and Woo{-}Seop Kim and Moon{-}Sook Park and Young{-}Chan Jang and Jinyoung Kim and Hwan{-}Wook Park and Uksong Kang and Paul W. Coteus and Joo{-}Sun Choi and Changhyun Kim}, title = {An 8 Gb/s/pin 9.6 ns Row-Cycle 288 Mb Deca-Data Rate {SDRAM} With an {I/O} Error Detection Scheme}, journal = {{IEEE} J. Solid State Circuits}, volume = {42}, number = {1}, pages = {193--200}, year = {2007}, url = {https://doi.org/10.1109/JSSC.2006.888297}, doi = {10.1109/JSSC.2006.888297}, timestamp = {Fri, 28 Jul 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/KimCKPJKPKCCK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/mj/RyuKLSK07, author = {Hyun{-}Kyu Ryu and Yil{-}Wook Kim and Kangtaek Lee and Chee Burm Shin and Chang{-}Koo Kim}, title = {A comparative study on a high aspect ratio contact hole etching in {UFC-} and PFC-containing plasmas}, journal = {Microelectron. J.}, volume = {38}, number = {1}, pages = {125--129}, year = {2007}, url = {https://doi.org/10.1016/j.mejo.2006.09.002}, doi = {10.1016/J.MEJO.2006.09.002}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/mj/RyuKLSK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/HeoLKKK07, author = {Jongman Heo and Kamrok Lee and Hyoung Koo Kang and Dongsung Kim and Wook Hyun Kwon}, title = {Adaptive Channel State Routing for Home Network Systems Using Power Line Communications}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {53}, number = {4}, pages = {1410--1418}, year = {2007}, url = {https://doi.org/10.1109/TCE.2007.4429231}, doi = {10.1109/TCE.2007.4429231}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/HeoLKKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/fgcn/KangKLKL07, author = {Dong{-}oh Kang and Kyuchang Kang and Hyung Jik Lee and Eun Jung Ko and Jeun Woo Lee}, title = {A Systematic Design Tool of Context Aware System for Ubiquitous Healthcare Service in a Smart Home}, booktitle = {Future Generation Communication and Networking, {FGCN} 2007, Ramada Plaza Jeju, Jeju-Island, Korea, December 6-8, 2007, Proceedings}, pages = {49--54}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/FGCN.2007.45}, doi = {10.1109/FGCN.2007.45}, timestamp = {Tue, 07 Nov 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/fgcn/KangKLKL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icannga/JosephKC07, author = {Shaine Joseph and Hyung Woo Kang and Uday K. Chakraborty}, editor = {Bartlomiej Beliczynski and Andrzej Dzielinski and Marcin Iwanowski and Bernardete Ribeiro}, title = {Optical Design with Epsilon-Dominated Multi-objective Evolutionary Algorithm}, booktitle = {Adaptive and Natural Computing Algorithms, 8th International Conference, {ICANNGA} 2007, Warsaw, Poland, April 11-14, 2007, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {4431}, pages = {77--84}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-71618-1\_9}, doi = {10.1007/978-3-540-71618-1\_9}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icannga/JosephKC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/SeokLHCT07, author = {Bo{-}Hyun Seok and Pill{-}Woo Lee and Eui{-}nam Huh and Ki{-}Moon Choi and Kang Soo Tae}, editor = {Osvaldo Gervasi and Marina L. Gavrilova}, title = {An Efficient Information Dissemination for Publish/Subscription System on Grid}, booktitle = {Computational Science and Its Applications - {ICCSA} 2007, International Conference, Kuala Lumpur, Malaysia, August 26-29, 2007. Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {4706}, pages = {455--468}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-74477-1\_43}, doi = {10.1007/978-3-540-74477-1\_43}, timestamp = {Sun, 12 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccsa/SeokLHCT07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeCCKCOLKPWPRCKKSLCCCKYJJKKK07, author = {KwangJin Lee and Beak{-}Hyung Cho and Woo{-}Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hyung{-}Rok Oh and Changsoo Lee and Hye{-}Jin Kim and Joon{-}min Park and Qi Wang and Mu{-}Hui Park and Yu{-}Hwan Ro and Joon{-}Yong Choi and Ki{-}Sung Kim and Young{-}Ran Kim and In{-}Cheol Shin and Ki{-}won Lim and Ho{-}Keun Cho and ChangHan Choi and Won{-}ryul Chung and Du{-}Eung Kim and Kwang{-}Suk Yu and Gitae Jeong and Hongsik Jeong and Choong{-}Keun Kwak and Chang{-}Hyun Kim and Kinam Kim}, title = {A 90nm 1.8V 512Mb Diode-Switch {PRAM} with 266MB/s Read Throughput}, booktitle = {2007 {IEEE} International Solid-State Circuits Conference, {ISSCC} 2007, Digest of Technical Papers, San Francisco, CA, USA, February 11-15, 2007}, pages = {472--616}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ISSCC.2007.373499}, doi = {10.1109/ISSCC.2007.373499}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/LeeCCKCOLKPWPRCKKSLCCCKYJJKKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/KangKKS07, author = {Sangwoo Kang and Donghyun Kim and Harksoo Kim and Jungyun Seo}, title = {Speech Act Classification Based on Individual Statistical Models in a Multi-Domain}, booktitle = {{IEEE} {RO-MAN} 2007, 16th {IEEE} International Symposium on Robot {\&} Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings}, pages = {845--847}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROMAN.2007.4415202}, doi = {10.1109/ROMAN.2007.4415202}, timestamp = {Tue, 21 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/ro-man/KangKKS07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ro-man/KimLSCC07, author = {Hyun Kim and Kang{-}Woo Lee and Young{-}Ho Suh and Jun{-}Myon Cho and Young{-}Jo Cho}, title = {Client/Server Framework for Providing Context-Aware Services to Network Based Robots}, booktitle = {{IEEE} {RO-MAN} 2007, 16th {IEEE} International Symposium on Robot {\&} Human Interactive Communication, August 26-29, 2007, Jeju Island, South Korea, Proceedings}, pages = {475--480}, publisher = {{IEEE}}, year = {2007}, url = {https://doi.org/10.1109/ROMAN.2007.4415130}, doi = {10.1109/ROMAN.2007.4415130}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ro-man/KimLSCC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/robocomm/ParkRKKSC07, author = {Cheonshu Park and Joung Woo Ryu and Sangseung Kang and Jaehong Kim and Joo{-}Chan Sohn and Hyunkyu Cho}, editor = {Alan F. T. Winfield and Jason Redi}, title = {The emotion expression robot through the affective interaction: {KOBIE}}, booktitle = {Proceedings of the 1st International Conference on Robot Communication and Coordination, {ROBOCOMM} 2007, Athens, Greece, October 15-17, 2007}, series = {{ACM} International Conference Proceeding Series}, volume = {318}, pages = {53}, publisher = {{ICST/ACM}}, year = {2007}, url = {https://doi.org/10.4108/ICST.ROBOCOMM2007.2274}, doi = {10.4108/ICST.ROBOCOMM2007.2274}, timestamp = {Fri, 16 Dec 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/robocomm/ParkRKKSC07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/KimKRL07, author = {Jong{-}Hun Kim and Un{-}Gu Kang and Kee{-}Wook Rim and Jung{-}Hyun Lee}, editor = {Roman Obermaisser and Yunmook Nah and Peter P. Puschner and Franz{-}Josef Rammig}, title = {Speech Recognition System Using DHMMs Based on Ubiquitous Environment}, booktitle = {Software Technologies for Embedded and Ubiquitous Systems, 5th {IFIP} {WG} 10.2 International Workshop, {SEUS} 2007, Santorini Island, Greece, May 2007. Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {4761}, pages = {213--222}, publisher = {Springer}, year = {2007}, url = {https://doi.org/10.1007/978-3-540-75664-4\_21}, doi = {10.1007/978-3-540-75664-4\_21}, timestamp = {Tue, 14 May 2019 10:00:52 +0200}, biburl = {https://dblp.org/rec/conf/seus/KimKRL07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/splc/KimKK07, author = {Kangtae Kim and Hyungrok Kim and Woomok Kim}, title = {Building Software Product Line from the Legacy Systems "Experience in the Digital Audio and Video Domain"}, booktitle = {Software Product Lines, 11th International Conference, {SPLC} 2007, Kyoto, Japan, September 10-14, 2007, Proceedings}, pages = {171--180}, publisher = {{IEEE} Computer Society}, year = {2007}, url = {https://doi.org/10.1109/SPLINE.2007.27}, doi = {10.1109/SPLINE.2007.27}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/splc/KimKK07.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/YoonKKJKH06, author = {Hyung{-}Min Yoon and WooShik Kang and Oh{-}Young Kwon and Seong{-}Hun Jeong and Bum{-}Seok Kang and Tack{-}Don Han}, title = {Design of a Mobile Application Framework with Context Sensitivities}, journal = {{IEICE} Trans. Inf. Syst.}, volume = {89-D}, number = {2}, pages = {508--515}, year = {2006}, url = {https://doi.org/10.1093/ietisy/e89-d.2.508}, doi = {10.1093/IETISY/E89-D.2.508}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/YoonKKJKH06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/OhCCKCKKKKBJJK06, author = {Hyung{-}Rok Oh and Beak{-}Hyung Cho and Woo Yeong Cho and Sangbeom Kang and Byung{-}Gil Choi and Hye{-}Jin Kim and Ki{-}Sung Kim and Du{-}Eung Kim and Choong{-}Keun Kwak and Hyun{-}Geun Byun and Gitae Jeong and Hong{-}Sik Jeong and Kinam Kim}, title = {Enhanced write performance of a 64-mb phase-change random access memory}, journal = {{IEEE} J. Solid State Circuits}, volume = {41}, number = {1}, pages = {122--126}, year = {2006}, url = {https://doi.org/10.1109/JSSC.2005.859016}, doi = {10.1109/JSSC.2005.859016}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/OhCCKCKKKKBJJK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcst/KangY06, author = {Min Sig Kang and Woo Hyun Yoon}, title = {Acceleration feedforward control in active magnetic bearing system subject to base motion by filtered-X {LMS} algorithm}, journal = {{IEEE} Trans. Control. Syst. Technol.}, volume = {14}, number = {1}, pages = {134--140}, year = {2006}, url = {https://doi.org/10.1109/TCST.2005.847337}, doi = {10.1109/TCST.2005.847337}, timestamp = {Mon, 08 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcst/KangY06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/KangCC06, author = {Hyung Woo Kang and Charles K. Chui and Uday K. Chakraborty}, title = {A unified scheme for adaptive stroke-based rendering}, journal = {Vis. Comput.}, volume = {22}, number = {9-11}, pages = {814--824}, year = {2006}, url = {https://doi.org/10.1007/s00371-006-0066-7}, doi = {10.1007/S00371-006-0066-7}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/KangCC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/IEEEcit/HongLSKKL06, author = {Chung{-}Seong Hong and Kang{-}Woo Lee and Young{-}Ho Suh and Hyoung{-}Sun Kim and Hyun Kim and Hyun{-}Chan Lee}, title = {Developing Context-Aware System using the Conceptual Context Model}, booktitle = {Sixth International Conference on Computer and Information Technology {(CIT} 2006), 20-22 September 2006, Seoul, Korea}, pages = {238}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CIT.2006.78}, doi = {10.1109/CIT.2006.78}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/IEEEcit/HongLSKKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/apccas/JangKLHK06, author = {Wonwoo Jang and Hyunsik Kim and Sungmok Lee and Jooyoung Ha and Bongsoon Kang}, title = {Implementation of the Gamma Line System Similar to Non-linear Gamma Curve with 2bit Error(LSB)}, booktitle = {{IEEE} Asia Pacific Conference on Circuits and Systems 2006, {APCCAS} 2006, Singapore, 4-7 December 2006}, pages = {283--286}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/APCCAS.2006.342406}, doi = {10.1109/APCCAS.2006.342406}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/apccas/JangKLHK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimca/KangLL06, author = {Hyunchul Kang and Jae{-}Wook Lee and Gil{-}Haeng Lee}, title = {Web-based Traffic Management for Customer Network Management}, booktitle = {2006 International Conference on Computational Intelligence for Modelling Control and Automation {(CIMCA} 2006), International Conference on Intelligent Agents, Web Technologies and Internet Commerce {(IAWTIC} 2006), 29 November - 1 December 2006, Sydney, Australia}, pages = {155}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/CIMCA.2006.234}, doi = {10.1109/CIMCA.2006.234}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cimca/KangLL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/eurossc/HongCLSKL06, author = {Chung{-}Seong Hong and Joonmyun Cho and Kang{-}Woo Lee and Young{-}Ho Suh and Hyun Kim and Hyun{-}Chan Lee}, editor = {Paul J. M. Havinga and Maria Eva Lijding and Nirvana Meratnia and Maarten Wegdam}, title = {Developing a Context-Aware System for Providing Intelligent Robot Services}, booktitle = {Smart Sensing and Context, First European Conference, EuroSSC 2006, Enschede, Netherlands, October 25-27, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4272}, pages = {174--189}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11907503\_13}, doi = {10.1007/11907503\_13}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/eurossc/HongCLSKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/humanoids/YangSCJKL06, author = {Hyun Seung Yang and Yongho Seo and Yeong Nam Chae and Il{-}Woong Jeong and Won{-}Hyung Kang and Juho Lee}, title = {Design and Development of Biped Humanoid Robot, AMI2, for Social Interaction with Humans}, booktitle = {2006 6th {IEEE-RAS} International Conference on Humanoid Robots, Genova, Italy, December 4-6, 2006}, pages = {352--357}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ICHR.2006.321296}, doi = {10.1109/ICHR.2006.321296}, timestamp = {Wed, 16 Oct 2019 14:14:50 +0200}, biburl = {https://dblp.org/rec/conf/humanoids/YangSCJKL06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KoKLPR06, author = {Kyong{-}Cheol Ko and Oh{-}Hyung Kang and Chang{-}Woo Lee and Ki{-}Hong Park and Yang{-}Won Rhee}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Scene Change Detection Using the Weighted Chi-Test and Automatic Threshold Decision Algorithm}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3983}, pages = {1060--1069}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751632\_114}, doi = {10.1007/11751632\_114}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KoKLPR06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeRKLSK06, author = {Jae Yeol Lee and Gue Won Rhee and Hyun Kim and Kang{-}Woo Lee and Young{-}Ho Suh and Kwangsoo Kim}, editor = {Marina L. Gavrilova and Osvaldo Gervasi and Vipin Kumar and Chih Jeng Kenneth Tan and David Taniar and Antonio Lagan{\`{a}} and Youngsong Mun and Hyunseung Choo}, title = {Convergence of Context-Awareness and Augmented Reality for Ubiquitous Services and Immersive Interactions}, booktitle = {Computational Science and Its Applications - {ICCSA} 2006, International Conference, Glasgow, UK, May 8-11, 2006, Proceedings, Part {IV}}, series = {Lecture Notes in Computer Science}, volume = {3983}, pages = {466--474}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11751632\_51}, doi = {10.1007/11751632\_51}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeRKLSK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KangCCLLOCWKPRK06, author = {Sangbeom Kang and WooYeong Cho and Beak{-}Hyung Cho and Kwangjin Lee and Changsoo Lee and Hyung{-}Rok Oh and Byung{-}Gil Choi and Qi Wang and Hye{-}Jin Kim and Mu{-}Hui Park and Yu{-}Hwan Ro and Suyeon Kim and Du{-}Eung Kim and Kang{-}Sik Cho and Choong{-}Duk Ha and Young{-}Ran Kim and Ki{-}Sung Kim and Choong{-}Ryeol Hwang and Choong{-}Keun Kwak and Hyun{-}Geun Byun and YunSueng Shin}, title = {A 0.1{\(\mathrm{\mu}\)}m 1.8V 256Mb 66MHz Synchronous Burst {PRAM}}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {487--496}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696081}, doi = {10.1109/ISSCC.2006.1696081}, timestamp = {Fri, 25 Feb 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/isscc/KangCCLLOCWKPRK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/KimKCPKJPLKSPKC06, author = {Kyu{-}Hyoun Kim and Uksong Kang and Hoeju Chung and Dukha Park and Woo{-}Seop Kim and Young{-}Chan Jang and Moon{-}Sook Park and Hoon Lee and Jinyoung Kim and Jung Sunwoo and Hwan{-}Wook Park and Hyun{-}Kyung Kim and Su{-}Jin Chung and Jae{-}Kwan Kim and Hyung{-}Seuk Kim and Kee{-}Won Kwon and Young{-}Taek Lee and Joo{-}Sun Choi and Changhyun Kim}, title = {An 8Gb/s/pin 9.6ns Row-Cycle 288Mb Deca-Data Rate {SDRAM} with an {I/O} Error-Detection Scheme}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {527--536}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696089}, doi = {10.1109/ISSCC.2006.1696089}, timestamp = {Wed, 06 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/KimKCPKJPLKSPKC06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/isscc/LeeLKCMKKKKCMAK06, author = {Dong{-}Uk Lee and Hyun{-}Woo Lee and Ki Chang Kwean and Young{-}Kyoung Choi and Hyong Uk Moon and Seung{-}Wook Kwack and Shin{-}Deok Kang and Kwan{-}Weon Kim and Yong Ju Kim and Young{-}Jung Choi and Patrick B. Moran and Jin{-}Hong Ahn and Joong Sik Kih}, title = {A 2.5Gb/s/pin 256Mb {GDDR3} {SDRAM} with Series Pipelined {CAS} Latency Control and Dual-Loop Digital {DLL}}, booktitle = {2006 {IEEE} International Solid State Circuits Conference, {ISSCC} 2006, Digest of Technical Papers, an Francisco, CA, USA, February 6-9, 2006}, pages = {547--556}, publisher = {{IEEE}}, year = {2006}, url = {https://doi.org/10.1109/ISSCC.2006.1696091}, doi = {10.1109/ISSCC.2006.1696091}, timestamp = {Tue, 09 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/isscc/LeeLKCMKKKKCMAK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/psivt/KangKK06, author = {Hyun Soo Kang and Yong{-}Woo Kim and TaeYong Kim}, editor = {Long{-}Wen Chang and Wen{-}Nung Lie}, title = {A Temporal Error Concealment Method Based on Edge Adaptive Boundary Matching}, booktitle = {Advances in Image and Video Technology, First Pacific Rim Symposium, {PSIVT} 2006, Hsinchu, Taiwan, December 10-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4319}, pages = {852--860}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11949534\_85}, doi = {10.1007/11949534\_85}, timestamp = {Thu, 19 Aug 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/psivt/KangKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/siggraph/PyunKCKS06, author = {Hyewon Pyun and Yejin Kim and Wonseok Chae and Hyung Woo Kang and Sung Yong Shin}, editor = {John W. Finnegan and Dave Shreiner}, title = {An example-based approach for facial expression cloning}, booktitle = {International Conference on Computer Graphics and Interactive Techniques, {SIGGRAPH} 2006, Boston, Massachusetts, USA, July 30 - August 3, 2006, Courses}, pages = {23}, publisher = {{ACM}}, year = {2006}, url = {https://doi.org/10.1145/1185657.1185863}, doi = {10.1145/1185657.1185863}, timestamp = {Mon, 15 Mar 2021 16:43:07 +0100}, biburl = {https://dblp.org/rec/conf/siggraph/PyunKCKS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ucs/ChoLKK06, author = {Eun{-}Sun Cho and Kang{-}Woo Lee and Min{-}Young Kim and Hyun Kim}, editor = {Hee Yong Youn and Minkoo Kim and Hiroyuki Morikawa}, title = {Scenario-Based Programming for Ubiquitous Applications}, booktitle = {Ubiquitous Computing Systems, Third International Symposium, {UCS} 2006, Seoul, Korea, October 11-13, 2006, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {4239}, pages = {286--299}, publisher = {Springer}, year = {2006}, url = {https://doi.org/10.1007/11890348\_22}, doi = {10.1007/11890348\_22}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/ucs/ChoLKK06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vr/JoKLS06, author = {Dongsik Jo and Hyun Kang and Gun A. Lee and Wookho Son}, title = {Xphere: {A} {PC} Cluster based Hemispherical Display System}, booktitle = {{IEEE} Virtual Reality Conference, {VR} 2006, Alexandria, Virginia, USA, March 25-29, 2006}, pages = {312}, publisher = {{IEEE} Computer Society}, year = {2006}, url = {https://doi.org/10.1109/VR.2006.150}, doi = {10.1109/VR.2006.150}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/vr/JoKLS06.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/fss/ParkK05, author = {Chang{-}Woo Park and Hyung{-}Jin Kang}, title = {Graphical and numerical approach to robust stability analysis of fuzzy modeled systems with parametric uncertainty and disturbance}, journal = {Fuzzy Sets Syst.}, volume = {151}, number = {1}, pages = {99--117}, year = {2005}, url = {https://doi.org/10.1016/j.fss.2004.09.008}, doi = {10.1016/J.FSS.2004.09.008}, timestamp = {Wed, 19 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/fss/ParkK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ieicet/KangWL05, author = {Sang Gu Kang and Doo Hyung Woo and Hee Chul Lee}, title = {Optimum Solution of On-Chip {A/D} Converter for Cooled Type Infrared Focal Plane Array}, journal = {{IEICE} Trans. Electron.}, volume = {88-C}, number = {3}, pages = {413--419}, year = {2005}, url = {https://doi.org/10.1093/ietele/e88-c.3.413}, doi = {10.1093/IETELE/E88-C.3.413}, timestamp = {Sat, 11 Apr 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ieicet/KangWL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijig/Kang05, author = {Hyung Woo Kang}, title = {Nonphotorealistic Virtual Environment Navigation From Images}, journal = {Int. J. Image Graph.}, volume = {5}, number = {2}, pages = {433}, year = {2005}, url = {https://doi.org/10.1142/S0219467805001835}, doi = {10.1142/S0219467805001835}, timestamp = {Tue, 24 Mar 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ijig/Kang05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ijprai/LeeKKJ05, author = {Chang{-}Woo Lee and Hyun Kang and Hang Joon Kim and Keechul Jung}, title = {Font classification using nmf with hierarchical clustering}, journal = {Int. J. Pattern Recognit. Artif. Intell.}, volume = {19}, number = {6}, pages = {755--773}, year = {2005}, url = {https://doi.org/10.1142/S0218001405004307}, doi = {10.1142/S0218001405004307}, timestamp = {Mon, 11 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/ijprai/LeeKKJ05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoCCOKKKKKBHAK05, author = {Woo Yeong Cho and Beak{-}Hyung Cho and Byung{-}Gil Choi and Hyung{-}Rok Oh and Sangbeom Kang and Ki{-}Sung Kim and Kyung{-}Hee Kim and Du{-}Eung Kim and Choong{-}Keun Kwak and Hyun{-}Geun Byun and Youngnam Hwang and SuJin Ahn and Gwan{-}Hyeob Koh and Gitae Jeong and Hongsik Jeong and Kinam Kim}, title = {A 0.18-{\(\mu\)}m 3.0-V 64-Mb nonvolatile phase-transition random access memory {(PRAM)}}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {1}, pages = {293--300}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2004.837974}, doi = {10.1109/JSSC.2004.837974}, timestamp = {Mon, 14 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/ChoCCOKKKKKBHAK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/HuhKLOLKLPLJK05, author = {Hyungki Huh and Yido Koo and Kang{-}Yoon Lee and Yeonkyeong Ok and Sungho Lee and Daehyun Kwon and Jeongwoo Lee and Joonbae Park and Kyeongho Lee and Deog{-}Kyoon Jeong and Wonchan Kim}, title = {Comparison frequency doubling and charge pump matching techniques for dual-band {\(\Delta\)}{\(\Sigma\)} fractional-N frequency synthesizer}, journal = {{IEEE} J. Solid State Circuits}, volume = {40}, number = {11}, pages = {2228--2236}, year = {2005}, url = {https://doi.org/10.1109/JSSC.2005.857368}, doi = {10.1109/JSSC.2005.857368}, timestamp = {Mon, 14 Mar 2022 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/jssc/HuhKLOLKLPLJK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/Kang05, author = {Hyung Woo Kang}, title = {G-wire: {A} livewire segmentation algorithm based on a generalized graph formulation}, journal = {Pattern Recognit. Lett.}, volume = {26}, number = {13}, pages = {2042--2051}, year = {2005}, url = {https://doi.org/10.1016/j.patrec.2005.02.011}, doi = {10.1016/J.PATREC.2005.02.011}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/Kang05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/KangWL05, author = {Sang Gu Kang and Doo Hyung Woo and Hee Chul Lee}, title = {Multiple integration method for a high signal-to-noise ratio readout integrated circuit}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {52-II}, number = {9}, pages = {553--557}, year = {2005}, url = {https://doi.org/10.1109/TCSII.2005.848984}, doi = {10.1109/TCSII.2005.848984}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/KangWL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcas/WooKL05, author = {Doo Hyung Woo and Sang Gu Kang and Hee Chul Lee}, title = {Novel current-mode background suppression for 2-D {LWIR} applications}, journal = {{IEEE} Trans. Circuits Syst. {II} Express Briefs}, volume = {52-II}, number = {9}, pages = {606--610}, year = {2005}, url = {https://doi.org/10.1109/TCSII.2005.850456}, doi = {10.1109/TCSII.2005.850456}, timestamp = {Wed, 27 May 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcas/WooKL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tie/KangLJKH05, author = {Dae{-}Wook Kang and Byoung{-}Kuk Lee and Jae{-}Hyun Jeon and Tae{-}Jin Kim and Dong{-}Seok Hyun}, title = {A symmetric carrier technique of {CRPWM} for voltage balance method of flying-capacitor multilevel inverter}, journal = {{IEEE} Trans. Ind. Electron.}, volume = {52}, number = {3}, pages = {879--888}, year = {2005}, url = {https://doi.org/10.1109/TIE.2005.847574}, doi = {10.1109/TIE.2005.847574}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tie/KangLJKH05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/vc/KangHCC05, author = {Hyung Woo Kang and Wenjie He and Charles K. Chui and Uday K. Chakraborty}, title = {Interactive sketch generation}, journal = {Vis. Comput.}, volume = {21}, number = {8-10}, pages = {821--830}, year = {2005}, url = {https://doi.org/10.1007/s00371-005-0328-9}, doi = {10.1007/S00371-005-0328-9}, timestamp = {Tue, 05 Sep 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/vc/KangHCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ausai/ParkK05, author = {Hyun{-}Soo Park and Kyung{-}Woo Kang}, editor = {Shichao Zhang and Ray Jarvis}, title = {Evaluation of Strings in Computer Go Using Articulation Points Check and Seki Judgment}, booktitle = {{AI} 2005: Advances in Artificial Intelligence, 18th Australian Joint Conference on Artificial Intelligence, Sydney, Australia, December 5-9, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3809}, pages = {197--206}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11589990\_22}, doi = {10.1007/11589990\_22}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/ausai/ParkK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/MinLLKOJYKCCCK05, author = {ByungJun Min and Kang{-}Woon Lee and Han{-}Ju Lee and So{-}Ra Kim and Seung{-}Gyu Oh and Byung{-}Gil Jeon and Hee{-}Hyun Yang and Min{-}Kyu Kim and Sung{-}Hee Cho and Honsik Cheong and Chilhee Chung and Kinam Kim}, title = {An embedded nonvolatile {FRAM} with electrical fuse repair scheme and one time programming scheme for high performance smart cards}, booktitle = {Proceedings of the {IEEE} 2005 Custom Integrated Circuits Conference, {CICC} 2005, DoubleTree Hotel, San Jose, California, USA, September 18-21, 2005}, pages = {255--258}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/CICC.2005.1568655}, doi = {10.1109/CICC.2005.1568655}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/MinLLKOJYKCCCK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cimca/KangLL05, author = {Hyunchul Kang and Jae{-}Wook Lee and Gil{-}Haeng Lee}, title = {Customer Network Management of {VPN} Services}, booktitle = {2005 International Conference on Computational Intelligence for Modelling Control and Automation {(CIMCA} 2005), International Conference on Intelligent Agents, Web Technologies and Internet Commerce {(IAWTIC} 2005), 28-30 November 2005, Vienna, Austria}, pages = {945--950}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CIMCA.2005.1631385}, doi = {10.1109/CIMCA.2005.1631385}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cimca/KangLL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cscwd/SuhLK05, author = {Young{-}Ho Suh and Kang{-}Woo Lee and Hyun Kim}, editor = {Weiming Shen and Anne E. James and Kuo{-}Ming Chao and Muhammad Younas and Zongkai Lin and Jean{-}Paul A. Barth{\`{e}}s}, title = {Virtual enterprises using the collaboration agency infrastructure}, booktitle = {Proceedings of the Ninth International Conference on Computer Supported Cooperative Work in Design, {CSCWD} 2005, Volume 1, May 24-26, 2005, Coventry, {UK}}, pages = {56--61}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/CSCWD.2005.194145}, doi = {10.1109/CSCWD.2005.194145}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cscwd/SuhLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dasfaa/ParkKLLJK05, author = {Jong Hyun Park and Byung{-}Kyu Kim and Yong{-}Hee Lee and Min{-}Woo Lee and Min{-}Ok Jung and Ji{-}Hoon Kang}, editor = {Lizhu Zhou and Beng Chin Ooi and Xiaofeng Meng}, title = {XQuery-Based TV-Anytime Metadata Management}, booktitle = {Database Systems for Advanced Applications, 10th International Conference, {DASFAA} 2005, Beijing, China, April 17-20, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3453}, pages = {151--162}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11408079\_15}, doi = {10.1007/11408079\_15}, timestamp = {Tue, 25 Feb 2020 17:21:36 +0100}, biburl = {https://dblp.org/rec/conf/dasfaa/ParkKLLJK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/dexaw/ChoKK05, author = {Hyun Kyung Cho and Eun Seok Kim and Dae{-}Wook Kang}, title = {A Load-Balancing Routing Considering Power Conservation in Wireless Ad Hoc Networks}, booktitle = {16th International Workshop on Database and Expert Systems Applications {(DEXA} 2005), 22-26 August 2005, Copenhagen, Denmark}, pages = {128--132}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/DEXA.2005.19}, doi = {10.1109/DEXA.2005.19}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/dexaw/ChoKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ecweb/LeeSKHK05, author = {Wookey Lee and Mye M. Sohn and Ji{-}Hong Kim and Byung{-}Hyun Ha and Suk{-}Ho Kang}, editor = {Kurt Bauknecht and Birgit Pr{\"{o}}ll and Hannes Werthner}, title = {{RDF} Schema Based Ubiquitous Healthcare Service Composition}, booktitle = {E-Commerce and Web Technologies: 6th International Conference, EC-Web 2005, Copenhagen, Denmark, August 23-26, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3590}, pages = {208--217}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11545163\_21}, doi = {10.1007/11545163\_21}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/ecweb/LeeSKHK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icat/LeeKJS05, author = {Gun A. Lee and Hyun Kang and Dongsik Jo and Wookho Son}, title = {Multi-projection based hemispherical display}, booktitle = {Proceedings of the 2005 international conference on Augmented tele-existence, {ICAT} 2005, Christchurch, New Zealand, December 5-8, 2005}, pages = {288}, publisher = {{ACM}}, year = {2005}, url = {https://doi.org/10.1145/1152399.1152478}, doi = {10.1145/1152399.1152478}, timestamp = {Mon, 15 Jun 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/icat/LeeKJS05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccnmc/ChoKK05, author = {Hyun Kyung Cho and Eun Seok Kim and Dae{-}Wook Kang}, editor = {Xicheng Lu and Wei Zhao}, title = {A Load-Balancing Control Method Considering Energy Consumption Rate in Ad-Hoc Networks}, booktitle = {Networking and Mobile Computing, Third International Conference, {ICCNMC} 2005, Zhangjiajie, China, August 2-4, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3619}, pages = {324--333}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11534310\_36}, doi = {10.1007/11534310\_36}, timestamp = {Fri, 09 Apr 2021 18:41:16 +0200}, biburl = {https://dblp.org/rec/conf/iccnmc/ChoKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KangHYLCWK05, author = {Yu{-}Kyung Kang and Suk{-}hyung Hwang and Hae Sool Yang and Jung{-}Bae Lee and Hee{-}Chul Choi and Hyun{-}Wook Wee and Dong{-}Soon Kim}, editor = {Osvaldo Gervasi and Marina L. Gavrilova and Vipin Kumar and Antonio Lagan{\`{a}} and Heow Pueh Lee and Youngsong Mun and David Taniar and Chih Jeng Kenneth Tan}, title = {{CEB:} Class Quality Evaluator for BlueJ}, booktitle = {Computational Science and Its Applications - {ICCSA} 2005, International Conference, Singapore, May 9-12, 2005, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3482}, pages = {938--944}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11424857\_101}, doi = {10.1007/11424857\_101}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KangHYLCWK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icde/KangKL05, author = {Woon{-}Hak Kang and Dong{-}Hyun Kim and Sang{-}Won Lee}, title = {mBAR: {A} Materialized Bitmap Based Association Rule Algorithm}, booktitle = {Proceedings of the 21st International Conference on Data Engineering Workshops, {ICDE} 2005, 5-8 April 2005, Tokyo, Japan}, pages = {1221}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICDE.2005.239}, doi = {10.1109/ICDE.2005.239}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icde/KangKL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icmb/ParkLK05, author = {Nam{-}Shik Park and Kang{-}Woo Lee and Hyun Kim}, title = {A Middleware for Supporting Context-Aware Services in Mobile and Ubiquitous Environment}, booktitle = {2005 International Conference on Mobile Business {(ICMB} 2005), 11-13 July 2005, Sydney, Australia}, pages = {694--697}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/ICMB.2005.7}, doi = {10.1109/ICMB.2005.7}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icmb/ParkLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icnc/ParkKK05, author = {Hyun{-}Soo Park and Kyung{-}Woo Kang and Hang Joon Kim}, editor = {Lipo Wang and Ke Chen and Yew{-}Soon Ong}, title = {Judgment of Static Life and Death in Computer Go Using String Graph}, booktitle = {Advances in Natural Computation, First International Conference, {ICNC} 2005, Changsha, China, August 27-29, 2005, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3611}, pages = {543--551}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11539117\_78}, doi = {10.1007/11539117\_78}, timestamp = {Sun, 02 Jun 2019 21:14:27 +0200}, biburl = {https://dblp.org/rec/conf/icnc/ParkKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icoin/ParkKLCKCC05, author = {Hee{-}Dong Park and Jun{-}Woo Kim and Kang{-}Won Lee and You Ze Cho and Do{-}Hyeon Kim and Bong{-}Kwan Cho and Kyu{-}Hyung Choi}, editor = {Cheeha Kim}, title = {A Route Optimization Scheme by Using Regional Information in Mobile Networks}, booktitle = {Information Networking, Convergence in Broadband and Mobile Networking, International Conference, {ICOIN} 2005, Jeju Island, Korea, January 31- February 2, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3391}, pages = {380--389}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/978-3-540-30582-8\_40}, doi = {10.1007/978-3-540-30582-8\_40}, timestamp = {Sat, 11 Dec 2021 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icoin/ParkKLCKCC05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/imsa/HongK05, author = {Jung{-}Woo Hong and Hyunchul Kang}, editor = {M. H. Hamza}, title = {Data Integration and Cache-Answerability of Queries through {XML} View of Data Source on the Web}, booktitle = {Proceedings of the Ninth {IASTED} International Conference on Internet and Multimedia Systems and Applications {(IMSA} 2005), Honolulu, Hawaii, USA, August 15-17, 2005}, pages = {242--247}, publisher = {{IASTED/ACTA} Press}, year = {2005}, timestamp = {Thu, 27 Oct 2005 10:43:43 +0200}, biburl = {https://dblp.org/rec/conf/imsa/HongK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/interact/HanKHWE05, author = {JungHyun Han and Ingu Kang and Chungmin Hyun and Jong{-}Sik Woo and Young Ik Eom}, editor = {Maria Francesca Costabile and Fabio Patern{\`{o}}}, title = {Multi-platform Online Game Design and Architecture}, booktitle = {Human-Computer Interaction - {INTERACT} 2005, {IFIP} {TC13} International Conference, Rome, Italy, September 12-16, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3585}, pages = {1116--1119}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11555261\_118}, doi = {10.1007/11555261\_118}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/interact/HanKHWE05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscas/JangLK05, author = {Hyung{-}Wook Jang and Sung{-}Sop Lee and Jin{-}Ku Kang}, title = {A clock recovery circuit using half-rate 4{\texttimes}-oversampling {PD}}, booktitle = {International Symposium on Circuits and Systems {(ISCAS} 2005), 23-26 May 2005, Kobe, Japan}, pages = {2192--2195}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/ISCAS.2005.1465056}, doi = {10.1109/ISCAS.2005.1465056}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/iscas/JangLK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscis/SongRCJL05, author = {Hyung Gi Song and Yeonseung Ryu and Tae{-}Sun Chung and Wooseok Jou and Kangsun Lee}, editor = {Pinar Yolum and Tunga G{\"{u}}ng{\"{o}}r and Fikret S. G{\"{u}}rgen and Can C. {\"{O}}zturan}, title = {Metrics, Methodology, and Tool for Performance-Considered Web Service Composition}, booktitle = {Computer and Information Sciences - {ISCIS} 2005, 20th International Symposium, Istanbul, Turkey, October 26-28, 2005, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3733}, pages = {392--401}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11569596\_42}, doi = {10.1007/11569596\_42}, timestamp = {Tue, 14 May 2019 10:00:48 +0200}, biburl = {https://dblp.org/rec/conf/iscis/SongRCJL05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KimHLKHK05, author = {Ji{-}Hong Kim and Byung{-}Hyun Ha and Wookey Lee and Cheol Young Kim and Wonchang Hur and Suk{-}Ho Kang}, editor = {Rajiv Khosla and Robert J. Howlett and Lakhmi C. Jain}, title = {Knowledge-Based {RDF} Specification for Ubiquitous Healthcare Services}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 9th International Conference, {KES} 2005, Melbourne, Australia, September 14-16, 2005, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3683}, pages = {735--741}, publisher = {Springer}, year = {2005}, url = {https://doi.org/10.1007/11553939\_104}, doi = {10.1007/11553939\_104}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/KimHLKHK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/seus/HanCYKJRKKLSLSBLKK05, author = {Tack{-}Don Han and Cheolho Cheong and Hyung{-}Min Yoon and Jong{-}Young Kim and Seong{-}Hun Jeong and Young{-}Seung Ryu and Bum{-}Seok Kang and Hyun{-}Kyung Kim and Seok{-}Won Lee and Vason P. Srini and Joo{-}Hyeon Lee and Young{-}Woo Sohn and Yoon Su Baek and Sang{-}Yong Lee and WooShik Kang and Seongwoon Kim}, title = {Implementation of New Services to Support Ubiquitous Computing for Town Life}, booktitle = {Third {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {SEUS} 2005, Seattle, WA, USA, May 16-17, 2005}, pages = {45--49}, publisher = {{IEEE} Computer Society}, year = {2005}, url = {https://doi.org/10.1109/SEUS.2005.10}, doi = {10.1109/SEUS.2005.10}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/seus/HanCYKJRKKLSLSBLKK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/socc/LeeJK05, author = {Sung{-}Sop Lee and Hyung{-}Wook Jang and Jin{-}Ku Kang}, title = {3.125Gbps Reference-less Clock and Data Recovery using 4X Oversampling}, booktitle = {Proceedings 2005 {IEEE} International {SOC} Conference, September 25-28, 2005, Washington Dulles Airport, Herndon, VA, {USA}}, pages = {11--14}, publisher = {{IEEE}}, year = {2005}, url = {https://doi.org/10.1109/SOCC.2005.1554444}, doi = {10.1109/SOCC.2005.1554444}, timestamp = {Wed, 16 Oct 2019 14:14:53 +0200}, biburl = {https://dblp.org/rec/conf/socc/LeeJK05.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/presence/KangS04, author = {Hyung Woo Kang and Sung Yong Shin}, title = {Creating Walk-Through Images from a Video Sequence of a Dynamic Scene}, journal = {Presence Teleoperators Virtual Environ.}, volume = {13}, number = {6}, pages = {638--655}, year = {2004}, url = {https://doi.org/10.1162/1054746043280556}, doi = {10.1162/1054746043280556}, timestamp = {Tue, 01 Sep 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/presence/KangS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/prl/KangLJ04, author = {Hyun Kang and Chang{-}Woo Lee and Keechul Jung}, title = {Recognition-based gesture spotting in video games}, journal = {Pattern Recognit. Lett.}, volume = {25}, number = {15}, pages = {1701--1714}, year = {2004}, url = {https://doi.org/10.1016/j.patrec.2004.06.016}, doi = {10.1016/J.PATREC.2004.06.016}, timestamp = {Sat, 22 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/prl/KangLJ04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/puc/KimCOMKWK04, author = {Sang Hwan Kim and Amy Chung and Joonho Ok and In{-}Sik Myung and Hyun Joo Kang and Joo Kyung Woo and Mi{-}Jeong Kim}, title = {Communication enhancer-appliances for better communication in a family}, journal = {Pers. Ubiquitous Comput.}, volume = {8}, number = {3-4}, pages = {221--226}, year = {2004}, url = {https://doi.org/10.1007/s00779-004-0281-z}, doi = {10.1007/S00779-004-0281-Z}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/puc/KimCOMKWK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cicc/KangWL04, author = {Sang Gu Kang and Doo Hyung Woo and Hee Chul Lee}, title = {Multiple integration method for high signal-to-noise ratio readout integrated circuit {[IR} focal plane array applications]}, booktitle = {Proceedings of the {IEEE} 2004 Custom Integrated Circuits Conference, {CICC} 2004, Orlando, FL, USA, October 2004}, pages = {299--302}, publisher = {{IEEE}}, year = {2004}, url = {https://doi.org/10.1109/CICC.2004.1358804}, doi = {10.1109/CICC.2004.1358804}, timestamp = {Wed, 16 Oct 2019 14:14:52 +0200}, biburl = {https://dblp.org/rec/conf/cicc/KangWL04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimCCKLP04, author = {Deok{-}Soo Kim and Cheol{-}Hyung Cho and Youngsong Cho and Chang Wook Kang and Hyun{-}Chan Lee and Joon Young Park}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Probability Distribution of Op-Codes in Edgebreaker}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3044}, pages = {554--563}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24709-8\_59}, doi = {10.1007/978-3-540-24709-8\_59}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimCCKLP04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/KimWKKO04, author = {Iksoo Kim and Yoseop Woo and Hyunchul Kang and Backhyun Kim and Jinsong Ouyang}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Layered Web-Caching Technique for {VOD} Services}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, pages = {345--351}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24707-4\_43}, doi = {10.1007/978-3-540-24707-4\_43}, timestamp = {Thu, 28 Apr 2022 16:17:38 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/KimWKKO04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/LeeKC04, author = {Hyung{-}Woo Lee and Min{-}goo Kang and Chang{-}Won Choi}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {PTrace: Pushback/SVM Based {ICMP} Traceback Mechanism against DDoS Attack}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, pages = {302--309}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24707-4\_38}, doi = {10.1007/978-3-540-24707-4\_38}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/LeeKC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccsa/YouJKLLCS04, author = {Young{-}Hwan You and Taewon Jang and Min{-}goo Kang and Hyung{-}Woo Lee and Hwa{-}Seop Lim and Yong{-}Soo Choi and Hyoung{-}Kyu Song}, editor = {Antonio Lagan{\`{a}} and Marina L. Gavrilova and Vipin Kumar and Youngsong Mun and Chih Jeng Kenneth Tan and Osvaldo Gervasi}, title = {Performance Limitation of {STBC} {OFDM-CDMA} Systems in Mobile Fading Channels}, booktitle = {Computational Science and Its Applications - {ICCSA} 2004, International Conference, Assisi, Italy, May 14-17, 2004, Proceedings, Part {I}}, series = {Lecture Notes in Computer Science}, volume = {3043}, pages = {386--393}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-24707-4\_48}, doi = {10.1007/978-3-540-24707-4\_48}, timestamp = {Wed, 24 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/iccsa/YouJKLLCS04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icdcit/LeeKPJKKK04, author = {Jeong{-}Oog Lee and Myeong{-}Cheol Ko and Woojin Paik and Heung Seok Jeon and Junghwan Kim and Hyun{-}Kyu Kang and Jinsoo Kim}, editor = {R. K. Ghosh and Hrushikesha Mohanty}, title = {The Roles of Ontology and Metadata Registry for Interoperable Databases}, booktitle = {Distributed Computing and Internet Technology, First International Conference, {ICDCIT} 2004, Bhubaneswar, India, December 22-24, 2004, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {3347}, pages = {217--226}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30555-2\_25}, doi = {10.1007/978-3-540-30555-2\_25}, timestamp = {Tue, 14 May 2019 10:00:46 +0200}, biburl = {https://dblp.org/rec/conf/icdcit/LeeKPJKKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/KangPLCY04, author = {Hyun Soo Kang and Seong{-}Mo Park and Si{-}Woong Lee and Jae{-}Gark Choi and Byoung{-}Ju Yun}, editor = {Mircea Gh. Negoita and Robert J. Howlett and Lakhmi C. Jain}, title = {A Fast Motion Estimation Using Prediction of Motion Estimation Error}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 8th International Conference, {KES} 2004, Wellington, New Zealand, September 20-25, 2004. Proceedings. Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3214}, pages = {253--260}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30133-2\_33}, doi = {10.1007/978-3-540-30133-2\_33}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/KangPLCY04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/kes/YunLCCK04, author = {Byoung{-}Ju Yun and Si{-}Woong Lee and Jae{-}Soo Cho and Jae{-}Gark Choi and Hyun Soo Kang}, editor = {Mircea Gh. Negoita and Robert J. Howlett and Lakhmi C. Jain}, title = {A New Vertex Selection Scheme Using Curvature Information}, booktitle = {Knowledge-Based Intelligent Information and Engineering Systems, 8th International Conference, {KES} 2004, Wellington, New Zealand, September 20-25, 2004. Proceedings. Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3215}, pages = {887--894}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30134-9\_117}, doi = {10.1007/978-3-540-30134-9\_117}, timestamp = {Tue, 14 May 2019 10:00:51 +0200}, biburl = {https://dblp.org/rec/conf/kes/YunLCCK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/KangLYC04, author = {Hyun Soo Kang and Si{-}Woong Lee and Kook{-}Yeol Yoo and Jae{-}Gark Choi}, editor = {Kiyoharu Aizawa and Yuichi Nakamura and Shin'ichi Satoh}, title = {A Fast Full Search Algorithm for Multiple Reference Images}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2004, 5th Pacific Rim Conference on Multimedia, Tokyo, Japan, November 30 - December 3, 2004, Proceedings, Part {III}}, series = {Lecture Notes in Computer Science}, volume = {3333}, pages = {615--621}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30543-9\_77}, doi = {10.1007/978-3-540-30543-9\_77}, timestamp = {Fri, 10 Mar 2023 14:55:31 +0100}, biburl = {https://dblp.org/rec/conf/pcm/KangLYC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/pcm/RheeWSPCPC04, author = {Dae Woong Rhee and Il{-}Seok Won and Hyunjoo Song and Hung Kook Park and Juno Chang and Kang Ryoung Park and Yongjoo Cho}, editor = {Kiyoharu Aizawa and Yuichi Nakamura and Shin'ichi Satoh}, title = {A 3D-Dialogue System Between Game Characters to Improve Reality in {MMORPG}}, booktitle = {Advances in Multimedia Information Processing - {PCM} 2004, 5th Pacific Rim Conference on Multimedia, Tokyo, Japan, November 30 - December 3, 2004, Proceedings, Part {II}}, series = {Lecture Notes in Computer Science}, volume = {3332}, pages = {601--608}, publisher = {Springer}, year = {2004}, url = {https://doi.org/10.1007/978-3-540-30542-2\_74}, doi = {10.1007/978-3-540-30542-2\_74}, timestamp = {Fri, 10 Mar 2023 14:55:31 +0100}, biburl = {https://dblp.org/rec/conf/pcm/RheeWSPCPC04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/wstfeus/HanCAKYLSLYJCLSBLSKK04, author = {Tack{-}Don Han and Cheolho Cheong and Jae{-}Won Ahn and Jong{-}Young Kim and Hyung{-}Min Yoon and Chang{-}Su Lee and Hyon{-}Gu Shin and Young{-}Jin Lee and Hyoung{-}Min Yook and Myoung{-}Hoon Jeon and Jung Soo Choi and Joo{-}Hyeon Lee and Young{-}Woo Sohn and Yoon Su Baek and Sang{-}Yong Lee and Eun{-}Dong Shin and WooShik Kang and Seongwoon Kim}, title = {Implementation of New Services to Support Ubiquitous Computing for Campus Life}, booktitle = {2nd {IEEE} Workshop on Software Technologies for Future Embedded and Ubiquitous Systems, {WSTFEUS} 2004, Vienna, Austria, May 11-12, 2004}, pages = {8--13}, publisher = {{IEEE} Computer Society}, year = {2004}, url = {https://doi.org/10.1109/WSTFES.2004.1300406}, doi = {10.1109/WSTFES.2004.1300406}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/wstfeus/HanCAKYLSLYJCLSBLSKK04.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/ChoiPKPNKPSKKKC03, author = {Pilsoon Choi and Hyung Chul Park and Sohyeong Kim and Sungchung Park and Ilku Nam and Tae Wook Kim and Seokjong Park and Sangho Shin and Myeung Su Kim and Kyucheol Kang and Yeonwoo Ku and Hyokjae Choi and Sook Min Park and Kwyro Lee}, title = {An experimental coin-sized radio for extremely low-power {WPAN} {(IEEE} 802.15.4) application at 2.4 GHz}, journal = {{IEEE} J. Solid State Circuits}, volume = {38}, number = {12}, pages = {2258--2268}, year = {2003}, url = {https://doi.org/10.1109/JSSC.2003.819083}, doi = {10.1109/JSSC.2003.819083}, timestamp = {Wed, 20 Apr 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/ChoiPKPNKPSKKKC03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/ress/ParkJYKGK03, author = {Chang{-}Kue Park and Woo Sik Jung and Joon{-}Eon Yang and Hyun Gook Kang and Aybars G{\"{u}}rpinar and Sok{-}Chul Kim}, title = {A PSA-based vital area identification methodology development}, journal = {Reliab. Eng. Syst. Saf.}, volume = {82}, number = {2}, pages = {133--140}, year = {2003}, url = {https://doi.org/10.1016/S0951-8320(03)00139-X}, doi = {10.1016/S0951-8320(03)00139-X}, timestamp = {Tue, 25 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/ress/ParkJYKGK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tbc/HongYLKH03, author = {Sung{-}Hoon Hong and Sang{-}Jo Yoo and Si{-}Woong Lee and Hyun Soo Kang and Sung Yong Hong}, title = {Rate control of {MPEG} video for consistent picture quality}, journal = {{IEEE} Trans. Broadcast.}, volume = {49}, number = {1}, pages = {1--13}, year = {2003}, url = {https://doi.org/10.1109/TBC.2003.808912}, doi = {10.1109/TBC.2003.808912}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tbc/HongYLKH03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ISCApdcs/KimCKKCY03, author = {Woon Gi Kim and Seung Hyun Choi and Hans Kim and Sung Bong Kang and Chris Chang and Hee Yong Youn}, editor = {Seong{-}Moo Yoo and Hee Yong Youn}, title = {Implementation of {SOHO} Router with Embedded Linux}, booktitle = {Proceedings of the {ISCA} 16th International Conference on Parallel and Distributed Computing Systems, August 13-15, 2003, Atlantis Hotel, Reno, Nevada, {USA}}, pages = {436--441}, publisher = {{ISCA}}, year = {2003}, timestamp = {Mon, 09 Aug 2021 16:35:48 +0200}, biburl = {https://dblp.org/rec/conf/ISCApdcs/KimCKKCY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/caip/LeeKJK03, author = {Chang{-}Woo Lee and Hyun Kang and Keechul Jung and Hang Joon Kim}, editor = {Nicolai Petkov and Michel A. Westenberg}, title = {Font Classification Using {NMF}}, booktitle = {Computer Analysis of Images and Patterns, 10th International Conference, {CAIP} 2003, Groningen, The Netherlands, August 25-27, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2756}, pages = {470--477}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45179-2\_58}, doi = {10.1007/978-3-540-45179-2\_58}, timestamp = {Tue, 14 May 2019 10:00:53 +0200}, biburl = {https://dblp.org/rec/conf/caip/LeeKJK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/cisst/LeeCKHY03, author = {Si{-}Woong Lee and Jae{-}Gark Choi and Hyun Soo Kang and Sung{-}Hoon Hong and Sang{-}Jo Yoo}, editor = {Hamid R. Arabnia and Youngsong Mun}, title = {Pattern-Based Image Normalization for {RST} Invariant Watermarking}, booktitle = {Proceedings of the International Conference on Imaging Science, Systems and Technology, {CISST} '03, June 23 - 26, 2003, Las Vegas, Nevada, USA, Volume 1}, pages = {20--23}, publisher = {{CSREA} Press}, year = {2003}, timestamp = {Tue, 12 Feb 2013 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/cisst/LeeCKHY03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/KangLJK03, author = {Hyun Kang and Chang{-}Woo Lee and Keechul Jung and Hang Joon Kim}, editor = {Jiming Liu and Yiu{-}ming Cheung and Hujun Yin}, title = {A Clustering Approach to the Vision-Based Interface for Interactive Computer Games}, booktitle = {Intelligent Data Engineering and Automated Learning, 4th International Conference, {IDEAL} 2003, Hong Kong, China, March 21-23, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2690}, pages = {246--253}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45080-1\_33}, doi = {10.1007/978-3-540-45080-1\_33}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/ideal/KangLJK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ideal/LeeKLJK03, author = {Chang{-}Woo Lee and Hyun Kang and Kyung Mi Lee and Keechul Jung and Hang Joon Kim}, editor = {Jiming Liu and Yiu{-}ming Cheung and Hujun Yin}, title = {Spatiotemporal Restoration of Regions Occluded by Text in Video Sequences}, booktitle = {Intelligent Data Engineering and Automated Learning, 4th International Conference, {IDEAL} 2003, Hong Kong, China, March 21-23, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2690}, pages = {1071--1075}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-45080-1\_153}, doi = {10.1007/978-3-540-45080-1\_153}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/ideal/LeeKLJK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/ismis/ChooPMWK03, author = {Kyonam Choo and Hyunjae Park and Hongki Min and Yoseop Woo and Seokhoon Kang}, editor = {Ning Zhong and Zbigniew W. Ras and Shusaku Tsumoto and Einoshin Suzuki}, title = {Design of Iconic Language Interface for Semantic Based Korean Language Generation}, booktitle = {Foundations of Intelligent Systems, 14th International Symposium, {ISMIS} 2003, Maebashi City, Japan, October 28-31, 2003, Proceedings}, series = {Lecture Notes in Computer Science}, volume = {2871}, pages = {433--439}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-39592-8\_61}, doi = {10.1007/978-3-540-39592-8\_61}, timestamp = {Tue, 14 May 2019 10:00:41 +0200}, biburl = {https://dblp.org/rec/conf/ismis/ChooPMWK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdw/LeeCKHK03, author = {Si{-}Woong Lee and Jae{-}Gark Choi and Hyun Soo Kang and Jin Woo Hong and Hyoung Joong Kim}, editor = {Ton Kalker and Ingemar J. Cox and Yong Man Ro}, title = {Normalization Domain Watermarking Method Based on Pattern Extraction}, booktitle = {Digital Watermarking, Second International Workshop, {IWDW} 2003, Seoul, Korea, October 20-22, 2003, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2939}, pages = {390--395}, publisher = {Springer}, year = {2003}, url = {https://doi.org/10.1007/978-3-540-24624-4\_30}, doi = {10.1007/978-3-540-24624-4\_30}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/LeeCKHK03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/sca/PyunKCKS03, author = {Hyewon Pyun and Yejin Kim and Wonseok Chae and Hyung Woo Kang and Sung Yong Shin}, editor = {Rick Parent and Karan Singh and David E. Breen and Ming C. Lin}, title = {An example-based approach for facial expression cloning}, booktitle = {Proceedings of the 2003 {ACM} SIGGRAPH/Eurographics Symposium on Computer Animation, San Diego, CA, USA, July 26-27, 2003}, pages = {167--176}, publisher = {The Eurographics Association}, year = {2003}, url = {https://doi.org/10.2312/SCA03/167-176}, doi = {10.2312/SCA03/167-176}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/sca/PyunKCKS03.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cvgip/KangS02, author = {Hyung Woo Kang and Sung Yong Shin}, title = {Enhanced lane: interactive image segmentation by incremental path map construction}, journal = {Graph. Model.}, volume = {64}, number = {5}, pages = {282--303}, year = {2002}, url = {https://doi.org/10.1016/S1077-3169(02)00007-2}, doi = {10.1016/S1077-3169(02)00007-2}, timestamp = {Fri, 21 Feb 2020 00:00:00 +0100}, biburl = {https://dblp.org/rec/journals/cvgip/KangS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iwdw/KangHK02, author = {Hyun Soo Kang and Jinwoo Hong and Kwang Yong Kim}, editor = {Fabien A. P. Petitcolas and Hyoung Joong Kim}, title = {Enhanced Watermarking Scheme Based on Removal of Local Means}, booktitle = {Digital Watermarking, First International Workshop, {IWDW} 2002, Seoul, Korea, November 21-22, 2002, Revised Papers}, series = {Lecture Notes in Computer Science}, volume = {2613}, pages = {31--39}, publisher = {Springer}, year = {2002}, url = {https://doi.org/10.1007/3-540-36617-2\_4}, doi = {10.1007/3-540-36617-2\_4}, timestamp = {Tue, 14 May 2019 10:00:45 +0200}, biburl = {https://dblp.org/rec/conf/iwdw/KangHK02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/vrst/KangS02, author = {Hyung Woo Kang and Sung Yong Shin}, editor = {Jiaoying Shi and Larry F. Hodges and Hanqiu Sun and Qunsheng Peng}, title = {Tour into the video: image-based navigation scheme for video sequences of dynamic scenes}, booktitle = {Proceedings of the {ACM} Symposium on Virtual Reality Software and Technology, {VRST} 2002, Hong Kong, China, November 11-13, 2002}, pages = {73--80}, publisher = {{ACM}}, year = {2002}, url = {https://doi.org/10.1145/585740.585753}, doi = {10.1145/585740.585753}, timestamp = {Mon, 01 Mar 2021 13:46:59 +0100}, biburl = {https://dblp.org/rec/conf/vrst/KangS02.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/cgf/KangPAS01, author = {Hyung Woo Kang and Soon Hyung Pyo and Ken{-}ichi Anjyo and Sung Yong Shin}, title = {Tour Into the Picture using a Vanishing Line and its Extension to Panoramic Images}, journal = {Comput. Graph. Forum}, volume = {20}, number = {3}, pages = {132--141}, year = {2001}, url = {https://doi.org/10.1111/1467-8659.00506}, doi = {10.1111/1467-8659.00506}, timestamp = {Fri, 26 May 2017 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/cgf/KangPAS01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/miigp/RaKKYKPKKKJC0M01, author = {Jong Beom Ra and Sungmin Kwon and Jin Kook Kim and Jaeyoun Yi and Keun Ho Kim and Hyun Wook Park and Ki{-}Uk Kyung and Dong{-}Soo Kwon and Heung Sik Kang and Lei Jiang and Kevin Robert Cleary and Jianchao Zeng and Seong Ki Mun}, editor = {Seong Ki Mun}, title = {Visually guided spine biopsy simulator with force feedback}, booktitle = {Medical Imaging 2001: Visualization, Display, and Image-Guided Procedures, San Diego, CA, United States, 17-22 February 2001}, series = {{SPIE} Proceedings}, volume = {4319}, publisher = {{SPIE}}, year = {2001}, url = {https://doi.org/10.1117/12.428072}, doi = {10.1117/12.428072}, timestamp = {Thu, 14 Oct 2021 01:00:00 +0200}, biburl = {https://dblp.org/rec/conf/miigp/RaKKYKPKKKJC0M01.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimKHK00, author = {Hyunjae Kim and Hongku Kang and Wooncheol Hwang and Kiseon Kim}, title = {A multiple guard interval based frequency error detector for {OFDM} systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {46}, number = {2}, pages = {363--367}, year = {2000}, url = {https://doi.org/10.1109/30.846671}, doi = {10.1109/30.846671}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimKHK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tce/KimKHK00a, author = {Hyunjae Kim and Hongku Kang and Wooncheol Hwang and Kiseon Kim}, title = {An improved frequency synchronization scheme using a modified {OFDM} burst format for wireless {LAN} systems}, journal = {{IEEE} Trans. Consumer Electron.}, volume = {46}, number = {4}, pages = {1021--1025}, year = {2000}, url = {https://doi.org/10.1109/30.920456}, doi = {10.1109/30.920456}, timestamp = {Thu, 09 Jul 2020 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tce/KimKHK00a.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iccad/KimBSLK00, author = {Ki{-}Wook Kim and Kwang{-}Hyun Baek and Naresh R. Shanbhag and C. L. Liu and Sung{-}Mo Kang}, editor = {Ellen Sentovich}, title = {Coupling-Driven Signal Encoding Scheme for Low-Power Interface Design}, booktitle = {Proceedings of the 2000 {IEEE/ACM} International Conference on Computer-Aided Design, 2000, San Jose, California, USA, November 5-9, 2000}, pages = {318--321}, publisher = {{IEEE} Computer Society}, year = {2000}, url = {https://doi.org/10.1109/ICCAD.2000.896492}, doi = {10.1109/ICCAD.2000.896492}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iccad/KimBSLK00.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tcom/KangCY99, author = {Hyun Woo Kang and Yong Soo Cho and Dae Hee Youn}, title = {On compensating nonlinear distortions of an {OFDM} system using an efficient adaptive predistorter}, journal = {{IEEE} Trans. Commun.}, volume = {47}, number = {4}, pages = {522--526}, year = {1999}, url = {https://doi.org/10.1109/26.764925}, doi = {10.1109/26.764925}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tcom/KangCY99.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/tsp/KangCY98, author = {Hyun Woo Kang and Yong Soo Cho and Dae Hee Youn}, title = {Adaptive precompensation of Wiener systems}, journal = {{IEEE} Trans. Signal Process.}, volume = {46}, number = {10}, pages = {2825--2829}, year = {1998}, url = {https://doi.org/10.1109/78.720387}, doi = {10.1109/78.720387}, timestamp = {Wed, 21 Jun 2023 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/tsp/KangCY98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/mmsp/JeonPLKPYP98, author = {JaeHo Jeon and Young Seo Park and Chul Soo Lee and J. Kang and Joon Hong Park and DooSoo Yoon and Hyun Wook Park}, editor = {Ping Wah Wong and Abeer Alwan and Antonio Ortega and C.{-}C. Jay Kuo and C. L. Max Nikias}, title = {A real-time encoding/decoding system {(REDS)} for {HDTV} editing}, booktitle = {Second {IEEE} Workshop on Multimedia Signal Processing, {MMSP} 1998, Redondo Beach, California, USA, December 7-9, 1998}, pages = {215--220}, publisher = {{IEEE}}, year = {1998}, url = {https://doi.org/10.1109/MMSP.1998.738937}, doi = {10.1109/MMSP.1998.738937}, timestamp = {Wed, 16 Oct 2019 14:14:49 +0200}, biburl = {https://dblp.org/rec/conf/mmsp/JeonPLKPYP98.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/icpads/KangLK97, author = {Zengjiu Kang and Hyeong Jun Lim and Wook Hyun Kwon}, title = {A Parellel Processing Method for Receding Horizon Tracking Control}, booktitle = {1997 International Conference on Parallel and Distributed Systems {(ICPADS} '97), 11-13 December 1997, Seoul, Korea, Proceedings}, pages = {624--629}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ICPADS.1997.652608}, doi = {10.1109/ICPADS.1997.652608}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/icpads/KangLK97.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/iscc/KangKK097, author = {Shin{-}Gak Kang and Hyun{-}Kuk Kahng and Yong{-}Woon Kim and Dae{-}Young Kim}, title = {Design of connection-oriented multi-peer transport service}, booktitle = {Proceedings of the Second {IEEE} Symposium on Computers and Communications {(ISCC} 1997), July 1-3, 1997, Alexandria, Egypt}, pages = {411--415}, publisher = {{IEEE} Computer Society}, year = {1997}, url = {https://doi.org/10.1109/ISCC.1997.616034}, doi = {10.1109/ISCC.1997.616034}, timestamp = {Fri, 24 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/iscc/KangKK097.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/JungCSSKLKPLPPK96, author = {Tae{-}Sung Jung and Young{-}Joon Choi and Kang{-}Deog Suh and Byung{-}Hoon Suh and Jin{-}Ki Kim and Young{-}Ho Lim and Yong{-}Nam Koh and Jong{-}Wook Park and Ki{-}Jong Lee and Jung{-}Hoon Park and Kee{-}Tae Park and Jhang{-}Rae Kim and Jeong{-}Hyong Yi and Hyung{-}Kyu Lim}, title = {A 117-mm\({}^{\mbox{2}}\) 3.3-V only 128-Mb multilevel {NAND} flash memory for mass storage applications}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {11}, pages = {1575--1583}, year = {1996}, url = {https://doi.org/10.1109/JSSC.1996.542301}, doi = {10.1109/JSSC.1996.542301}, timestamp = {Tue, 26 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/JungCSSKLKPLPPK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@article{DBLP:journals/jssc/YooKLKYLSHKHLSK96, author = {Jei{-}Hwan Yoo and Chang{-}Hyun Kim and Kyu{-}Chan Lee and Kye{-}Hyun Kyung and Seung{-}Moon Yoo and Jung{-}Hwa Lee and Moon{-}Hae Son and Jin{-}Man Han and Bok{-}Moon Kang and Ejaz Haq and Sang{-}Bo Lee and Jai{-}Hoon Sim and Joung{-}Ho Kim and Byung{-}Sik Moon and Keum{-}Yong Kim and Jae{-}Gwan Park and Kyu{-}Phil Lee and Kang{-}Yoon Lee and Ki{-}Nam Kim and Soo{-}In Cho and Jong{-}Woo Park and Hyung{-}Kyu Lim}, title = {A 32-bank 1 Gb self-strobing synchronous {DRAM} with 1 GByte/s bandwidth}, journal = {{IEEE} J. Solid State Circuits}, volume = {31}, number = {11}, pages = {1635--1644}, year = {1996}, url = {https://doi.org/10.1109/JSSC.1996.542308}, doi = {10.1109/JSSC.1996.542308}, timestamp = {Mon, 18 Jul 2022 01:00:00 +0200}, biburl = {https://dblp.org/rec/journals/jssc/YooKLKYLSHKHLSK96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
@inproceedings{DBLP:conf/rtcsa/JeongPKS96, author = {Seungkweon Jeong and Jaehyun Park and Wook Hyun Kwon and Kang G. Shin}, title = {Evaluation of the communication latency over real-time channel in {HARTS}}, booktitle = {Third International Workshop on Real-Time Computing Systems Application {(RTCSA} '96), October 30 - November 01, 1996, Seoul, Korea}, pages = {41--48}, publisher = {{IEEE} Computer Society}, year = {1996}, url = {https://doi.org/10.1109/RTCSA.1996.554959}, doi = {10.1109/RTCSA.1996.554959}, timestamp = {Thu, 23 Mar 2023 00:00:00 +0100}, biburl = {https://dblp.org/rec/conf/rtcsa/JeongPKS96.bib}, bibsource = {dblp computer science bibliography, https://dblp.org} }
manage site settings
To protect your privacy, all features that rely on external API calls from your browser are turned off by default. You need to opt-in for them to become active. All settings here will be stored as cookies with your web browser. For more information see our F.A.Q.